KR950000662B1 - 드라이에칭 방법 - Google Patents

드라이에칭 방법 Download PDF

Info

Publication number
KR950000662B1
KR950000662B1 KR1019900701544A KR900701544A KR950000662B1 KR 950000662 B1 KR950000662 B1 KR 950000662B1 KR 1019900701544 A KR1019900701544 A KR 1019900701544A KR 900701544 A KR900701544 A KR 900701544A KR 950000662 B1 KR950000662 B1 KR 950000662B1
Authority
KR
South Korea
Prior art keywords
gas
etching
dry etching
etching method
reactive
Prior art date
Application number
KR1019900701544A
Other languages
English (en)
Other versions
KR900702563A (ko
Inventor
미키오 노나카
히로유키 하라
Original Assignee
가부시키가이샤 시바우라 세이사쿠쇼
스즈키 사부로
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 시바우라 세이사쿠쇼, 스즈키 사부로 filed Critical 가부시키가이샤 시바우라 세이사쿠쇼
Publication of KR900702563A publication Critical patent/KR900702563A/ko
Application granted granted Critical
Publication of KR950000662B1 publication Critical patent/KR950000662B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

내용 없음.

Description

[발명의 명칭]
드라이에칭 방법
[도면의 간단한 설명]
제 1 도는 본 발명에 따른 드라이에칭 방법을 적용시킨 드라이에칭 장치를 나타낸 모식도이고,
제 2 도는 피처리물의 확대단면도이며,
제 3 도 및 제 4 도는 에틸알코올을 함유하는 에칭가스의 혼합비와 에칭속도 및 에칭선택비의 관계를 나타낸 그라프이고,
제 5 도는 수소가스를 함유하는 에칭가스의 혼합비와 에칭속도 및 에칭선택비의 관계를 나타낸 그라프이고,
제 6 도는 물을 함유하는 에칭가스의 혼합비와 에칭속도 및 에칭선택비의 관계를 나타낸 그라프이다.
[발명의 상세한 설명]
[기술분야]
본 발명은 드라이에칭 방법에 관한 것으로써, 보다 상세하게는 반응성가스의 종류를 개선하여 피처리물과 그 하지와의 선택비를 향상시킨 드라이에칭 방법에 관한 것이다.
[배경기술]
IC회로에 있어서, 실리콘 등의 반도체기판상에 각종의 막을 체적시킨 후 4불화탄소가스 등의 가스플라즈마를 이용하여 상기 막을 선택적으로 드라이에칭하는 기술이 알려져 있다. 그러한 드라이에칭 방법으로써 여러 방법이 개발되어 있으나, 화학에칭 방법이 일반적으로 높은 선택성을 갖고 있어서 널리 이용되고 있다. 이 화학에칭 방법은 플라즈마 발생장치내에서 마이크로파에 따라 반응성가스를 여기시켜서 활성종을 플라즈마실과 분리되어 있는 반응실로 전송하여 이 반응실내의 피처리물과 반응시켜서 에칭시키는 것으로, 에칭을 위한 반응실내의 가스의 선택이 중요하다.
그러한 반응성가스로써, 종래에는 4불화탄소가스(CF4)와 산소가스(O2) 및 질소가스(N2)를 혼합한 것이 많이 사용되어 왔다.
그러나, 4불화탄소가스와 산소가스 및 질소가스의 혼합가스를 사용하는 종래기술에서는, 에칭대상이 실리콘질화막인 경우 이 실리콘질화막 아래의 실리콘산화막에 대해 선택으로 에칭하는 것이 곤란한 문제점이 있었다.
그러므로, 본 발명의 목적은 상기 종래의 기술이 갖고 있었던 문제점을 해결하여 피처리물과 하지와의 선택비를 향상시킨 드라이에칭 방법을 제공하는 데 있다.
[발명의 개시]
상기 목적을 달성하기 위하여 본 발명의 드라이에칭 방법은, 진공용기내의 수납대상에 피처리물을 수납하고 활성화수단을 매개로 활성화된 반응성가스로 상기 피처리물을 에칭하는 방법에 있어서, 반응성가스로써 불화물가스와 수소를 구성원소로 함유하는 화합물의 가스를 혼합하여서 된 가스를 사용하는 것이다.
상기 불화물가스로써, 바람직하게는 CF4, NF3및 SF6중에서 선택하여 사용된다. 또한, 수소를 구성원소로 함유하는 화합물의 가스로서는 에탄올, 메탄올, 물을 가스화한 것이나 수소가스가 사용된다.
그리고, 본 발명에 따르면, 반응성가스로써 불화물가스와 산소가스 및 수소를 구성원소로써 함유하는 화합물의 가스를 혼합하여서 된 혼합가스가 사용될 수 있다.
상기에서 설명한 바와 같이, 본 발명의 드라이에칭 방법에 따르면, 반응성가스로써 불화물가스와 수소를 구성원소로 함유하는 화합물의 가스를 혼합하여서된 것을 사용함으로써 피처리물과 하지와의 에칭선택비를 대폭 증대시킬 수 있어서, 하지가 에칭되는 것을 방지할 수 있다.
[발명을 실시하기 위한 최선의 형태]
이하, 본 발명에 따른 드라이에칭 방법의 실시예를 도면을 참조하여 설명하겠다.
제 1 도는 본 발명에 따른 드라이에칭 방법을 적용시킨 드라이에칭 장치의 일예를 나타낸 것이다.
제 1 도에 있어서, 부호 1은 진공용기를 나타내고, 이 진공용기(1)의 에칭실내에는 피처리물(2)을 수납하는 수납대(3)가 설치되어 있다. 진공실(1)의 상방부에는 피처리물(2)상으로 개구되어 있는 가스분산관(4)이 설치되어 있으며, 이 가스분산관(4)에는 가스도입관(5)이 접속되어 있다. 이 가스도입관(5)의 중간에는 플라즈마 발생장치(6)가 설치되어 있는데, 이 플라즈마 발생장치(6)는 석영제의 방전관(7)과 그 외측에 설치된 도피관(8)으로 구성되어 있으며 마이크로파를 인가시킴으로써 플라즈마를 발생시키도록 되어 있다. 또한, 진공용기(1)의 바닥면에는 반응성가스를 배기하는 배기관(9)이 매니폴드(10)를 매개로 접속되어 있으며, 이 배기관(9)에는 진공펌프(도시되지 않음)가 접속되어 있다.
이와 같은 구성의 에칭장치에 있어서, 본 발명에 따른 반응성가스로서 불화물가스와 수소를 구성원소로 함유하는 화합물의 가스를 혼합하여서 된 가스를 사용한다. 불소를 함유하는 가스로서는 CF4, NF3, SF6중에서 선택하여 사용하는 것이 바람직하며, 수소를 구성원소로 함유하는 화합물의 가스로서는 메탄올, 에탄올 또는 물을 가스화한 것이나 수소를 가스화한 것을 사용할 수 있다. 이와 같은 혼합가스는 가스도입관(5)을 통해 유입되며, 석영제의 방전관(7)에서 도입관(8)으로부터 마이크로파를 인가함으로써 반응성가스를 여기시켜 플라즈마를 발생시킨다.
다음에서는, 상기 드라이에칭 방법을 이용하여 제 2 도에 도시한 바와 같은 피처리물(2)을 에칭시키는 예를 설명하겠다.
제 2 도에 있어서, 실리콘기판(11)상에는, 열산화법에 의해 약 1000Å두께의 실리콘산화막(12)이 형성되어 있고, 그 실리콘산화막(12)상에는 CVD법에 의해 약 2000Å 두께의 실리콘질화막(13)이 체적되어 있으며, 그 실리콘질화막(13)상에는 사진식각법에 의해 포토래지스트(14) 패턴이 형성되어 있다.
진공실(1)로 송부되는 반응성가스로서는 4불화가스(CF4)와 산소가스(O2)의 유량이 각각 300SCCM과 200SCCM이 되도록 혼합한 가스에 소정량의 에틸알코올을 첨가한 혼합가스를 사용하였다. 이러한 반응성가스로, 마이크로파 전압을 700W, 압력을 50Pa로 하는 조건하에서, 피처리물(2)에 에칭을 실시하였다.
상기에서 에틸알코올의 첨가량을 변화시킨 경우, 에틸알코올/CF4+O2로 나타내어지는 에틸알코올의 첨가량에 대한 실리콘질화막(13)과 실리콘산화막(12)과의 에칭선택비와 실리콘질화막(13) 및 실리콘산화막(12)의 에칭속도의 관계는 제 3 도에 나타낸 바와 같다.
제 3 도에 나타낸 바와 같이, 에틸알코올의 첨가량을 4% 정도로한 경우 에칭선택비는 30정도가 되었는바, 에틸알코올을 첨가하지 않는 경우에 비해 에칭선택비가 현저하게 증가하였다. 이는 실리콘질화막(13) 에칭속도의 향상과 실리콘산화막(12) 에칭속도의 감소로 인한 것으로 생각된다.
한편, 에틸알코올의 첨가량이 4%를 초과하면 실리콘질화막(13)의 에칭속도가 감소되고 에칭선택비도 감소되지만, 에틸알코올의 첨가량을 2 내지 11% 정도의 범위로 하면 에칭선택비를 15 이상으로 유지시킬 수 있다.
상기에서 설명한 바와 같이, 본 발명에 따라 혼합가스로써 에틸알코올 첨가 가스를 사용한 결과 에칭선택비를 현저히 향상시킬 수 있다.
제 4 도는 반응성가스로써 에틸알코올을 사용한 경우에 있어서의 다결정실리콘 에칭속도와 실리콘산화막 에칭속도 및 에칭선택비를 나타낸 것으로, 이 경우에 있어서도 바람직하게는 에틸알코올 첨가량을 2 내지 11%로 함으로써 에칭선택비를 90 내지 그 이상으로 놓일 수 있다.
한편, 상기에서는 혼합가스로써 에틸알코올 첨가 가스를 사용하는 예를 설명하였으나, 본 발명은 여기에만 한정되는 것은 아닌바, 예컨데 메틸알코올 등의 수산기를 함유하는 가스를 사용하여도 같은 효과를 얻을 수 있다.
다음에서는, 제 5 도를 참조하면서 4불화탄소가스(CF4)와 산소가스(O2)에 소정량의 수소가스(H2)를 혼합하여 구성된 반응성가스를 사용한 예에 대하여 설명하겠다.
이 예에서는, 300SCCM의 4불화탄소가스(CF4)와 200SCCM의 산소가스(O2)에 대한 수소가스(H2)이 조성비를 변화시켜 주면서 혼합하여, 이로써 마이크로파 전압이 700W, 압력이 40Pa가 되는 조건하에서 진공용기내(1)의 피처리물(2)을 에칭하였다. 이때의 Hμ卿 조성비 H2/CF4+O2에 대한 실리콘질화막(13)과 실리콘산화막(12)의 에칭속도 및 실리콘질화막과 실리콘산화막과의 에칭선택비를 측정한 결과 제 5 도에 나타낸 바와 같았다.
제 5 도에 나타낸 바와 같이, H2가스의 조성비가 10%일 때 실리콘질화막의 에칭속도가 최대가 되며, 실리콘질화막과 실리콘산화막과의 에칭선택비는 H2가스의 조성비가 20%일 때 최대가 된다.
다음에서는, 제 6 도를 참조하여 반응성가스 중에 H2O를 가스화시켜서 사용한 예에 대하여 설명하겠다.
제 6 도에 나타낸 바와 같이, H2O의 조성비가 40%일 때 실리콘질화막의 에칭속도가 최대가 되고 실리콘질화막과 실리콘산화막과의 선택비도 최대가 되었다.
이상에서 설명한 바와 같은 실시예에서는 가스를 활성화시키는 수단으로 방전실 분리형의 화학 드라이에칭을 사용하였으나, 본 발명은 여기에 한정되는 것은 아닌 바, 고주파 여기에 의한 배럴타입의 플라즈마 에칭장치나 평행평판형의 플라즈마 발생장치, 전자사이클로트론 공명형 플라즈마 발생장치에도 적용될 수 있다.
[산업상의 이용가능성]
본 발명에 따른 드라이에칭 방법은 실리콘질화막 아래에 실리콘산화막을 갖는 반도체장치의 드라이에칭에 적합하다.

Claims (3)

  1. 진공용기내의 수납대상에 피처리물을 수납하고 활성화수단을 매개로 활성화된 반응성가스로 상기 피처리물을 에칭시키는 방법에 있어서, 반응성가스로써 불화물가스와 산소가스 및 수소를 구성원소로 함유하는 화합물의 가스가 포함된 가스를 사용하는 것임을 특징으로 하는 드라이에칭 방법.
  2. 제 1 항에 있어서, 상기 반응성가스에는 질소가스가 포함된 것임을 특징으로 하는 드라이에칭 방법.
  3. 진공용기내의 수납대상에 피처리물을 수납하고 활성화수단을 매개로 활성화된 반응성가스로 상기 피처리물을 에칭시키는 방법에 있어서, 반응성가스로써 불화물가스와 산소가스 및 수소가스가 포함된 가스를 사용하는 것임을 특징으로 하는 드라이에칭 방법.
KR1019900701544A 1988-11-18 1989-11-17 드라이에칭 방법 KR950000662B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP29173688 1988-11-18
JP88-291736 1988-11-18
JP89-2461 1989-01-09
JP246189 1989-01-09
JP89-135189 1989-05-29
JP13518989 1989-05-29
PCT/JP1989/001174 WO1990005994A1 (en) 1988-11-18 1989-11-17 Dry-etching method

Publications (2)

Publication Number Publication Date
KR900702563A KR900702563A (ko) 1990-12-07
KR950000662B1 true KR950000662B1 (ko) 1995-01-27

Family

ID=27275359

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019900701544A KR950000662B1 (ko) 1988-11-18 1989-11-17 드라이에칭 방법

Country Status (5)

Country Link
US (1) US5201994A (ko)
EP (1) EP0406434B1 (ko)
KR (1) KR950000662B1 (ko)
DE (1) DE68926855T2 (ko)
WO (1) WO1990005994A1 (ko)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4228551C2 (de) * 1992-08-27 1996-02-22 Linde Ag Verfahren und Anwendung des Verfahrens zur reinigenden Behandlung von Oberflächen mit einem Niederdruckplasma
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5756402A (en) * 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
JP2804700B2 (ja) * 1993-03-31 1998-09-30 富士通株式会社 半導体装置の製造装置及び半導体装置の製造方法
US6124211A (en) * 1994-06-14 2000-09-26 Fsi International, Inc. Cleaning method
JPH0864559A (ja) * 1994-06-14 1996-03-08 Fsi Internatl Inc 基板面から不要な物質を除去する方法
US5534107A (en) * 1994-06-14 1996-07-09 Fsi International UV-enhanced dry stripping of silicon nitride films
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5635102A (en) 1994-09-28 1997-06-03 Fsi International Highly selective silicon oxide etching method
JPH08186098A (ja) * 1994-12-27 1996-07-16 Ryoden Semiconductor Syst Eng Kk 感光性樹脂の除去方法および除去装置
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
US6015761A (en) * 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
US5911887A (en) * 1996-07-19 1999-06-15 Cypress Semiconductor Corporation Method of etching a bond pad
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6051504A (en) * 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6080680A (en) * 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication
US6057645A (en) * 1997-12-31 2000-05-02 Eaton Corporation Plasma discharge device with dynamic tuning by a movable microwave trap
US6461529B1 (en) 1999-04-26 2002-10-08 International Business Machines Corporation Anisotropic nitride etch process with high selectivity to oxide and photoresist layers in a damascene etch scheme
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7357115B2 (en) * 2003-03-31 2008-04-15 Lam Research Corporation Wafer clamping apparatus and method for operating the same
FR2865135B1 (fr) * 2004-01-20 2007-10-05 Serac Group Installation de sterilisation d'articles par bombardement electronique
US7268082B2 (en) * 2004-04-30 2007-09-11 International Business Machines Corporation Highly selective nitride etching employing surface mediated uniform reactive layer films
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
CN104203816B (zh) * 2012-04-02 2016-02-03 住友电气工业株式会社 多孔碳材料的制造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2862150D1 (en) * 1977-10-06 1983-02-17 Ibm Method for reactive ion etching of an element
JPS5751265A (en) * 1980-09-10 1982-03-26 Hitachi Ltd Microwave plasma etching device
JPS57139927A (en) * 1981-02-23 1982-08-30 Nec Corp Manufacture of semiconductor integrated circuit
JPS58150429A (ja) * 1982-03-03 1983-09-07 Hitachi Ltd ドライエツチング方法
JPS5950567A (ja) * 1982-09-16 1984-03-23 Hitachi Ltd 電界効果トランジスタの製造方法
DE3420347A1 (de) * 1983-06-01 1984-12-06 Hitachi, Ltd., Tokio/Tokyo Gas und verfahren zum selektiven aetzen von siliciumnitrid
JPH07105378B2 (ja) * 1984-08-24 1995-11-13 富士通株式会社 クロム系膜のドライエツチング方法
US4615764A (en) * 1984-11-05 1986-10-07 Allied Corporation SF6/nitriding gas/oxidizer plasma etch system
JPS61123142A (ja) * 1984-11-20 1986-06-11 Matsushita Electric Ind Co Ltd ドライエツチング方法
US4568410A (en) * 1984-12-20 1986-02-04 Motorola, Inc. Selective plasma etching of silicon nitride in the presence of silicon oxide
JPS61266584A (ja) * 1985-05-22 1986-11-26 Tokuda Seisakusho Ltd ドライエツチング方法
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride

Also Published As

Publication number Publication date
EP0406434B1 (en) 1996-07-17
WO1990005994A1 (en) 1990-05-31
DE68926855D1 (de) 1996-08-22
DE68926855T2 (de) 1997-02-13
EP0406434A4 (en) 1991-05-15
EP0406434A1 (en) 1991-01-09
KR900702563A (ko) 1990-12-07
US5201994A (en) 1993-04-13

Similar Documents

Publication Publication Date Title
KR950000662B1 (ko) 드라이에칭 방법
CA1117400A (en) Process and gas for removal of materials in plasma environment
JP5933694B2 (ja) ホウ素炭素膜をドライストリッピングする方法
EP0202907B1 (en) In-situ photoresist capping process for plasma etching
KR940001646B1 (ko) 플라즈마를 이용한 유기물질의 제거방법
US20160133443A1 (en) Methods of dry stripping boron-carbon films
US4174251A (en) Method of selective gas etching on a silicon nitride layer
US4615764A (en) SF6/nitriding gas/oxidizer plasma etch system
KR960002600A (ko) 반도체집적회로장치의 제조방법
JPH0527245B2 (ko)
WO2009123038A1 (ja) プラズマエッチング方法
US4678539A (en) Dry-etching method
US20020155724A1 (en) Dry etching method and apparatus
US6069087A (en) Highly selective dry etching process
KR20010020758A (ko) 유전체 박막의 선택적 에칭 방법
US6455232B1 (en) Method of reducing stop layer loss in a photoresist stripping process using a fluorine scavenger
KR960042998A (ko) 애싱방법
US7037843B2 (en) Plasma etching method
JPH05251399A (ja) 枚葉式エッチャーによるシリコン窒化膜のエッチング方法
JPS6328995B2 (ko)
JP3897518B2 (ja) シリコンナイトライド膜のエッチング方法
WO2000026954A1 (en) Method of reducing stop layer loss in a photoresist stripping process using hydrogen as a fluorine scavenger
JPH03109729A (ja) ドライエッチング方法
JPS5855568A (ja) 反応性イオンエツチング方法
JPH03109730A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
N231 Notification of change of applicant
E601 Decision to refuse application
J2X1 Appeal (before the patent court)

Free format text: APPEAL AGAINST DECISION TO DECLINE REFUSAL

Free format text: TRIAL NUMBER: 1994201001411; APPEAL AGAINST DECISION TO DECLINE REFUSAL

G160 Decision to publish patent application
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20071212

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee