CN106149024B - 利用离子阻性离子可穿透元件电镀金属的装置和方法 - Google Patents

利用离子阻性离子可穿透元件电镀金属的装置和方法 Download PDF

Info

Publication number
CN106149024B
CN106149024B CN201610318396.3A CN201610318396A CN106149024B CN 106149024 B CN106149024 B CN 106149024B CN 201610318396 A CN201610318396 A CN 201610318396A CN 106149024 B CN106149024 B CN 106149024B
Authority
CN
China
Prior art keywords
substrate
ion
region
resistivity
plating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610318396.3A
Other languages
English (en)
Other versions
CN106149024A (zh
Inventor
布哈努丁·卡加伊瓦拉
布莱恩·L·巴卡柳
蔡李鹏
亚伦·贝尔克
罗伯特·拉什
史蒂文·T·迈耶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201910552629.XA priority Critical patent/CN110306224B/zh
Publication of CN106149024A publication Critical patent/CN106149024A/zh
Application granted granted Critical
Publication of CN106149024B publication Critical patent/CN106149024B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3063Electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/002Cell separation, e.g. membranes, diaphragms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/007Current directing devices

Abstract

本发明涉及利用离子阻性离子可穿透元件电镀金属的装置和方法。在一个方面,一种用于利用改善的电镀均匀性在半导体衬底上电镀金属的装置包括:电镀室,其被配置成容纳电解液和阳极;衬底支架,其配置成保持所述半导体衬底;以及离子阻性离子可穿透元件,其包括基本上平坦的面对衬底的表面和相反的表面,其中所述元件在电镀期间使得离子流能朝向所述衬底流动,并且其中所述元件包括具有变化的局部电阻率的区域。在一个实施例中,元件的电阻率可通过改变元件的厚度而变化。在一些实施方式中,元件的厚度从元件的边缘沿径向方向到中心逐渐减小。所提供的装置和方法对于在WLP凹陷特征内电镀金属是特别有用的。

Description

利用离子阻性离子可穿透元件电镀金属的装置和方法
技术领域
本公开总体上涉及用于在半导体晶片上电镀金属层的方法和装置。更具体地,本文所描述的方法和装置对于控制电镀均匀性是有用的。
背景技术
在半导体器件的制造中,导电材料(如铜)通常通过电镀到金属的籽晶层上来沉积,以填充在半导体晶片衬底上的一个或多个凹陷特征。电镀是用于在镶嵌处理期间沉积金属到晶片的通孔和沟槽的选择的方法,并且也用于晶片级封装(WLP)应用中,以形成在晶片衬底上的金属柱和金属线。电镀的另一种应用是填充穿透硅通孔(TSV),其是在3D集成电路和3D封装中使用的相对较大的垂直电连接。
在一些电镀衬底中,在电镀(通常在镶嵌和TSV处理中)之前,籽晶层暴露在衬底的整个表面上,并在衬底的整体上进行金属的电沉积。在其它电镀衬底的过程中,籽晶层的一部分由非导电材料覆盖,例如由光致抗蚀剂覆盖,而籽晶层的另一部分被暴露。在具有部分被掩蔽的籽晶层的这样的衬底中,电镀仅在籽晶层的暴露部分进行,而籽晶层的被覆盖部分被保护以避免上面被电镀。在具有涂覆有图案化的光致抗蚀剂的籽晶层的衬底上电镀被称为穿过抗蚀剂电镀,并且通常在WLP应用中使用。
在电镀期间,电触点在晶片的周边的籽晶层(例如,铜籽晶层)上形成,并且晶片被电偏置以用作阴极。使晶片与电解液接触,电解液包含待镀的金属离子。电解液通常还包含向电解液提供足够的导电性的酸,并且也可以含有在衬底的不同的表面上调节电沉积速率的添加剂,其被称为促进剂、抑制剂、以及均化剂(leveler)。
在电镀过程中所遇到的一个问题是沿圆形半导体晶片的半径不均匀分布的电沉积的金属的厚度。这种类型的非均匀性被称为径向非均匀性。径向非均匀性会由于多种因素而出现,例如因终端效应(terminal effect)而出现,以及由于在衬底的表面的电解液流量的变化而出现。终端效应本身表现为边缘厚的电镀,因为在晶片的边缘的电触点附近的电位比在晶片的中心会是显著较高的,尤其是当使用薄电阻籽晶层时。
在电镀期间可能遇到的另一种类型的非均匀性是方位角非均匀性。为清楚起见,我们使用极坐标将方位角非均匀性定义为在相对于晶片中心的固定径向位置处在晶片上的不同角度位置显示的厚度变化,即,沿着晶片的周界内的给定的圆或圆的部分的非均匀性。这种类型的非均匀性可独立于径向的非均匀性存在于电镀应用中,并且在一些应用中可能是需要加以控制的主要类型的非均匀性。其经常出现在穿过抗蚀剂电镀中,其中,晶片的主要部分被用光致抗蚀剂涂层或类似的防镀覆层掩蔽,并且特征的掩蔽图案或特征密度在晶片边缘附近在方位角上并不是均匀的。例如,在一些情况下,在靠近晶片的凹口处会存在缺失图案特征的、技术上所需要的弦形(chord)区域,以使得能对晶片进行编号或处理。
过度的径向和方位角非均匀性可导致非功能性的芯片。因此需要改善镀覆均匀性的方法和装置。
发明内容
描述了用于在衬底上以改善的镀覆均匀性电镀金属的方法和装置。本文描述的装置和方法可以用于在各种衬底上电镀,并且在WLP处理期间对于穿过抗蚀剂电镀是特别有用的。所述装置和方法利用在电镀期间定位在衬底附近并且被配置为针对选定类型的非均匀性的离子阻性离子可穿透元件,该元件具有空间上特制的电阻率。例如由于终端效应导致的径向非均匀性,通过使用设置在紧邻晶片的离子阻性离子可穿透元件而减轻,其中所述元件在边缘比在中心更具电阻性。电阻率可以通过在空间上改变元件的厚度、元件的孔隙率、或厚度和孔隙率的组合而在空间上变化。
在本发明的一个方面,提供了一种电镀装置,其中,该装置包括:(a)电镀室,其被配置成在电镀金属到半导体衬底上时容纳电解液和阳极;(b)衬底支架,其配置成在电镀期间保持所述半导体衬底,使得所述衬底的镀覆面与所述阳极分开;以及(c)离子阻性离子可穿透元件,其包括基本上平坦的面对衬底的表面和相反的表面,其中所述元件在电镀期间使得离子流能穿过所述元件朝向所述衬底流动,并且其中所述元件包括具有变化的局部电阻率的区域。在一些实施方式中,所述局部电阻率在所述具有变化的局部电阻率的区域是逐渐变化的。
在一个实施例中,所述具有变化的局部电阻率的区域与所述元件是共同延伸的(即该区域是整个元件),并且在该区域中的所述局部电阻率从所述元件的边缘沿径向到所述元件的中心减小。该实施方式对于减轻终端效应,特别在WLP特征内沉积金属时是特别有用的。
在另一个实施例中,所述元件包括围绕所述变化的局部电阻率的所述区域的恒定的局部电阻率的区域,其中所述变化的局部电阻率的区域位于所述元件的中心部分,并且其中在所述变化的局部电阻率的区域中的所述局部电阻率从与所述恒定电阻率的区域的界面沿径向朝向所述元件的中心减小。该实施方式对于解决在穿过抗蚀剂电镀中遇到的由于在半导体衬底的中心的较厚的光致抗蚀剂层而产生的非均匀性是特别有用的。
可以使用许多途径(approach)改变该元件的电阻率。在一种途径中,所述元件在所述具有变化的局部电阻率的区域中具有变化的厚度和恒定的孔隙率。厚度的变化是优选的但不必需是逐渐的。在另一种途径中,所述元件在所述具有变化的局部电阻率的区域中具有变化的孔隙率(优选逐渐变化的孔隙率)和恒定的厚度。也可以使用这些途径的组合。例如,在一些实施方式中,所述元件在所述具有变化的局部电阻率的区域中具有逐渐变化的孔隙率和逐渐变化的厚度两者。
在一些实施方式中,所述元件具有多个通过离子阻性材料制成的并且将所述元件的所述面对衬底的表面与所述元件的所述相反的表面连接的非连通通道,其中所述元件使得所述电解液能够穿过所述通道朝向所述衬底运动。在一些实施方式中,所述具有变化的局部电阻率的区域具有所述非连通通道的逐渐变化的密度。在一些实施方式中,所述具有变化的局部电阻率的区域具有所述非连通通道的逐渐变化的直径。在一些实施方式中,所述具有变化的局部电阻率的区域具有所述非连通通道相对于由所述衬底的镀覆面限定的平面的逐渐变化的倾斜角。也可使用这些实施方式(变化的通道密度、变化的通道直径、以及变化的倾斜角)的所有组合以提供变化的电阻率。
在使用变化的厚度以获得变化的电阻率的一个特定的实施例中,所述具有变化的局部电阻率的区域与所述元件是共同延伸的,并且其中由于所述元件的厚度从所述元件的边缘到所述元件的中心逐渐减小,因此在该区域中的所述局部电阻率从所述元件的边缘沿径向到所述元件的中心逐渐减小。在该实施例的一些实施方式中,在沿径向截面观察时,所述元件的相反的表面是遵循二阶多项式函数的凸起的表面。
在使用变化的厚度以获得变化的电阻率的另一个特定的实施例中,所述元件包括围绕所述具有变化的局部电阻率的区域的恒定厚度的区域,其中,所述具有变化的局部电阻率的区域位于元件的中心部分,并且其中在所述具有逐渐变化的局部电阻率的区域中所述元件的厚度从与所述恒定厚度的区域的界面沿径向朝向所述元件的中心减小。
在其它实施方式中,其中使用变化的厚度以获得变化的电阻率,并且其中所述厚度的变化介于所述元件的最大厚度的约3-100%之间。
在一些实施方式中,所述元件与所述半导体衬底基本上共同延伸,并具有介于约6000-12000个之间的用离子阻性材料制成的非连通通道。所述元件紧邻所述半导体衬底放置。通常,在电镀期间,所述元件的所述面对衬底的表面与所述半导体衬底的镀覆面通过约10mm或小于10mm的间隙分离开。在一些实施方式中,所述装置还包括通向所述间隙的用于引导电解液朝向所述间隙流动的入口,和通向所述间隙的用于接收流过所述间隙的电解液的出口,其中,所述入口和所述出口被定位成邻近所述衬底的镀覆面的在方位角上相对的周界位置,并且其中,所述入口和所述出口都适合于在所述间隙内产生电解液的交叉流。
在另一方面,提供了一种在包含多个凹陷特征的半导体衬底上电镀金属的方法,该方法包括:(a)提供衬底到电镀室中,该电镀室被配置成在电镀金属到衬底上时容纳电解液和阳极,其中所述电镀室包括:(i)衬底支架,其保持所述衬底,使得在电镀期间所述衬底的镀覆面与所述阳极分开,以及(ii)离子阻性离子可穿透元件,其包括基本上平坦的面对衬底的表面和相反的表面,其中所述元件在电镀期间使得离子流能穿过所述元件朝向所述衬底流动,并且其中所述元件包括具有变化的局部电阻率的区域,以及(b)电镀金属到所述衬底电镀表面,同时阴极(cathodically)偏置和旋转所述半导体衬底。
在另一方面,提供了一种电镀装置,其中该装置包括:(a)电镀室,其被配置成在电镀金属到半导体衬底上时容纳电解液和阳极;(b)衬底支架,其配置成在电镀期间保持所述半导体衬底,使得所述衬底的镀覆面与所述阳极分开;(c)离子阻性离子可穿透元件,其中所述元件在电镀期间使得离子流能穿过所述元件朝向所述衬底流动,并且其中所述元件包括方位角上不对称离子可穿透区域,该区域的平均电阻率不同于(例如大于)所述元件的其余部分的平均电阻率。该方位角不对称区域可以位于所述元件的周边区域的多孔部分的方位角部分上。该方位角不对称区域可以比该元件的其余部分具有较小的但恒定的孔隙率(或孔密度),或该区域可在该区域内具有逐渐变化的孔隙率,但作为一个整体比该元件的其余部分具有显著较低的孔隙率。这样的电镀装置可以被用于改善方位角上的非均匀性。在一个方面,提供了一种用于电镀的方法,其中该方法包括:提供衬底到如上所述的电镀装置中,并电镀金属到所述衬底上,同时相对于所述离子阻性离子可穿透元件旋转所述衬底,使得在所述衬底上的选定的方位角不对称区域停留在所述元件的具有与在所述元件的其余部分的电阻率不同的电阻率的方位角不对称区域上相比于所述衬底的具有相同的面积、相同的平均径向位置、和相同的平均弧长但存在于不同的方位角(角度)位置的另一区域持续不同的时间量。
本发明提供的方法可以集成到使用光刻图案化的工艺中。在一方面,这些方法包括上述方法中的任意一些,并且进一步包括:将光致抗蚀剂施加到所述晶片衬底上;使所述光致抗蚀剂暴露于光;图案化所述光致抗蚀剂并将所述图案转印到所述晶片衬底上;以及从所述晶片衬底选择性地去除所述光致抗蚀剂。在本发明的另一方面,提供了一种系统,其包括以上描述的装置中的任何一种和步进式光刻机(stepper)。
在一些实施方式中,提供了一种装置,其中该装置还包括包含用于执行本文描述的任何方法的程序指令和/或逻辑的控制器。在一个方面,提供了一种包含程序指令的非暂时性计算机机器可读介质。用于控制电镀装置的程序指令包括用于执行上述的任何方法的代码。
具体而言,本发明的一些方面可以描述如下:
1.一种电镀装置,其包括:
(a)电镀室,其被配置成在电镀金属到半导体衬底上时容纳电解液和阳极;
(b)衬底支架,其被配置成在电镀期间保持所述半导体衬底,使得所述衬底的镀覆面与所述阳极分开;
(c)离子阻性离子可穿透元件,其包括基本上平坦的面对衬底的表面和相反的表面,其中所述元件在电镀期间使得离子流能穿过所述元件朝向所述衬底流动,并且其中所述元件包括具有变化的局部电阻率的区域。
2.根据条款1所述的电镀装置,其中所述局部电阻率在所述具有变化的局部电阻率的区域是逐渐变化的。
3.根据条款1所述的电镀装置,其中所述具有变化的局部电阻率的区域与所述元件是共同延伸的,并且其中在所述区域中的所述局部电阻率从所述元件的边缘沿径向到所述元件的中心减小。
4.根据条款1所述的电镀装置,其中所述元件包括围绕所述变化的局部电阻率的区域的恒定的局部电阻率的区域,其中所述逐渐变化的局部电阻率的区域位于所述元件的中心部分,并且其中在所述变化的局部电阻率的区域中的所述局部电阻率从与所述恒定的电阻率的区域的界面沿径向朝向所述元件的中心减小。
5.根据条款1所述的电镀装置,其中,所述元件在所述具有变化的局部电阻率的区域中具有逐渐变化的厚度和恒定的孔隙率。
6.根据条款1所述的电镀装置,其中,所述元件在所述具有变化的局部电阻率的区域中具有逐渐变化的孔隙率和恒定的厚度。
7.根据条款1所述的电镀装置,其中,所述元件在所述具有变化的局部电阻率的区域中具有逐渐变化的孔隙率和逐渐变化的厚度两者。
8.根据条款1所述的电镀装置,其中所述元件具有多个通过离子阻性材料制成的并且将所述元件的所述面对衬底的表面与所述元件的所述相反的表面连接的非连通通道,其中所述元件使得所述电解液能够穿过所述通道朝向所述衬底运动。
9.根据条款8所述的电镀装置,其中所述具有变化的局部电阻率的区域具有所述非连通通道的逐渐变化的密度。
10.根据条款8所述的电镀装置,其中所述具有变化的局部电阻率的区域具有所述非连通通道的逐渐变化的直径。
11.根据条款8所述的电镀装置,其中所述具有变化的局部电阻率的区域具有所述非连通通道相对于由所述衬底的所述镀覆面限定的平面的逐渐变化的倾斜角。
12.根据条款1所述的电镀装置,其中所述具有变化的局部电阻率的区域与所述元件是共同延伸的,并且其中由于所述元件的厚度从所述元件的边缘到所述元件的中心逐渐减小,因此在所述区域中的所述局部电阻率从所述元件的边缘沿径向到所述元件的中心减小。
13.根据条款12所述的电镀装置,在沿径向截面观察时,所述元件的所述相反的表面是遵循二阶多项式函数的凸起的表面。
14.根据条款1所述的电镀装置,其中所述元件包括围绕所述具有变化的局部电阻率的区域的恒定厚度的区域,其中,所述具有变化的局部电阻率的区域位于元件的中心部分,并且其中在所述具有逐渐变化的局部电阻率的区域中所述元件的厚度从与所述恒定厚度的区域的界面沿径向朝向所述元件的中心减小。
15.根据条款1所述的电镀装置,其中所述元件具有可变的厚度,并且其中所述厚度的变化介于所述元件的最大厚度的约3-100%之间。
16.根据条款1所述的电镀装置,其中所述元件与所述半导体衬底是基本上共同延伸的,并具有介于约6000-12000个之间的用离子阻性材料制成的非连通通道。
17.根据条款1所述的电镀装置,其中在电镀期间,所述元件的所述面对衬底的表面与所述半导体衬底的镀覆面通过约10mm或小于10mm的间隙分离开。
18.根据条款17所述的电镀装置,还包括通向所述间隙的用于引导电解液朝向所述间隙流动的入口,和通向所述间隙的用于接收流过所述间隙的电解液的出口,其中,所述入口和所述出口被定位成邻近所述衬底的所述镀覆面的在方位角上相对的周界位置,并且其中,所述入口和所述出口都适合于在所述间隙内产生电解液的交叉流。
19.一种在包含多个凹陷特征的半导体衬底上电镀金属的方法,该方法包括:
(a)提供衬底到电镀室中,该电镀室被配置成在电镀金属到所述衬底上时容纳电解液和阳极,其中所述电镀室包括:
(i)衬底支架,其保持所述衬底,使得在电镀期间所述衬底的镀覆面与所述阳极分开,以及
(ii)离子阻性离子可穿透元件,其包括基本上平坦的面对衬底的表面和相反的表面,其中所述元件在电镀期间使得离子流能穿过所述元件朝向所述衬底流动,并且其中所述元件包括具有变化的局部电阻率的区域,
(b)电镀金属到所述衬底的镀覆表面,同时阴极偏置和旋转所述半导体衬底。
20.一种电镀装置,其包括:
(a)电镀室,其被配置成在电镀金属到半导体衬底上时容纳电解液和阳极;
(b)衬底支架,其配置成在电镀期间保持所述半导体衬底,使得所述衬底的镀覆面与所述阳极分开;
(c)离子阻性离子可穿透元件,其中所述元件在电镀期间使得离子流能穿过所述元件朝向所述衬底流动,并且其中所述元件包括方位角上不对称离子可穿透区域,该区域具有与所述元件的其余部分的平均电阻率不同的平均电阻率。
21.一种用于电镀的方法,其包括:
(a)提供半导体衬底到电镀装置中,该电镀装置包括
(i)电镀室,其被配置成在电镀金属到半导体衬底上时容纳电解液和阳极;
(ii)衬底支架,其被配置成保持所述半导体衬底,使得在电镀期间所述衬底的镀覆面与所述阳极分开;以及
(iii)离子阻性离子可穿透元件,其中所述元件在电镀期间使得离子流能穿过所述元件朝向所述衬底流动,并且其中所述元件包括方位角上不对称离子可穿透区域,该区域具有与所述元件的其余部分的平均电阻率不同的平均电阻率;以及
(b)电镀金属到所述半导体衬底上,同时相对于所述离子阻性离子可穿透元件旋转所述半导体衬底,使得在所述衬底上的选定区域停留在所述元件的具有与在所述元件的其余部分的电阻率不同的电阻率的所述方位角不对称区域上相比于所述衬底的具有相同的面积、相同的平均径向位置、和相同的平均弧长但存在于不同的方位角位置的另一区域持续不同的时间量。
22.根据条款19或21所述的方法,其还包括:
(a)将光致抗蚀剂施加到所述半导体衬底上;
(b)使所述光致抗蚀剂暴露于光;
(c)图案化所述光致抗蚀剂并将所述图案转印到所述半导体衬底上;以及
(d)从所述半导体衬底选择性地去除所述光致抗蚀剂。
23.一种包括根据条款1或20所述的装置和步进式光刻机的系统。
24.根据条款1或20所述的装置,其还包括具有用于电镀金属到所述半导体衬底上的程序指令的控制器。
下面将参照相关附图更加详细地描述本发明的这些和其它的特征和优点。
附图说明
图1A是在穿过抗蚀剂电镀之前的衬底的示意性剖视图。
图1B是在穿过抗蚀剂电镀之前的具有中心厚的光致抗蚀剂层的衬底的三个部分的示意性剖视图。
图2A是示出局部改变的电阻率的定义的离子阻性离子可穿透元件的示意性俯视图。
图2B是根据本发明提供的实施方式的离子阻性离子可穿透元件的示意性俯视图。
图2C是根据本发明提供的实施方式的具有可变厚度的离子阻性离子可穿透元件的示意性剖视图。
图2D是根据本发明提供的实施方式的具有可变通道密度的离子阻性离子可穿透元件的示意性剖视图。
图2E是根据本发明提供的实施方式的具有可变通道尺寸的离子阻性离子可穿透元件的示意性剖视图。
图2F是根据本发明提供的实施方式的具有有可变倾斜角度的通道的离子阻性离子可穿透元件的示意性剖视图。
图2G是根据本发明提供的实施方式的具有可变的局部电阻率的离子阻性离子可穿透元件的示意性剖视图。
图3是根据本发明提供的实施方式的电镀装置的示意性剖视图。
图4A是根据本发明提供的实施方式的电镀装置的一部分的示意性剖视图,其根据本发明提供的实施方式示出了电解液在晶片表面的横向流动。
图4B根据本发明提供的实施方式的电镀装置的一部分的示意性剖视图,其根据本发明提供的另一实施方式示出了电解液在晶片表面的横向流动。
图5是用于根据本发明所提供的实施方式的电镀方法的工艺流程图。
图6是根据本发明提供的实施方式的具有不同的电阻率的方位角不对称区域的离子阻性离子可穿透元件的示意性俯视图。
图7是根据本发明所提供的实施方式的用于电镀方法的工艺流程图。
图8是显示用于用不同类型的离子阻性离子可穿透元件电镀的电流密度分布的计算模型图。
图9是显示用于用不同类型的离子阻性离子可穿透元件电镀的电流密度分布的计算模型图。
图10是显示用于用不同类型的离子阻性离子可穿透元件电镀的电流密度分布的图形。
具体实施方式
提供了用于以改善的均匀性(如以改进的径向均匀性、方位角均匀性或两者)在衬底上电镀金属的方法和装置。这些方法对于在WLP应用(但并不限于这些应用)中的穿过抗蚀剂电镀会是特别有用的。这些方法也可以用于其它的处理方案中,如在TSV处理和在使用镶嵌处理的集成电路的制造中的电镀。这些方法和装置采用具有空间特制的电阻率的离子阻性离子穿透元件,以解决各种均匀性问题,如终端效应和穿过抗蚀剂电镀中的光致抗蚀剂的不均匀厚度。使用所提供的方法和设备在许多情况下可导致5%或更小的在晶片内的良好均匀性,其中所述均匀性的值是指电沉积的金属层的厚度变化(最大厚度–最小厚度)与两倍的平均厚度之比。
总体上描述了一些实施方式,其中衬底是半导体晶片,但本发明并不受此限制。术语“半导体晶片”和“半导体衬底”在本文中可互换使用,并且是指工件,在该工件内的任何地方包含半导体材料,例如硅。典型地,在半导体衬底内的半导体材料覆盖有一个或多个其它材料层(例如,介电层和导电层)。用于电镀的衬底包括至少在衬底的表面上的一些位置暴露的导电性籽晶层。籽晶层通常是金属层,并且可以是,例如,铜层(包括纯铜及其合金)、镍层(包括NiB层和NiP层)、钌层等。衬底在其表面上通常具有在电镀过程中被填充的若干凹陷特征。可以使用所提供的方法进行电镀的金属的实例包括,但不限于,铜、银、锡、铟、铬、锡-铅组合物、锡-银组合物、镍、钴、镍和/或钴彼此之间的合金以及镍和/或钴与钨的合金、锡-铜组合物、锡-银-铜组合物、金、钯、以及包括这些金属和组合物的各种合金。
图1A显示了经过WLP处理的衬底的示意性横截面视图。衬底包括硅层101,硅层101被涂敷有连续的铜籽晶层103。籽晶层103覆盖有图案化的光致抗蚀剂105,使得多个形成在光致抗蚀剂内的凹陷特征107在其底部暴露籽晶层103。存在于光致抗蚀剂105正下方的籽晶层的部分被掩蔽,并且在电镀期间不接触电解液。在电镀期间,在衬底的边缘周围向籽晶层103制造电接触,并且衬底被阴极(cathodically)偏置。在衬底的镀覆面与电解液接触后,金属仅在凹陷特征107内电沉积(其中,籽晶层被暴露),但不在光致抗蚀剂105上电沉积。在电镀结束后,将光致抗蚀剂105去除,在衬底上留下电沉积的金属柱和金属线。随着在衬底的边缘处电镀金属的厚度相比在衬底的中央部分的厚度增大,终端效应在穿过抗蚀剂电镀中就可以观察到。当使用较薄的籽晶层时,终端效应更显著,因为较薄且因此,更具阻性的籽晶层导致在衬底的边缘(在这里,制造电接触)和衬底的中心之间有较大的电压降。用于减轻终端效应的常规方法之一是引进阻性元件,如与衬底共同延伸并且紧邻衬底放置的多孔板阻性元件。这种板在从阳极朝向衬底的离子流的路径上引入附加的电阻,并促使中心到边缘的电镀更均匀。在其整个主体具有均匀的电阻率(例如,具有相同的厚度和相同的孔隙率)的这样的板并不总是足以充分抑制终端效应。在一些情况下,籽晶层可能是如此有阻性的并且边缘到中心的电压降可能是如此之大,以致将需要不切实际的高电阻均匀板来补偿极端的终端效应。穿过抗蚀剂电镀提供了特别困难的情况,因为在穿过抗蚀剂电镀中,在电镀过程期间由于在较多的金属沉积时籽晶层的薄层电阻不降低,因而终端效应不减退。这是因为,位于光致抗蚀剂正下方的籽晶层的厚度在电镀过程期间保持恒定。这种情况与在具有完全暴露的籽晶层的衬底上的电镀形成对照。当籽晶层的整体在衬底上暴露时,籽晶层的薄层电阻随着在电镀过程期间较多的金属被电沉积而减小,并因此,终端效应是在镀覆的过程中减轻。
本文所提供的实施方式利用具有在空间上特制的电阻率的离子阻性离子可穿透元件,以抵消终端效应。具体而言,该元件可以在元件的周边部分比在元件的中心部分具有较大的局部电阻率。这样的元件可以在用于在完全暴露的籽晶层和部分地暴露的籽晶层两者上电沉积金属(例如,在穿过抗蚀剂电镀中)的电镀装置中使用。在具有小于1000埃(例如介于约200埃-950埃之间)的厚度的铜籽晶层上或者在由比铜较具阻性的金属所制造的籽晶层上进行的穿过抗蚀剂电镀中,使用这样的元件是特别有利的。此外,当电镀在具有特别高的导电率(例如50mS/cm或更高的导电率)的电解液中进行时,使用这样的元件是特别有利的,因为高导电率电解液加剧终端效应。当电镀在具有非常高的薄层电阻的籽晶层和/或用具有非常高的导电率的电解液进行时,终端效应可以是如此大的以致其可能不能使用常规的具有均匀的局部电阻率的离子可穿透的离子阻性元件进行充分的补偿。或者,将要求这样的元件具有极高的厚度和/或低的孔隙率,其将不可能制造也不可能装配到电镀装置中。在这些情况下,所提供的具有变化的局部电阻率的元件将是终端效应问题的一种有效的解决方案。
在穿过抗蚀剂电镀中遇到的另一个均匀性问题是由于在衬底上的光致抗蚀剂的厚度变化导致的金属的非均匀电沉积。观察到,金属电镀在由较厚的光致抗蚀剂所包围的凹陷特征中以较低的速率发生。因此,光致抗蚀剂厚度的变化直接影响电沉积的均匀性。较薄的金属层电沉积在由相对较厚的光致抗蚀剂所包围的凹陷特征内。光致抗蚀剂典型地通过旋涂法沉积在衬底上,并且其会被无意地在晶片衬底的中心部分比在晶片衬底的边缘附近沉积较大的厚度。这样的光致抗蚀剂的中心厚的沉积(虽然是不希望有的)通常从晶片到晶片(from wafer to wafer)是可重复的,因此由于中心厚的光致抗蚀剂导致的不均匀的电镀可通过使用具有补偿变化的光致抗蚀剂厚度的变化的局部电阻率的离子可穿透的离子阻性元件来可靠地处理。因此,相比于在边缘,在中心具有较低的局部电阻率的元件可用于在电镀期间改善在具有中心厚的光致抗蚀剂层的晶片上的电镀均匀性。
图1B示出了具有中心厚的光致抗蚀剂层的衬底的三个部分的示意性剖视图。部分109是在衬底的左侧边缘,部分111是衬底的中心部分,而部分113是衬底的右侧边缘。可以看出,衬底的左侧和右侧部分具有厚度均匀的光致抗蚀剂层,而相比于在边缘部分,在衬底的中心部分的光致抗蚀剂较厚,光致抗蚀剂的厚度朝衬底的中心逐渐增大。这使得在衬底的中心的凹陷特征比在衬底的边缘部分的凹陷特征更深,并导致在衬底的中心部分的电沉积的层较薄。例如,在利用常规的具有均匀的局部电阻率的离子阻性离子可穿透元件的情况下,如果在衬底的中心的光致抗蚀剂比在边缘厚40%时,则观察到电镀层在中心比在边缘薄约30%。这种类型的非均匀性可以通过使用适当的具有空间上特制的电阻率的离子阻性离子可穿透元件而最小化。元件的电阻率可以变化,以与在晶片衬底上的光致抗蚀剂厚度的变化相匹配,其中减小在衬底的光致抗蚀剂厚度较厚的那些区域的正下方的元件的电阻率。因此,在一些实施方式中,所提供的方法和装置使用在具有光致抗蚀剂总厚度的至少约10%(如至少约20%)的光致抗蚀剂厚度变化的衬底上。
通常,具有变化的局部电阻率的离子阻性离子可穿透元件无论是在穿过抗蚀剂电镀中还是在其它类型的电镀中都可用于解决径向均匀性和方位角均匀性问题。一般地,元件的局部电阻率以一定的方式在空间上特制,以在衬底的否则会接收比所需的离子流较大离子流的部分的正下方提供较高的局部电阻率(指镀覆朝向下边方位的面)。如果非均匀性是方位角上的,且衬底在电镀期间旋转,则调整旋转速度,使得衬底的否则会接收比所需的离子流较大的离子流的部分将在元件的比元件的其余区域具有较高的电阻率的区域上停留较长的时间。
离子阻性离子可穿透元件
离子阻性离子可穿透元件(也称为“元件”)是电镀装置的组成部分,其在离子流朝向阴极偏置的晶片衬底的路径上提供附加的电阻,并且其在电镀期间使得离子能穿过元件朝向衬底移动。
在一些实施方式中,元件是多孔板,其中该板的主体由阻性材料制成,并且在阻性材料中的孔(其可以是非连通通道或互连的孔网络)使得离子能移动穿过该板朝向阴极偏置的衬底。该元件具有优选是平坦的且平行于衬底的面对衬底的表面,和相反的表面,该相反的表面不需要是平坦的。该元件定位成紧邻衬底,但不接触衬底。优选所述元件在电镀期间被定位在衬底的约10mm内,更优选在衬底的约5mm内,如在衬底的约2-3mm内,其中该间隙是指衬底的镀覆面和元件的面对衬底的表面之间的距离。
元件的厚度和孔隙率可以在元件的整个主体内变化。该元件的最大厚度在一些实施方案中其范围在介于约10mm至约50mm之间,并且最小的孔隙率典型地在介于约1-5%之间的范围内,优选小于约3%。如果该元件是具有钻出的非连通通道的板,孔隙率被确定为元件的面对衬底的表面上的通道开口的面积与元件的面对衬底的表面的总面积的比值。如果元件具有3维的孔网络,则孔隙率被确定为孔的体积与元件的总体积的比值。
在一些实施方式中,元件的孔是非连通通道(例如,在电介质板内的不在板内互连的钻出的孔)。这些通孔不同于三维(3-D)孔网络,在三维孔网络中所述通道在三个维度延伸并形成互连的孔结构。有非连通通孔的元件的一个实例是由离子阻性材料制成的盘状物,离子阻性材料如聚乙烯、聚丙烯、聚偏二氟乙烯(PVDF)、聚四氟乙烯、聚砜、聚氯乙烯(PVC)、聚碳酸酯、以及类似物,具有数目介于约6000个-12000个之间的一维(1-D)通孔。在一些实施方案中,元件可以进一步具有将电解液流整形的功能,并且可以使大量的电解液能穿过其主体的通道,并提供在晶片表面的电解液冲击流。通道的直径不应大于在衬底和元件的面对衬底的表面之间的距离,并且通常直径不应超过5mm。典型地,通道的直径在介于约0.5-1mm之间的范围内。例如,通道可以具有0.508mm或0.66mm的直径。通道可以被以90度角或以不同的倾斜角引导到元件的面对衬底的表面。
在其它实施方式中,孔是在元件的主体内相互连接的三维网络,并且元件由多孔介电材料(如多孔碳化硅、多孔玻璃等)制成。
具有变化的局部电阻率的离子阻性离子可穿透元件在图2A中示出。具有变化的局部电阻率的元件被定义为具有至少两个具有变化的局部电阻率的离子可穿透标准区域的元件。值得注意的是,不是离子可穿透的区域(如覆盖有屏蔽件或仅具有被阻塞的孔的区域)不被用于判定元件的局部电阻率中,并且不被认为是如在本文所提供的元件的一部分。电阻率指的是穿过元件朝向阴极衬底行进的离子流的电阻率,前提是该元件的所有孔隙被用相同的电解液填充。如本文所使用的标准区域指的是通过利用该元件的面对衬底的表面上的标准面积并使该标准面积沿垂直于面对衬底的表面的平面的方向穿过元件且在元件的下面的(相反的)表面终止而得到的元件的体积部分。如本文所定义的标准面积等于元件的面对衬底的表面的面积的0.35%。例如,如果面对衬底的表面是直径为30cm的圆,则所述面对衬底的表面的面积是706.5cm2,而用于局部电阻率确定的标准面积为约2.5cm2。局部孔隙率用类似的途径确定,并且被定义为是元件的通过利用该元件的面对衬底的表面上的标准面积并使该标准面积沿垂直于面对衬底的表面的方向穿过元件且在元件的下面的(相反的)表面终止而得到的体积部分内的孔隙率。在孔隙率是由离散的穿过元件的竖直孔产生的情况下,标准面积的准确位置的小变化将导致小的变化(通常小于3%),具体取决于该面积是否捕获孔的最大数量与最小数量。所提供的元件的一些实施方式具有变化的局部孔隙率,即至少两个不同的标准区域具有不同的孔隙率。
图2A示出了元件201的示意性俯视图,其示出了该元件的面对衬底的表面和多个示例性的标准面积205、207、209、213、215、217(元件的面对衬底的表面的下方的凭想象(mentally)切除的体积部分未示出)。例如,如果在任何两个标准面积正下方的区域中的局部电阻率是不同的,则该元件具有变化的局部电阻率。例如,如果在标准面积205下方和在标准面积217下方的区域的电阻率是不同的,则该元件具有变化的局部电阻率。用于测定局部电阻率的标准面积应是非重叠的。差异应该是归因于元件的真实非均匀性(例如,元件中的厚度变化和/或孔隙率变化),而不是归因于标准区域在均匀元件中的最小和最大数目的通道上的位置的变动。
在一些实施方式中,元件中的局部电阻率逐渐变化。逐渐变化被定义为存在至少四个沿元件的面对衬底的表面上的任何矢量增大或减小的局部电阻率值。在一些实施方式中,矢量是在图2A所示的面对衬底的表面的半径,如半径203。在一些实施方式中,局部电阻率从元件的中心朝向元件的边缘逐渐增大。电阻率的这种逐渐增大的一个示例是其中在面积205下面的标准区域中的局部电阻率大于在面积207下面的标准区域中的局部电阻率,在面积207下面的标准区域中的局部电阻率进而大于在面积209下面的标准区域中的局部电阻率,在面积209下面的标准区域中的局部电阻率进而大于在面积211下面的标准区域中的局部电阻率这样的实施方式。尽管在图2A仅示出了一个半径,但是在这些实施方式中的一个中,局部电阻率在整个元件上从元件的中心沿径向朝向边缘增大。这种实施方式特别适合用于解决电镀期间的终端效应。
具有变化的局部电阻率的元件的另一实施方式在图2B中示出。元件的示意性俯视图被显示。在本实施方式中,元件201包括中央部分223和具有恒定电阻率的外部部分221,其中,局部电阻率变化。在所描绘的实施方式中,电阻率在径向方向上从元件的中心朝向与恒定电阻率的部分221的界面处逐渐增大,如箭头所示。尽管只示出了四个箭头,但应理解,在本实施方式中,电阻率在中心部分223的整体上沿所描述的径向方向变化。本实施方式是非常适合于解决由于在经处理的衬底上的中心厚的光致抗蚀剂层引起的径向非均匀性问题,如参照图1B所描述的。
元件的局部电阻率可以用多种途径来改变。这些途径包括改变元件的厚度和/或孔隙率。
例如,当元件是具有多个非连通通道的板时,局部电阻率由公式(1)确定:
R=L/(ρAK)=4L/(πρDK) (1),
其中R是局部电阻率,L是通道的长度,A是通道的截面面积,ρ是通道的密度,D是通道的直径,而K是通道内的电解液的导电率。
当通道是垂直于元件的面对衬底的表面时,在元件的每个位置的通道的长度等于该元件的在该位置的厚度(在元件的面对衬底的表面和元件的相反的表面之间的距离)。因此,在元件内的局部电阻率可通过改变元件的厚度,同时在整个元件将局部孔隙率保持在恒定值而变化。
具有变化的厚度和变化的局部电阻率的元件的一个示例示于图2C。该实例呈现具有平坦的面对衬底的表面233和凸起的相反的表面235的元件231的示意性剖视图。在本示例中,元件的厚度从元件的边缘沿径向方向到中心逐渐减小。元件的局部电阻率类似地从元件的边缘沿着元件的半径朝向元件的中心以渐变方式减小。多个具有相等直径的并以90度角被引导到元件的面对衬底的表面的均匀分布的通道将凸起的表面235与面对衬底的表面233连接。在一些实施方式中,表面235遵循(follow)二阶多项式函数(当沿径向截面观察时)。在其它实施方式中,表面235可以遵循不同的函数,例如线性函数,或者局部电阻率可以以逐级的(step-wise)方式朝着中心减小。典型地,元件的厚度的变化为介于元件的最大厚度的约3-100%之间,例如介于约3-10%之间,更优选介于元件的最大厚度的约7-10%之间。通常,元件的在边缘的厚度比元件的在中心的厚度大0.54-1.8mm,例如比元件的在中心的厚度大1.3-1.8mm。例如在边缘的最大厚度可以是在介于约15-18mm之间的范围内,而在中心的最小厚度可在介于约16.5-17.5mm之间的范围内。在一个具体实施例中,元件的在边缘的厚度为约18mm,而在中心的厚度为约16.7mm。
具有变化的局部电阻率的元件的另一示例示于图2D中。在本示例中,元件具有均匀的厚度,但是在元件中的通道的密度是局部变化的。在所描绘的元件231的横截面图中,面对衬底的表面233和相反的表面235是平行的并且由多个垂直于两个表面的非连通通道连接。在本实施例中通道的局部密度是变化的,以致通道的局部密度沿径向方向朝向衬底的中心逐渐减小。因此,在元件的边缘附近的通道的局部密度比在元件的中心附近的通道的局部密度小。因此,在该元件中的局部电阻率从元件的边缘部分沿径向方向朝向元件的中心逐渐减小。通道的局部密度被确定为在之前定义的标准区域内的通道的密度。在一些实施方式中,在晶片的边缘处的通道的局部密度是在每个区域有介于约10个-60个之间的通道,并且在晶片的中心的通道的局部密度是在每个区域有介于约40个-100个之间的通道(其中该区域的标准面积对应于元件的面对衬底的表面的总面积的0.35%,例如,对应于具有706.5平方厘米的面积的元件上的2.5平方厘米的区域)。在一个具体的示例中,该元件具有在边缘的每标准区域约10个通道的通道密度,以及在中心的每标准区域约40个通道的通道密度。在另一具体的示例中,该元件具有在边缘的每标准区域约15个通道的通道密度,以及在中心的每标准区域约60个通道的通道密度。
如可以从公式(1)中可以看出的局部电阻率也可以通过调节通道的尺寸(或者当通道是圆筒形时通过调节通道的直径)来调制。在图2E中所示的实例中,通道被均匀地在元件231的平行的表面233和表面235之间分配,而通道的直径与它们的位置相关联地变化。在这个示例中,通道的直径在从元件的边缘部分沿径向方向朝向元件的中心逐渐增大。由此实现局部电阻率在径向上的逐渐减小。在一些实施方式中,通道的直径从元件的边缘到中心增大约4%至8%。例如通道的直径从元件的边缘到中心增大约0.01mm至0.04mm。在一个实施方案中,在元件的边缘的通道的直径是0.6mm,而在元件的中心的通道的直径是约0.625mm。
虽然在许多实施方式中,元件仅包括垂直于元件的面对衬底的表面的通道,但在一些实施方式中,使用具有不是90度的倾斜的角度的通道可能是有利的。倾斜的角度在本文中定义为在元件的通道和面对衬底的表面的平面之间形成的锐角。在一些实施方式中,该角度通常在约35-90度之间的范围内局部地变化,以实现局部电阻率的变化。其中通道以较小角度倾斜的位置具有相对较长的通道,并因此具有较大的电阻率。具有倾斜角度变化的通道的元件的一个示例示于图2F中。在本示例中,元件231具有均匀的厚度,但将平行的表面233和表面235连接的通道显示出逐渐变化的倾斜角度,以致所述倾斜角度从元件的边缘部分沿径向方向朝向元件的中心部分逐渐增大(在所描绘的示例中,所述倾斜角为90度)。
局部电阻率的变化也可通过局部孔隙率的变化来实现,其中,局部孔隙率可以通过改变通道的直径、通道的密度、或者这两者的组合而变化。此外,如果元件包含三维孔的网络,则局部孔隙率通过改变由在元件的各个位置的这些孔占据的体积而变化。在一些实施方式中,局部孔隙率从元件的边缘部分沿径向方向朝向元件的中心部分逐渐增大。在一些实施方式中,孔隙率可以增大约10%-200%,如50%-150%。例如,在一些实施方式中,在元件的边缘处的局部孔隙率为约4%,而在元件的中心处的局部孔隙率为约8%。该变化可以遵循各种函数,包括遵循线性函数,以及二阶多项式函数。
上文所说明的原理可以应用于任何类型的局部电阻率的变化。例如局部电阻率可以在元件的选定区域而不是在如图2C-2F中所示的整个元件变化(例如,通过厚度变化、孔隙率变化或两者而变化)。图2G示出了元件231,其包括恒定厚度的具有宽度D1的区域,该区域围绕变化的厚度的具有直径D2的区域。在本示例中,厚度是从与元件的恒定厚度的区域的界面沿半径方向朝向中心逐渐减小。本示例说明了一种类型的可用于在具有中心厚的光致抗蚀剂层的衬底上电镀时改善电镀均匀性的元件。用于厚度变化量的特定参数以及用于恒定厚度区的宽度的特定参数取决于晶片上的非均匀性的几何特征。通常情况下,当晶片包含围绕具有可变厚度的光致抗蚀剂的区域的恒定厚度的光致抗蚀剂的区域时,元件(其在电镀在面朝下的方位进行时,存在于晶片的正下方)的厚度应具有针对该轮廓特制的厚度。具体而言,元件的存在于晶片的具有恒定光致抗蚀剂厚度的区域正下方的区域应该具有恒定的厚度,而元件的存在于晶片的具有可变的光致抗蚀剂厚度的区域正下方的区域应该具有可变的厚度,其中元件的厚度应当随着光致抗蚀剂的厚度增大而减小。一般而言,在本实施方式中,元件的具有恒定厚度的区域是具有约60-120mm的宽度的环形区域,以及具有可变厚度的内部区域具有的直径为约30-60mm。在一个实施方式中,元件的在恒定厚度区域中的厚度为约14mm,而在元件的中心的厚度为约7mm。
应当指出的是,元件的电阻率、其厚度和孔隙率分布应适合于正被处理的晶片的类型。因此,例如,在500埃的籽晶层上提供均匀的镀覆的元件可在300埃的籽晶层上提供非均匀的镀覆。同样,针对在中心厚的光致抗蚀剂层上均匀镀覆特制的元件可以在均匀的光致抗蚀剂层上提供非均匀的镀覆。本文所讨论的原理(如对于较薄的籽晶层,提供具有较大的电阻率和较大的中心到边缘的局部电阻率变化的元件,并且对于元件的位于较厚的光致抗蚀剂正下方的区域提供较大的局部电阻率)可以被用来制造针对不同类型的衬底特制的各种元件。
装置
具有变化的局部电阻率的离子阻性离子可穿透元件可以用于各种电镀装置中,包括晶片面朝上的装置和晶片面朝下的装置。可包含所提供的元件的晶片面朝下的装置的一个示例是可从加利福尼亚州弗里蒙特的Lam Research Corporation获得的Sabre 3DTM电镀系统。通常,电镀装置包括:被配置成在电镀金属到半导体衬底上时容纳电解液和阳极的电镀室;衬底支架,其配置成在电镀期间保持所述半导体衬底,使得所述衬底的镀覆面与所述阳极分开;以及离子阻性离子可穿透元件,其具有变化的局部电阻率的区域,该区域已在之前部分中进行描述。具有变化的局部电阻率的区域与元件可以是共同延伸的,或者该区域可以仅是元件的局部化的部分。
在图3中呈现了装置的一个示例,其中,离子阻性离子可穿透元件具有在整个元件径向变化的厚度。示出了电镀装置301的示意性剖视图。电镀容器303包含电镀溶液(电解液),其通常包括金属离子源和酸。晶片305在面朝下的方位浸入电镀液,并通过“翻盖式”保持夹具307保持,该保持夹具307安装在可旋转轴309上,可旋转轴309允许翻盖307与晶片305一起双向旋转。具有适用于本发明的方面的翻盖式电镀装置的一般说明在授权给Patton等人的美国专利6,156,167,以及授权给Reid等人的美国专利6,800,187中被详细描述,这些专利通过引用并入本文。阳极309(其可以是惰性或可消耗阳极)设置在电镀浴303内的晶片下方,并通过膜311(优选离子选择性膜)与晶片区域分隔开。阳极膜下方的区域313通常被称为“阳极室”或“阳极电解液分室”,在此室中的电解液被称为“阳极电解液”。膜311上方的区域315被称为“阴极电解液分室”。离子选择性阳极膜311允许电镀槽的阳极和阴极区域之间的离子连通,同时防止在阳极产生的颗粒进入晶片的附近并污染它,和/或防止存在于阴极电解液中的不希望有的化学物质接触阳极313。具有变化的局部电阻率的离子阻性离子可穿透元件317紧邻晶片305驻留,与该晶片是共同延伸的,并且与该晶片通过10mm或小于10mm的填充电解液的间隙分离开。
通过泵(未示出)连续提供电镀溶液至电镀浴303。在一些实施方式中,电镀溶液向上流动穿过膜311和穿过紧邻晶片定位的具有变化的电阻率的离子阻性离子可穿透元件317。在其他实施方式中,例如当膜311对电镀流体的流是基本上不可穿透时(例如纳米多孔介质,诸如阳离子膜),电镀流体在膜311和元件317之间例如在室的周边进入电镀室,然后流动通过该元件。在这种情况下,阳极室内的电镀流体可以循环,压力可独立于阴极室进行调节。此单独调节例如在2013年12月10日授权的美国专利No.8,603,305以及2003年3月4日授权的美国专利No.6,527,920中被描述,该两个专利其全部内容通过引用并入本发明。
在所描绘的示例中,具有变化的电阻率的元件317具有变化的厚度,其中,它的厚度从元件的边缘沿径向方向朝向元件的中心逐渐减小。也可使用其它类型的具有变化的局部电阻率的元件(如具有变化的局部孔隙率的元件)。箭头示意地示出在所示的装置中的电镀电流。起源于阳极309的电流被朝上引导,穿过将阳极电解液分室和阴极电解液分室分离开的膜311,并穿过元件317。在所描绘的示例中,离子流在电镀室的中心部分遇到的电阻比在电镀室的边缘处遇到的电阻低,因为元件317在中心处是较薄的。这减轻了终端效应,并提高电镀均匀性。
直流电源(未示出)与晶片305和阳极309电连接,并且被配置为使晶片305负偏置以及使阳极309正偏置。该装置还包括控制器319,其允许调制提供给电镀槽的元件的电流和/或电势。控制器可包括指定需要施加于电镀槽的各种元件的电流和电压电平以及这些电平需要改变的时间的程序指令。该控制器还可以包括指定电解液输送和电解液组分的速率以及衬底被旋转的速率的程序指令。通常,控制器是与电镀装置的部件电连接,并且可以包括指定所提供的电镀方法的任何参数的程序指令或逻辑。
所提供的装置的附加特征
在一些实施方式中,优选地,给具有离子阻性离子可穿透元件的装置配备歧管,该歧管在晶片的表面附近提供电解液的交叉流。这样的歧管对于在相对大的凹陷特征(例如WLP或TSV特征)中的电镀尤其有利。在这些实施方式中,装置可以包括布置在该元件和晶片之间的流整形器件,其中所述流整形器件提供基本上平行于晶片衬底的表面的交叉流。例如流整形器件可以是Ω形板,其引导交叉流朝向Ω形板中的开口。图4A中示出了这样的配置的剖面绘图,其示出了电解液在基本上垂直于晶片的电镀表面的方向上进入离子阻性离子可穿透元件401,并且在离开离子阻性离子可穿透元件401之后,因为电解液的流由壁限定,因而诱导在基本上平行于该晶片的电镀表面的方向上的交叉流。电解液在基本上平行于衬底的表面的方向上横向流动通过衬底的中央被实现。在一些实施方式中,通过在基本上平行于衬底的表面的方向上在期望的角度位置(例如,基本上跨越开口)喷射阴极电解液而进一步诱导交叉流。在本实施方式中,装置包括通向介于衬底和元件之间的间隙的用于引导电解液朝向所述间隙流动的入口,和通向所述间隙的用于接收流过所述间隙的电解液的出口,其中,所述入口和所述出口被定位成邻近所述衬底的镀覆面的在方位角上相对的周界位置,并且其中,所述入口和所述出口都适合于在所述间隙内产生电解液的交叉流。该实施方式示于图4B中,其中示出了喷射歧管403,其横向地喷射阴极电解液进入离子阻性离子可穿透元件401和衬底之间的狭窄间隙。在于2014年8月5日授权的、名称为“Control ofElectrolyte Hydrodynamics for Efficient Mass Transfer Control duringElectroplating”的、Mayer等人的美国专利No.8,795,480中,以及在于2013年11月28日公开的、名称为“Cross Flow Manifold for Electroplating Apparatus”的、Abraham等人的美国专利公开No.2013/0313123中详细描述了可结合本文提供的实施方式使用的用于提供在晶片表面的电解液的交叉流的交叉流歧管和流整形元件,这些专利的全部内容通过引用并入本文。尽管在图示的示例中,在图4A和4B中所示的元件401的一部分被显示为具有恒定的厚度,但应理解,该元件具有变化的局部电阻率(例如,通过局部孔隙率的变化而导致),如本文所述的。还应当注意,在晶片的表面上采用电解液的交叉流(或者通过沿横向方向直接喷射电解液,或者通过设置适当的流整形器件)的这些实施方式中,特别重要的是,采用平行于衬底的电镀面的离子阻性离子可穿透元件,该元件具有平坦的面对衬底的表面,因为这样的表面使得在晶片的表面上能无阻碍地横向流动。而在一般情况下,也可以使用具有弯曲的面对衬底的表面的离子阻性离子可穿透元件,但确定具有平坦的面对衬底的表面的元件是优选的,因为横向流在两个平坦的表面(晶片的表面与元件的面对衬底的表面)之间是最佳的。
电镀装置还可以包括可以帮助调整电沉积的均匀性的一个或多个附加组件。例如,在一些实施例中,装置还包括位于所述衬底的外周附近的并被配置成从衬底的边缘附近部分转移电镀电流的抢电阴极(thieving cathode)。在一些实施方式中,装置可进一步包括在电镀电流的路径上的一个或多个介电屏蔽件以限制在屏蔽区中的电流。这些任选的组件未在装置的示图中示出以保持清晰。
方法
提供了以改善的均匀性电沉积金属的方法。在图5中呈现的工艺流程图示出了合适的电镀法。在操作501中,将晶片衬底提供至有具有变化的局部电阻率的离子阻性离子可穿透元件的电镀装置内。例如,可以使用被配置为用于穿过抗蚀剂电镀并具有多个凹陷特征的衬底,例如在图1A或图1B所示的衬底。使衬底的镀覆表面与电解液接触并且将衬底定位成使得该离子阻性离子可穿透元件的面对衬底的表面存在于紧邻衬底的电镀表面的位置。衬底电连接到电源,通常使用多个位于衬底的周边的电触点进行。在操作503,使衬底阴极偏置,并将金属电镀到衬底上。衬底在电镀过程中典型地以约2 150rpm之间的转速转动。在一些实施方式中,在电镀过程期间,将电解液连续泵入电镀室中。金属(如铜)层沉积在衬底上。电镀结束后,传送衬底以用于后续处理。例如,在穿过抗蚀剂处理中,电镀后的下一个步骤通常是使用已知的光致抗蚀剂剥离方法除去光致抗蚀剂。
方位角非均匀性的校正
在一些实施方式中,具有变化的局部电阻率的离子阻性离子可穿透元件用于在电镀期间改善方位角均匀性。在一些半导体衬底中,凹陷特征的分布在方位角上是不均匀的,这可能导致电镀层的方位角上的非均匀性。其它衬底可能由于在衬底的边缘存在凹口,因而在方位角上是不对称的。这种凹口会导致在衬底上的离子流在方位角上的非均匀分布,同时在凹口附近存在电流拥挤。
在一些实施方式中,方位角上的非均匀性使用具有方位角不对称区域的离子阻性离子可穿透元件校正,该方位角不对称区域具有不同于元件的其余部分的平均电阻率的平均电阻率。该区域的面积(指面对衬底的表面的面积)应该是元件的面对衬底的表面的总面积的至少0.35%。这种元件的一个示例在图6中示出,其显示了具有方位角不对称区域603的离子阻性离子可穿透元件601的示意性俯视图,方位角不对称区域603具有不同于元件的其余部分的平均电阻率的平均电阻率。例如,区域603相比于元件的其余部分可以具有较大的厚度,或者可以具有较少的孔,但对于离子流仍然是可穿透的。这种元件可以是在本文所述的任何类型的电镀装置内。图7示出了用于使用这种方位角不对称元件的电镀方法的工艺流程图。在操作701,将衬底提供至具有离子阻性离子可穿透元件的电镀装置内,该离子阻性离子可穿透元件具有方位角不对称离子可穿透区域,该方位角不对称离子可穿透区域具有与元件的其余部分的平均电阻率不同的平均电阻率。下一步,在操作703,电镀金属到衬底上,同时相对于所述元件旋转所述衬底,使得所述衬底的选定的方位角不对称区域停留在所述元件的具有不同的电阻率的不对称区域上相比于所述衬底的具有相同的径向位置和相同的平均弧长但存在于不同的方位角位置的不同区域持续不同的时间量。例如,晶片衬底可以以第一速度旋转,并且当在晶片衬底上的选定的方位角不对称区域越过元件上的具有不同电阻率的方位角不对称区域时可以减慢到较低的第二速度。当衬底的选定区域在元件的较具阻性的部分上减慢时,在衬底的该选定区域中的离子流拥挤可以减轻。这种方法相比于包括通过减慢在方位角不对称屏蔽件(或元件的具有完全堵塞的孔的部分)上的选定的方位角不对称区域来校正方位角非均匀性的方法可以提供优点,因为不同于屏蔽件,具有不同的电阻率的方位角不对称区域是离子可穿透的,并且因此由晶片衬底经受的电镀电流的变化会是较不急剧的。
实施例
使用计算机建模来研究具有300mm的直径和厚度为500埃的铜籽晶层的圆形衬底上电镀铜的均匀性。
实施例1。参照图8,示出了电流密度的z分量(其与电镀厚度直接相关)与晶片衬底上的径向距离的函数关系曲线图。在X轴上的零对应于晶片的中心;在X轴上的150mm对应于300mm的晶片的边缘。
图8的曲线(a)通过将在有具有平坦的面对晶片的顶表面和相反的凸起的表面的离子阻性离子可穿透元件的系统中的电镀建模而获得。该元件具有变化的局部电阻率,其从元件的边缘朝元件的中心减小。该元件与晶片是共同延伸的并位于晶片的2.5mm(指晶片的电镀表面和元件的面对晶片的表面之间的距离)内。元件在边缘的厚度为21mm,而在中心为12.5mm。该元件的厚度从元件的边缘沿径向方向朝元件的中心逐渐减小,遵循等式(2):
t=0.38649858-0.00052899r-0.01118511r2 (2),
这是一个二阶多项式方程,其中t为元件的厚度,r是径向位置。元件的孔隙率是均匀的并且等于4%。
通过使用相同的参数进行建模获得曲线(b),但离子阻性离子可穿透元件具有均匀的电阻率、12.5mm的均匀厚度并且其面对晶片的表面和相反的表面都是平坦的且相互平行。
通过使用相同的参数进行建模获得曲线(c),但所述离子阻性离子可穿透元件具有均匀的电阻率、12.5mm的均匀厚度并且其面对晶片的表面和相反的表面都是凸起的。从元件的面对晶片的表面到晶片的电镀表面的距离在所述元件的中心是4.5mm,并且在晶片的边缘是13mm。
从曲线(a)、(b)和(c)中可以看出,对应于利用具有变化的局部电阻率的元件电镀的曲线(a)产生电镀电流的最均匀的径向分布。在中心和中半径(mid-radius)范围获得在电镀的均匀性方面的最显著的增益(与具有均匀电阻率的元件相比)。
图8示出了均匀性可以通过使用具有可变的厚度和恒定的孔隙率的元件加以改进。图9示出了均匀性可以通过使用具有可变的孔隙率和恒定的厚度的元件加以改进。
实施例2。参照图9,示出了电流密度的z分量(其与电镀厚度直接相关)与晶片衬底上的径向距离的函数关系曲线图。在X轴上的零对应于晶片的中心;在X轴上的150mm对应于300mm的晶片的边缘。
图9的曲线(a)通过将在有具有平坦的面对晶片的顶表面和相反的平坦的表面的离子阻性离子可穿透元件的系统中的电镀建模而获得。该元件具有12.5mm的均匀厚度。该元件与晶片是共同延伸的并位于晶片的2.25mm(指晶片的电镀表面和元件的面对晶片的表面之间的距离)内。元件的在边缘的局部空隙率为4%,并且在中心为8%。元件的局部空隙率在从元件的边缘沿径向方向朝向元件的中心逐渐减小。在所描绘的实施例中,孔隙率遵循线性函数朝向中心减小。
通过使用相同的参数进行建模获得曲线(b),但离子阻性离子可穿透元件具有4%的均匀孔隙率。
通过使用相同的参数进行建模获得曲线(c),但离子阻性离子可穿透元件具有8%的均匀孔隙率。
从曲线(a)、(b)和(c)中可以看出,对应于利用具有变化的局部电阻率的元件电镀的曲线(a)产生电镀电流的最均匀的径向分布。在中心和中半径范围获得在均匀性方面的最显著的增益(与具有均匀电阻率的元件相比)。
实施例3。图10示出实验的(曲线(b)和(c))和外推的(曲线(a))实施例,用于使用不同类型的离子阻性离子可穿透元件在均匀和非均匀的晶片上电镀铜。曲线(a)和(b)用具有在空间上异型的(profiled)厚度(和电阻率)的元件得到,如图2G所示的。该元件含有在元件外部的有恒定厚度和恒定电阻率的环形区域。此区域具有宽度D1=90mm以及具有12.7mm的恒定的厚度。元件的内部(对应于120mm的内径D2)具有可变的厚度,其中该厚度从与元件的外部的界面处的12.7mm沿径向方向逐渐减小到在元件的中心的6.35mm。使用在整个元件具有恒定的电阻率和12.7mm的恒定厚度的元件获得曲线(c)。曲线(a)是用于在均匀晶片上电镀的计算曲线。曲线(b)和(c)是针对在具有中心厚的光致抗蚀剂层的非均匀晶片上的电镀得到的,其中,在晶片的外部的光致抗蚀剂的厚度是恒定的,并且等于约63微米,而在晶片的内部(对应于120mm的内径)的光致抗蚀剂具有可变的厚度,其中,光致抗蚀剂的厚度从与晶片的外部的界面处的63微米沿径向方向逐渐增大到在晶片的中心的约78微米。
可以从图10看出,电阻率异型元件有效地补偿由中心厚的光致抗蚀剂产生的非均匀性。具体地,曲线(a)显示,如果均匀的晶片与中心变薄的元件一起使用,则结果将是中心厚的电镀。曲线(c)显示,如果具有中心的厚的光致抗蚀剂的晶片与均匀厚度的元件一起使用,则这将导致中心薄的电镀。最后,曲线(b)表明,如果具有中心厚的光致抗蚀剂的晶片与中心薄的元件匹配(如在图2G示出的),那么将观察到显著更均匀的电镀。
控制器
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制输送功率到初级阳极、次级电极、和衬底的参数。具体而言,控制器可以提供用于功率施加的时序,所施加的功率的电平等的指令。
宽泛地讲,控制器可以被定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式通信到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个层、电路和/或管芯期间完成一个或多个处理步骤的配方的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云”中或者是fab主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室上的工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、群集工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
本文所述的装置/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使用例如湿式工作台之类的工具使该抗蚀剂显影以便选择性地去除抗蚀剂并且从而将其图案化;(5)通过使用干法或等离子体辅助蚀刻工具将抗蚀剂图案转印到下伏的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。

Claims (23)

1.一种电镀装置,其包括:
(a)电镀室,其被配置成在电镀金属到半导体衬底上时容纳电解液和阳极;
(b)衬底支架,其被配置成在电镀期间保持所述半导体衬底,使得所述衬底的镀覆面与所述阳极分开;
(c)离子阻性离子可穿透元件,其包括基本上平坦的面对衬底的表面和相反的表面,其中所述元件在电镀期间使得离子流能穿过所述元件朝向所述衬底流动,并且其中所述元件包括具有逐渐变化的局部电阻率的区域。
2.根据权利要求1所述的电镀装置,其中所述具有变化的局部电阻率的区域与所述元件是共同延伸的,并且其中在所述区域中的所述局部电阻率从所述元件的边缘沿径向到所述元件的中心减小。
3.根据权利要求1所述的电镀装置,其中所述元件包括围绕所述变化的局部电阻率的区域的恒定的局部电阻率的区域,其中所述逐渐变化的局部电阻率的区域位于所述元件的中心部分,并且其中在所述变化的局部电阻率的区域中的所述局部电阻率从与所述恒定的电阻率的区域的界面沿径向朝向所述元件的中心减小。
4.根据权利要求1所述的电镀装置,其中,所述元件在所述具有变化的局部电阻率的区域中具有逐渐变化的厚度和恒定的孔隙率。
5.根据权利要求1所述的电镀装置,其中,所述元件在所述具有变化的局部电阻率的区域中具有逐渐变化的孔隙率和恒定的厚度。
6.根据权利要求1所述的电镀装置,其中,所述元件在所述具有变化的局部电阻率的区域中具有逐渐变化的孔隙率和逐渐变化的厚度两者。
7.根据权利要求1所述的电镀装置,其中所述元件具有多个通过离子阻性材料制成的并且将所述元件的所述面对衬底的表面与所述元件的所述相反的表面连接的非连通通道,其中所述元件使得所述电解液能够穿过所述通道朝向所述衬底运动。
8.根据权利要求7所述的电镀装置,其中所述具有变化的局部电阻率的区域具有所述非连通通道的逐渐变化的密度。
9.根据权利要求7所述的电镀装置,其中所述具有变化的局部电阻率的区域具有所述非连通通道的逐渐变化的直径。
10.根据权利要求7所述的电镀装置,其中所述具有变化的局部电阻率的区域具有所述非连通通道相对于由所述衬底的所述镀覆面限定的平面的逐渐变化的倾斜角。
11.根据权利要求1所述的电镀装置,其中所述具有变化的局部电阻率的区域与所述元件是共同延伸的,并且其中由于所述元件的厚度从所述元件的边缘到所述元件的中心逐渐减小,因此在所述区域中的所述局部电阻率从所述元件的边缘沿径向到所述元件的中心减小。
12.根据权利要求11所述的电镀装置,在沿径向截面观察时,所述元件的所述相反的表面是遵循二阶多项式函数的凸起的表面。
13.根据权利要求1所述的电镀装置,其中所述元件包括围绕所述具有变化的局部电阻率的区域的恒定厚度的区域,其中,所述具有变化的局部电阻率的区域位于元件的中心部分,并且其中在所述具有逐渐变化的局部电阻率的区域中所述元件的厚度从与所述恒定厚度的区域的界面沿径向朝向所述元件的中心减小。
14.根据权利要求1所述的电镀装置,其中所述元件具有可变的厚度,并且其中所述厚度的变化介于所述元件的最大厚度的3-100%之间。
15.根据权利要求1所述的电镀装置,其中所述元件与所述半导体衬底是基本上共同延伸的,并具有介于6000-12000个之间的用离子阻性材料制成的非连通通道。
16.根据权利要求1所述的电镀装置,其中在电镀期间,所述元件的所述面对衬底的表面与所述半导体衬底的镀覆面通过10mm或小于10mm的间隙分离开。
17.根据权利要求16所述的电镀装置,还包括通向所述间隙的用于引导电解液朝向所述间隙流动的入口,和通向所述间隙的用于接收流过所述间隙的电解液的出口,其中,所述入口和所述出口被定位成邻近所述衬底的所述镀覆面的在方位角上相对的周界位置,并且其中,所述入口和所述出口都适合于在所述间隙内产生电解液的交叉流。
18.根据权利要求1所述的电镀装置,其还包括具有用于电镀金属到所述半导体衬底上的程序指令的控制器。
19.一种在包含多个凹陷特征的半导体衬底上电镀金属的方法,该方法包括:
(a)提供衬底到电镀室中,该电镀室被配置成在电镀金属到所述衬底上时容纳电解液和阳极,其中所述电镀室包括:
(i)衬底支架,其保持所述衬底,使得在电镀期间所述衬底的镀覆面与所述阳极分开,以及
(ii)离子阻性离子可穿透元件,其包括基本上平坦的面对衬底的表面和相反的表面,并且其中所述元件包括具有逐渐变化的局部电阻率的区域,
(b)电镀金属到所述衬底的镀覆表面,同时阴极偏置和旋转所述半导体衬底并且使得离子流穿过具有逐渐变化的局部电阻率的所述离子阻性离子可穿透元件。
20.如权利要求19所述的方法,还包括:
(a)将光致抗蚀剂施加到所述半导体衬底上;
(b)使所述光致抗蚀剂暴露于光;
(c)图案化所述光致抗蚀剂并将所述图案转印到所述半导体衬底上;以及
(d)从所述半导体衬底选择性地去除所述光致抗蚀剂。
21.一种用于电镀的方法,其包括:
(a)提供半导体衬底到电镀装置中,该电镀装置包括
(i)电镀室,其被配置成在电镀金属到半导体衬底上时容纳电解液和阳极;
(ii)衬底支架,其被配置成保持所述半导体衬底,使得在电镀期间所述衬底的镀覆面与所述阳极分开;以及
(iii)离子阻性离子可穿透元件,其中所述元件在电镀期间使得离子流能穿过所述元件朝向所述衬底流动,并且其中所述元件包括方位角上不对称离子可穿透区域,该区域具有与所述元件的其余部分的平均电阻率不同的平均电阻率;以及
(b)电镀金属到所述半导体衬底上,同时相对于所述离子阻性离子可穿透元件旋转所述半导体衬底,使得在所述衬底上的选定区域停留在所述元件的具有与在所述元件的其余部分的电阻率不同的电阻率的所述方位角不对称区域上相比于所述衬底的具有相同的面积、相同的平均径向位置、和相同的平均弧长但存在于不同的方位角位置的另一区域持续不同的时间量。
22.根据权利要求21所述的方法,其还包括:
(a)将光致抗蚀剂施加到所述半导体衬底上;
(b)使所述光致抗蚀剂暴露于光;
(c)图案化所述光致抗蚀剂并将所述图案转印到所述半导体衬底上;以及
(d)从所述半导体衬底选择性地去除所述光致抗蚀剂。
23.一种包括根据权利要求1-18中任一项所述的电镀装置和步进式光刻机的系统。
CN201610318396.3A 2015-05-14 2016-05-13 利用离子阻性离子可穿透元件电镀金属的装置和方法 Active CN106149024B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910552629.XA CN110306224B (zh) 2015-05-14 2016-05-13 利用离子阻性离子可穿透元件电镀金属的装置和方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/712,553 2015-05-14
US14/712,553 US10014170B2 (en) 2015-05-14 2015-05-14 Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201910552629.XA Division CN110306224B (zh) 2015-05-14 2016-05-13 利用离子阻性离子可穿透元件电镀金属的装置和方法

Publications (2)

Publication Number Publication Date
CN106149024A CN106149024A (zh) 2016-11-23
CN106149024B true CN106149024B (zh) 2019-07-23

Family

ID=57275963

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201910552629.XA Active CN110306224B (zh) 2015-05-14 2016-05-13 利用离子阻性离子可穿透元件电镀金属的装置和方法
CN201610318396.3A Active CN106149024B (zh) 2015-05-14 2016-05-13 利用离子阻性离子可穿透元件电镀金属的装置和方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201910552629.XA Active CN110306224B (zh) 2015-05-14 2016-05-13 利用离子阻性离子可穿透元件电镀金属的装置和方法

Country Status (4)

Country Link
US (2) US10014170B2 (zh)
KR (1) KR20160134532A (zh)
CN (2) CN110306224B (zh)
TW (1) TWI758248B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US10167567B2 (en) * 2015-11-30 2019-01-01 Taiwan Semiconductor Manufacturing Company Limited High resistance virtual anode for electroplating cell
WO2017120003A1 (en) * 2016-01-06 2017-07-13 Applied Materials, Inc. Systems and methods for shielding features of a workpiece during electrochemical deposition
US10781527B2 (en) * 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
CN107955958A (zh) * 2017-11-17 2018-04-24 德淮半导体有限公司 晶圆的金属电镀装置
ES2772938A1 (es) * 2018-12-21 2020-07-08 Srg Global Liria S L Cromado selectivo con interfaz nivelada
US11608563B2 (en) 2019-07-19 2023-03-21 Asmpt Nexx, Inc. Electrochemical deposition systems
US11753736B2 (en) * 2020-11-16 2023-09-12 Raytheon Company Indium electroplating on physical vapor deposition tantalum
KR102388661B1 (ko) * 2020-11-16 2022-04-20 가부시키가이샤 에바라 세이사꾸쇼 플레이트, 도금 장치 및 플레이트의 제조 방법
CN112831821A (zh) * 2021-01-04 2021-05-25 长江存储科技有限责任公司 晶圆的电镀装置及电镀方法
CN115803480A (zh) * 2021-02-01 2023-03-14 朗姆研究公司 用于在电镀期间调整流体动力学的空间及尺寸上非均一槽形板
WO2022197738A1 (en) * 2021-03-19 2022-09-22 Lam Research Corporation Electrodeposition of metals using an ionically resistive ionically permeable element or a shield spatially tailored to die-level patterns on a substrate
JP7098089B1 (ja) * 2022-02-07 2022-07-08 株式会社荏原製作所 めっき装置
KR102563634B1 (ko) * 2022-06-01 2023-08-07 가부시키가이샤 에바라 세이사꾸쇼 도금 장치
TWI814428B (zh) * 2022-06-08 2023-09-01 日商荏原製作所股份有限公司 鍍覆裝置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101736376A (zh) * 2008-11-07 2010-06-16 诺发系统有限公司 用于电镀的方法和设备
CN102732925A (zh) * 2011-04-15 2012-10-17 诺发系统有限公司 用于填充互连结构的方法及设备
CN104131327A (zh) * 2013-05-01 2014-11-05 朗姆研究公司 各向异性高阻离子电流源(ahrics)

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3477051A (en) 1967-12-26 1969-11-04 Ibm Die casting of core windings
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3862891A (en) 1973-09-24 1975-01-28 Gte Automatic Electric Lab Inc Uniform plating current apparatus and method
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4033833A (en) 1975-10-30 1977-07-05 Western Electric Company, Inc. Method of selectively electroplating an area of a surface
US4240886A (en) 1979-02-16 1980-12-23 Amax Inc. Electrowinning using fluidized bed apparatus
JPS5657836A (en) 1979-10-16 1981-05-20 Asahi Chem Ind Co Ltd Porous hydrophilic polyolefin resin membrane and its preparation
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
FR2479273A1 (fr) 1980-03-28 1981-10-02 Kodak Pathe Dispositif d'electrolyse a electrode poreuse et son application a la recuperation des metaux a partir des solutions aqueuses
US4389297A (en) 1980-10-09 1983-06-21 Ppg Industries, Inc. Permionic membrane electrolytic cell
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4605482A (en) 1981-04-28 1986-08-12 Asahi Glass Company, Ltd. Filter press type electrolytic cell
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4469564A (en) 1982-08-11 1984-09-04 At&T Bell Laboratories Copper electroplating process
GB2133806B (en) 1983-01-20 1986-06-04 Electricity Council Regenerating solutions for etching copper
JPS59162298A (ja) 1983-03-07 1984-09-13 Kawasaki Steel Corp 金属ストリツプの高電流密度めつき方法
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
EP0283681B1 (de) 1987-02-23 1992-05-06 Siemens Aktiengesellschaft Galvanisiereinrichtung zur Erzeugung von Höckern auf Chip-Bauelementen
US4931149A (en) 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US5035784A (en) 1987-07-27 1991-07-30 Wisconsin Alumni Research Foundation Degradation of organic chemicals with titanium ceramic membranes
US4828654A (en) 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US5146136A (en) 1988-12-19 1992-09-08 Hitachi, Ltd. Magnetron having identically shaped strap rings separated by a gap and connecting alternate anode vane groups
US4933061A (en) 1988-12-29 1990-06-12 Trifari, Krussman & Fishel, Inc. Electroplating tank
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5000827A (en) 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5078852A (en) 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5162079A (en) 1991-01-28 1992-11-10 Eco-Tec Limited Process and apparatus for control of electroplating bath composition
DE4107200A1 (de) 1991-03-06 1992-09-10 Siemens Ag Verfahren und anlage zur thermischen abfallbehandlung
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
JP2943551B2 (ja) 1993-02-10 1999-08-30 ヤマハ株式会社 メッキ方法及びその装置
US5316642A (en) 1993-04-22 1994-05-31 Digital Equipment Corporation Oscillation device for plating system
US5332487A (en) 1993-04-22 1994-07-26 Digital Equipment Corporation Method and plating apparatus
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
JP2590700B2 (ja) 1993-09-16 1997-03-12 日本電気株式会社 投影露光装置
US5476578A (en) 1994-01-10 1995-12-19 Electroplating Technologies, Ltd. Apparatus for electroplating
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
US5472592A (en) 1994-07-19 1995-12-05 American Plating Systems Electrolytic plating apparatus and method
US5567300A (en) 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US5660699A (en) 1995-02-20 1997-08-26 Kao Corporation Electroplating apparatus
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
JPH0953197A (ja) 1995-08-11 1997-02-25 Ibiden Co Ltd 電解めっき方法、被めっき物収容具
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US6228231B1 (en) 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US5816900A (en) 1997-07-17 1998-10-06 Lsi Logic Corporation Apparatus for polishing a substrate at radially varying polish rates
US5908540A (en) 1997-08-07 1999-06-01 International Business Machines Corporation Copper anode assembly for stabilizing organic additives in electroplating of copper
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
US6921468B2 (en) 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
WO1999016936A1 (en) 1997-09-30 1999-04-08 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
KR100474746B1 (ko) 1998-02-12 2005-03-08 에이씨엠 리서치, 인코포레이티드 도금 장치 및 방법
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
US6106687A (en) 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6080291A (en) 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6497801B1 (en) 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6132805A (en) 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US7070686B2 (en) 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6251255B1 (en) 1998-12-22 2001-06-26 Precision Process Equipment, Inc. Apparatus and method for electroplating tin with insoluble anodes
US6261426B1 (en) 1999-01-22 2001-07-17 International Business Machines Corporation Method and apparatus for enhancing the uniformity of electrodeposition or electroetching
GB9907848D0 (en) 1999-04-07 1999-06-02 Shipley Co Llc Processes and apparatus for removal of copper from fluids
US7160421B2 (en) 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
JP4219562B2 (ja) 1999-04-13 2009-02-04 セミトゥール・インコーポレイテッド ワークピースを電気化学的に処理するためのシステム
US20030038035A1 (en) 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6193860B1 (en) 1999-04-23 2001-02-27 Vlsi Technolgy, Inc. Method and apparatus for improved copper plating uniformity on a semiconductor wafer using optimized electrical currents
US6692588B1 (en) 1999-07-12 2004-02-17 Nutool, Inc. Method and apparatus for simultaneously cleaning and annealing a workpiece
US6632335B2 (en) 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6737360B2 (en) 1999-12-30 2004-05-18 Intel Corporation Controlled potential anodic etching process for the selective removal of conductive thin films
US7141146B2 (en) 2000-02-23 2006-11-28 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
US6551483B1 (en) 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US6521102B1 (en) 2000-03-24 2003-02-18 Applied Materials, Inc. Perforated anode for uniform deposition of a metal layer
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
JP2001316887A (ja) 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
US6821407B1 (en) 2000-05-10 2004-11-23 Novellus Systems, Inc. Anode and anode chamber for copper electroplating
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US6398926B1 (en) 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
US20050145499A1 (en) 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
JP4123330B2 (ja) 2001-03-13 2008-07-23 三菱マテリアル株式会社 電気メッキ用含燐銅陽極
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
JP4368543B2 (ja) * 2001-07-25 2009-11-18 シャープ株式会社 メッキ方法およびメッキ装置
US6727176B2 (en) 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
JP2003268591A (ja) 2002-03-12 2003-09-25 Ebara Corp 電解処理方法及び電解処理装置
US7854828B2 (en) 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
JP2003318395A (ja) 2002-04-19 2003-11-07 Hitachi Ltd 半導体装置の製造方法
US6911136B2 (en) 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US6811669B2 (en) 2002-08-08 2004-11-02 Texas Instruments Incorporated Methods and apparatus for improved current density and feature fill control in ECD reactors
JP3477469B1 (ja) 2002-10-08 2003-12-10 東京エレクトロン株式会社 液処理装置及び液処理方法
US6773570B2 (en) 2002-11-14 2004-08-10 International Business Machines Corporation Integrated plating and planarization process and apparatus therefor
US20040149584A1 (en) 2002-12-27 2004-08-05 Mizuki Nagai Plating method
JP2004225129A (ja) * 2003-01-24 2004-08-12 Ebara Corp めっき方法及びめっき装置
CN100487855C (zh) 2003-10-21 2009-05-13 塞米特公司 用于处理工件的系统
JP4685022B2 (ja) 2003-10-21 2011-05-18 セミトゥール・インコーポレイテッド ワークピースを処理するためのシステム
JP2005146398A (ja) 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
JP3715637B2 (ja) 2004-03-11 2005-11-09 新光電気工業株式会社 めっき方法
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7563348B2 (en) 2004-06-28 2009-07-21 Lam Research Corporation Electroplating head and method for operating the same
CN101056718A (zh) 2004-11-19 2007-10-17 诺发系统有限公司 工件表面电化学加工期间清除残存空气的方法
TW200641189A (en) 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7641776B2 (en) 2005-03-10 2010-01-05 Lsi Corporation System and method for increasing yield from semiconductor wafer electroplating
US20070238265A1 (en) 2005-04-05 2007-10-11 Keiichi Kurashina Plating apparatus and plating method
TWI414639B (zh) 2005-05-25 2013-11-11 Applied Materials Inc 具有一陽極陣列的電鍍裝置
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
US20070029193A1 (en) 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
USD544452S1 (en) 2005-09-08 2007-06-12 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD552565S1 (en) 2005-09-08 2007-10-09 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD548705S1 (en) 2005-09-29 2007-08-14 Tokyo Electron Limited Attracting disc for an electrostatic chuck for semiconductor production
KR100657600B1 (ko) 2005-10-24 2006-12-19 (주)씨-넷 엘씨디모듈의 백라이트 유니트용 커넥터
USD587222S1 (en) 2006-08-01 2009-02-24 Tokyo Electron Limited Attracting plate of an electrostatic chuck for semiconductor manufacturing
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US9045840B2 (en) * 2011-11-29 2015-06-02 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
CN201016123Y (zh) * 2007-03-06 2008-02-06 厦门弘信电子科技有限公司 用于柔性电路板电镀工艺的阴极挡板结构
US7837841B2 (en) 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
JP5351479B2 (ja) 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
USD614593S1 (en) 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
USD609652S1 (en) 2008-07-22 2010-02-09 Tokyo Electron Limited Wafer attracting plate
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
US20120261254A1 (en) 2011-04-15 2012-10-18 Reid Jonathan D Method and apparatus for filling interconnect structures
US20140124361A1 (en) 2008-11-07 2014-05-08 Lam Research Corporation Method and apparatus for filling interconnect structures
CN201424518Y (zh) * 2009-03-10 2010-03-17 深圳大学反光材料厂 一种电镀装置
CN201424517Y (zh) * 2009-03-10 2010-03-17 深圳大学反光材料厂 改良型电镀装置
US20110226613A1 (en) 2010-03-19 2011-09-22 Robert Rash Electrolyte loop with pressure regulation for separated anode chamber of electroplating system
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
USD648289S1 (en) 2010-10-21 2011-11-08 Novellus Systems, Inc. Electroplating flow shaping plate having offset spiral hole pattern
KR101036938B1 (ko) 2011-02-14 2011-05-25 나병철 배선 및 통신중계 박스 설치용 브라켓
CN102296344A (zh) 2011-09-06 2011-12-28 奥特斯维能源(太仓)有限公司 改善电镀均匀性的太阳能电池片电镀设备
CN103215618A (zh) * 2012-01-18 2013-07-24 昆山允升吉光电科技有限公司 一种电铸阳极挡板开孔形状调整方法
CN102560612B (zh) 2012-02-08 2015-04-15 南通富士通微电子股份有限公司 电镀用阳极组件和电镀装置
CN103628120A (zh) * 2012-08-27 2014-03-12 郭明宏 电镀辅助板及应用其的电镀设备
CN202913068U (zh) * 2012-10-31 2013-05-01 金鹏源康(广州)精密电路有限公司 一种连续环形电镀铜挂具
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
CN108396347B (zh) * 2018-05-04 2019-12-31 陈蓓 电镀阻挡件及其制作方法以及图形电镀方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101736376A (zh) * 2008-11-07 2010-06-16 诺发系统有限公司 用于电镀的方法和设备
CN102732925A (zh) * 2011-04-15 2012-10-17 诺发系统有限公司 用于填充互连结构的方法及设备
CN104131327A (zh) * 2013-05-01 2014-11-05 朗姆研究公司 各向异性高阻离子电流源(ahrics)

Also Published As

Publication number Publication date
US10014170B2 (en) 2018-07-03
CN106149024A (zh) 2016-11-23
CN110306224B (zh) 2022-04-05
US10923340B2 (en) 2021-02-16
TW201710568A (zh) 2017-03-16
CN110306224A (zh) 2019-10-08
US20160333495A1 (en) 2016-11-17
US20180286660A1 (en) 2018-10-04
TWI758248B (zh) 2022-03-21
KR20160134532A (ko) 2016-11-23

Similar Documents

Publication Publication Date Title
CN106149024B (zh) 利用离子阻性离子可穿透元件电镀金属的装置和方法
KR102205977B1 (ko) 맞춤형 균일도 프로파일을 위한 전기도금 장치
CN106245078B (zh) 用于调节在电镀中的方位角均匀性的装置和方法
CN105821457B (zh) 使用远程电流动态控制电镀均匀性的装置和方法
CN112160003B (zh) 电镀装置中的电流密度的控制
US9816194B2 (en) Control of electrolyte flow dynamics for uniform electroplating
US10301739B2 (en) Anisotropic high resistance ionic current source (AHRICS)
TWI607118B (zh) 用於電鍍槽的高電阻虛擬陽極、電鍍槽及處理基板表面的方法
US20240076795A1 (en) Spatially and dimensionally non-uniform channelled plate for tailored hydrodynamics during electroplating

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant