CN101736376A - 用于电镀的方法和设备 - Google Patents

用于电镀的方法和设备 Download PDF

Info

Publication number
CN101736376A
CN101736376A CN200910209697.2A CN200910209697A CN101736376A CN 101736376 A CN101736376 A CN 101736376A CN 200910209697 A CN200910209697 A CN 200910209697A CN 101736376 A CN101736376 A CN 101736376A
Authority
CN
China
Prior art keywords
wafer
plating
workpiece
ion
hrva
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200910209697.2A
Other languages
English (en)
Other versions
CN101736376B (zh
Inventor
乔纳森·里德
布赖恩·巴卡柳
何志安
朴世阳
塞莎赛义·瓦拉达拉詹
布赖恩·彭宁顿
托马斯·本努斯瓦米
帕特里克·布雷林
格伦·伊瓦雷塔
史蒂文·迈尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Publication of CN101736376A publication Critical patent/CN101736376A/zh
Application granted granted Critical
Publication of CN101736376B publication Critical patent/CN101736376B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/007Current directing devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明涉及用于电镀的方法和设备。一种用于将金属层电镀到晶片的表面上的设备包含:离子电阻离子可渗透元件,其非常靠近所述晶片(优选在所述晶片表面的5mm以内),其用以调制所述晶片表面处的离子电流;以及第二阴极,其经配置以使电流的一部分从所述晶片表面偏转。在优选实施例中所述离子电阻离子可渗透元件是由电阻材料制成的盘,所述盘中形成有多个孔眼,使得孔眼不在所述盘的主体内形成连通通道。所提供的配置有效地重新分布镀敷系统中的离子电流,从而允许镀敷均匀的金属层且减轻末端效应。

Description

用于电镀的方法和设备
对相关申请案的交叉参考
本申请案主张2008年11月7日申请的标题为“用于电镀的方法和设备(METHODAND APPARATUS FOR ELECTROPLATING)”的第12/291,356号美国申请案(发明人姓名为里德(Reid)等人)的优先权,其与2006年8月16日申请的标题为“包含远端定位的第二阴极的用于电镀的方法和设备(METHOD AND APPARATUS FORELECTROPLATING INCLUDING A REMOTELY POSITIONED SECOND CATHODE)”的第11/506,054号美国申请案(发明人姓名为里德(Reid)等人)有关,所述申请案的全文出于所有目的以引用的方式并入本文中。
技术领域
本发明大体上涉及一种用于处理衬底的表面的方法和设备,且更确切地说涉及一种用于对半导体晶片上的层进行电镀的方法和设备。其对于在镶嵌和双镶嵌集成电路制造方法中电镀铜特别有用。
背景技术
集成电路(IC)制造中从铝到铜的转变需要工艺“架构”(用于镶嵌和双镶嵌)的改变以及全新的一套工艺技术。生产铜镶嵌电路时使用的一个工艺步骤是形成“晶种”或“打底”层,所述层接着被用作基础层,铜被电镀(“电镀填充”)在所述基础层上。晶种层将电镀电流从晶片的边缘区(在此处制造电触点)携带到位于晶片表面上的所有沟槽和通孔结构。晶种膜通常是薄的导电铜层。其通过障壁层与绝缘的二氧化硅或其它电介质分开。晶种层沉积工艺应产生具有良好的总粘合度、优良的阶梯覆盖率(更确切地说,沉积到嵌入结构的侧壁上的保形/连续量的金属)和嵌入特征的顶部的最小封闭或“颈缩”的层。
不断变小的特征和替代的加晶种工艺的市场趋势驱动了对于用高度均匀性在不断变薄的加晶种晶片上进行电镀的能力的需要。未来预期晶种膜可简单地由可电镀的障壁膜(例如钌)或非常薄的障壁与铜的双层(例如,通过原子层沉积(ALD)或类似工艺沉积)构成。这些膜向工程师呈现了极端末端效应情形。举例来说,当将3安培的总电流均匀地驱动到30欧姆每平方钌晶种层(对于
Figure G2009102096972D0000011
膜为可能的值)内时,金属中所得的中心到边缘电压降将超过2伏。为了有效地对大表面面积进行电镀,镀敷工具仅在晶片衬底的边缘区中与导电晶种形成电接触。不直接接触衬底的中心区。因此,对于具有高电阻的晶种层,层的边缘处的电势显著大于层的中心区处的电势。如果没有适当的电阻和电压补偿方式,此大的边缘到中心电压降可能导致极度不均匀的镀敷厚度分布,其主要特征在于晶片边缘处的镀敷较厚。相比之下,电解溶液(水)的电压降的热力限值仅为约1.4V。
图1是针对所述问题的近似等效电路的示意图。为了清晰起见,将其简化成一个维度。晶种层中的连续电阻由一组有限(在此情况下为四个)并联电路元件来表示。膜内电阻器元件Rf表示晶片上从外部径向点到更靠中心的径向点的微分电阻。在边缘处供应的总电流It分布到各个表面元件I1、I2等,所述电流由总路径电阻相对于所有其它电阻来标度。位置更靠中心的电路的总电阻较大,原因在于所述路径的Rf的累积/累加电阻。在数学上,穿过表面元件路径中的任一者的分数电流Fi为:
F i = I i I t = Z T Z i = 1 ( iR f + R ct , i + Zw i + R el , i ) Σ 1 n 1 iR f + R ct , i + Zw i + R el , i - - - ( 1 )
其中n为电路被划分成的并联路径的总数,i(有时用作下标)指代第i条并联电流路径(从边缘终端),t指代总电路,I为电流,Rf为每一元件之间的金属膜中的电阻(为了简单起见,构造成每一邻近元件之间是相同的),Rct为局部电荷转移电阻,Zw为局部扩散(或沃尔博格(Warberg))阻抗,且Rel为电解质电阻。在此情况下,Ii为待穿过第i个表面元件通路的电流,且It为到晶片的总电流。每一界面位置处的电荷转移电阻由一组与双层电容Cdl并联的电阻器Rct表示,但对稳定状态的情况其并不影响电流分布。由沃尔博格阻抗(符号为Zw)表示的扩散电阻和电解质电阻(Rel)在一组并联电路路径(其全部与特定表面元件电路串联)中展示,其给出电流穿行到阳极的若干并联路径中的一者。实际上,Rct和Zw具有相当的非线性(取决于电流、时间、浓度等),但这一事实并不减少此模型在比较当前技术和本发明在实现均匀电流分布方面如何不同时的效用。为了实现大致均匀的电流分布,无论元件位置(i)如何,分数电流均应相同。当除了膜电阻项Rf以外的所有项均相对较小时,到第i个元件的电流为
F = 1 i Σ 1 n 1 i - - - ( 2 )
等式2对于i(位置)具有强烈的相依性,且当没有显著的电流分布补偿效应有效时得出结果。在另一极端情况下,当Rct、Zw、Rel或这些项的总和大于Rf时,分数电流均接近均匀分布;无论位置i如何,随着这些参数变大,等式1的限值为F=1/n。
改善镀敷不均匀性的典型方式是利用(1)通过使用铜络合剂或电荷转移抑制剂(例如,镀敷减弱器和调平剂,目标是产生大的垂直于表面的电压降,从而使得Rf相对于Rct较小)来增加Rct;(2)极高的离子电解质电阻(通过Rel产生类似效应);(3)产生显著的扩散电阻(Zw);或(4)改变镀敷电流配方以使电压降最小化,或控制质量转移速率以限制高界面电压降区域中的电流密度(例如见美国专利6,110,344、6,074,544和6,162,344,每一所述专利均以引用的方式并入本文中)。
这些方法具有与材料和工艺的物理属性相关的显著限制。由有机添加物导出的典型表面极化无法产生超过约0.5V(其与例如如上所述必须补偿的2V晶种层电压降相比是相对较小的值)的极化。此外,因为镀敷槽的导电性与其离子浓度和pH有关,所以减小导电性会直接且不利地影响镀敷的材料的镀敷速率和形态。
因此,需要一种用于在薄金属加晶种晶片(特别是直径较大(例如300mm)的晶片)上的均匀电镀的改进的技术。
在单独但相关的方面中,需要研发与用于均匀电镀的技术兼容的晶片到电解质进入工艺。这一点较为重要,因为在晶片浸没到电解质中期间使用的条件在很大程度上决定了后续镀敷的成功。一般来说,如果进入未受到适当控制,则携带薄晶种层的晶片进入电解质可导致若干严重的性能和特征填充问题(例如见第6,949,065号美国专利,其全文出于所有目的以引用的方式并入本文中)。
晶片进入可分为三种主要工艺类别:冷、热和恒电势工艺。在冷进入中,晶片的极化和镀敷一直延迟到晶片进入电解质完成为止。由于电解质的腐蚀性质,延迟的晶片极化可导致晶种层腐蚀和在特征的底部形成空隙。在热进入中,晶片在进入之前或进入期间极化,使得电源的要求的总电流大致固定,且因此晶片在进入到电解质中期间经历的电流密度取决于晶片的打湿部分的面积。在晶片浸没开始时(此时打湿的晶片表面的面积较小),电流密度最大(且往往过量)。随着浸没进一步进行且随着晶片的较大面积变湿,晶片经历的电流密度变小。总体来说热进入比冷进入优越,但因为电流密度是以高水平开始且以低水平结束,且因为这些密度之间的差异可能非常大,所以可能发生晶片燃烧(在高电流密度下)或晶种层腐蚀(在低电流密度下)。
在恒电势进入中,晶片与未携带电流的参考电极之间的电势维持在固定值,且电流大致随着晶片的打湿面积的增加而以线性方式增加。
随着用于减小末端效应的方法和设备的研发,选择最兼容的晶片进入方法且使其适于配合新研发的用于均匀镀敷的系统使用变得越来越重要。
发明内容
本文中在一个方面中通过以下方式来解决这些需要:提供一种用于均匀电镀的电镀设备和方法,其利用具有电解质可渗透的1-D穿孔的离子电阻元件,其中所述元件驻留在非常靠近晶片衬底处。本文中描述的离子电阻离子可渗透元件实质性改善了薄电阻晶种层上的镀敷均匀性。其在结合第二(辅助)阴极使用时特别有用,所述第二阴极经配置以使电流的一部分从晶片的近边缘区偏转。其还与电势受控的晶片进入兼容,电势受控的晶片进入在一些实施例中是此系统优选的晶片进入工艺。本文中描述的离子电阻离子可渗透元件充当晶片阴极附近的恒定电流源,且因此本质上用作虚拟阳极。因此,所述离子电阻离子可渗透元件也将被称为高电阻虚拟阳极(HRVA)。
HRVA的两个特征特别重要:HRVA放置得相对于晶片非常靠近,和HRVA中的穿孔彼此隔离且并不在HRVA的主体内形成互连的通道的事实。所述穿孔将被称为1-D穿孔,因为其通常在一个维度中延伸,但未必垂直于晶片的镀敷表面。这些穿孔与3-D多孔网截然不同,在3-D多孔网中,通道在三个维度中延伸,且形成互连的多孔结构。HRVA的一实例是由离子电阻材料制成的盘,所述材料例如是聚乙烯、聚丙烯、聚偏氟乙烯(PVDF)、聚四氟乙烯、聚砜等等,其具有约6,000到12,000个1-D穿孔。在许多实施例中,所述盘大致与晶片(例如,当配合300mm晶片使用时,直径约为300mm)共同延伸,且驻留在非常靠近晶片处,例如在晶片面朝下的电镀设备中恰好在晶片的下方。优选的是,晶片的镀敷表面驻留在最接近的HRVA表面的约10mm以内,更优选在约5mm以内。
接近晶片的电阻性但离子可渗透元件的存在实质性减小了末端效应并改善了镀敷均匀性。重要的是,如果将相同元件放置得离晶片较远,则所述改善会变得显著地较不明显或不存在。此外,因为1-D穿孔不允许离子电流在HRVA内横向移动,所以中心到边缘电流移动在HRVA内被阻挡,从而得到镀敷均匀性的进一步改善。
HRVA结构的另一重要特征是穿孔的直径或主要尺寸以及其同HRVA与衬底之间的距离的关系。优选每一穿孔(或大部分穿孔)的直径不应大于从镀敷晶片表面到HRVA的最接近的表面的距离。因此,当HRVA被放置在镀敷晶片表面的约5mm以内时,穿孔的直径或主要尺寸不应超过5mm。
在一些实施例中,HRVA配合第二(辅助)阴极使用,所述第二阴极适于使电流的一部分从晶片的边缘偏转,因而甚至进一步减小末端效应。
在一个方面中,提供一种用于将金属层电镀到工件表面的导电晶种层上的设备。所述设备包含:(a)镀敷腔室;(b)工件固持器,其用于将工件固持在镀敷腔室中处于与阳极对准的位置处;(c)电触点,其经布置以在工件的边缘区上的一个或一个以上位置处与晶种层形成电连接;(d)离子电阻离子可渗透元件,其具有离子电阻主体,所述主体具有在所述主体中制造的多个孔眼,使得所述孔眼不在所述主体内形成连通通道,其中所述孔眼允许离子运送穿过所述元件,且其中所述元件经定位以具有当在所述镀敷腔室中的镀敷期间被所述工件固持器固持在镀敷位置时面向所述工件表面且定位在所述工件表面约5mm以内的一个表面,其中大致所有孔眼在所述元件的面朝所述工件的所述表面的所述表面上具有的开口的主要尺寸或直径不大于约5mm;以及(e)第二阴极,其适于使电流的一部分从工件的边缘区偏转。
在另一方面中,提供一种电镀方法,其涉及:(a)将在其表面上设置有连续金属晶种层的工件提供到电镀设备,其中所述设备包括具有多个不连通的孔眼且设置在工件的约5mm以内的离子电阻离子可渗透元件和经配置以使电流的一部分从工件的边缘区偏转的第二阴极;以及(b)通过向工件施加第一电平的电流和向第二阴极施加第二电平的电流,而将金属层镀敷到工件上。
在单独但相关的方面中,配合静态屏蔽物(例如,绝缘环)使用具有1-D穿孔的HRVA,所述静态屏蔽物放置在镀敷晶片表面与HRVA之间,从而进一步改善镀敷均匀性。在一个实施例中,一种用于将金属层电镀到工件表面(其具有围绕中心区的边缘区)的导电晶种层上的设备包含:(a)镀敷腔室;(b)工件固持器,其用于将工件固持在镀敷腔室中处于与阳极对准的位置处;(c)电触点,其经布置以在边缘区上的一个或一个以上位置处与晶种层形成电连接;(d)离子电阻离子可渗透元件,其具有离子电阻主体,所述主体具有在所述主体中制造的多个孔眼,使得所述孔眼不在所述主体内形成连通通道,其中所述孔眼允许离子运送穿过所述元件,且其中所述元件经定位以具有当在所述镀敷腔室中的镀敷期间被所述工件固持器固持在镀敷位置时面向所述工件表面且定位在所述工件表面约5mm以内的一个表面,其中大致所有孔眼在所述元件的面朝所述工件的所述表面的所述表面上具有的孔眼开口的主要尺寸或直径不大于约5mm;以及(e)静态屏蔽物,其经定位而在镀敷期间驻留在非常靠近HRVA的所述孔眼开口处、处于所述离子电阻离子可渗透元件与所述工件之间,其中所述屏蔽物经定位以遮蔽所述边缘区处的所述孔眼中的至少一些。在一些实施例中,所述设备进一步包含第二阴极,其适于使电流的一部分从晶片的边缘偏转。
在又一方面中,已研发出一种晶片进入工艺,其与具有1-D孔眼的HRVA的使用兼容。所述晶片进入工艺是电势受控的,而总体电镀是电流受控的。所述经配置以用于电势受控晶片进入的设备包含参考电极,其优选位于靠近晶片衬底处。重要的是,所述参考电极被放置成使得其并不驻留在HRVA下方(在晶片面朝下的设备中)或HRVA上方(在晶片面朝上的设备中)。
在一个实施例中,所述设备包含:(a)镀敷腔室,其适于固持电解质;(b)工件固持器,其用于将工件固持在镀敷腔室中处于与阳极对准的位置处;(c)一个或一个以上电力触点,其经布置以在边缘区上的一个或一个以上位置处与晶种层形成电连接;(d)离子电阻离子可渗透元件,其具有离子电阻主体,所述主体具有在所述主体中制造的多个孔眼,使得所述孔眼不在所述主体内形成连通通道,其中所述孔眼允许离子运送穿过所述元件,且其中所述元件经定位以具有当被所述工件固持器固持在镀敷位置时面向所述工件表面且定位在所述工件表面约5mm以内的一个表面,其中大致所有孔眼在所述元件的面朝所述工件的所述表面的所述表面上具有的开口的主要尺寸或直径不大于约5mm;(e)参考电极,其与控制电路可操作地连通;以及(f)电源,其与电力触点、阳极且与控制电路可操作地连通,其中所述设备经配置以用于提供工件到电解质中的电势受控进入。
在另一方面中,提供一种用于使用电势受控的晶片进入进行电镀的方法。所述方法包含:(a)将在其表面上设置有连续金属晶种层的晶片衬底提供到电镀设备,其中所述设备包括具有多个不连通的孔眼的离子电阻离子可渗透元件;(b)将晶片衬底浸没到电解质溶液中,其中所述浸没包括使晶片衬底相对于由电解质界定的平面以一角度倾斜,且将衬底朝电解质移动并移动到电解质中,直到衬底的整个工作表面均浸没在电解质中为止,其中所述浸没的至少一部分是在电势受控条件下将电流供应到衬底时执行;(c)在衬底浸没期间或之后,改变倾斜角度以使工作晶片表面与电解质表面的平面成大致平行的定向;(d)将衬底工作表面定位在离子电阻离子可渗透元件的衬底近端表面的约5mm以内;以及(e)在电流受控条件下将电流供应到衬底以将成块的金属层镀敷到晶种层上。
在电势受控条件下使用倾斜的晶片进入提供了对晶片在浸没到电解质中时经历的电流密度的控制。由于电势受控的进入,可避免晶片因过量的电流密度而燃烧或晶种层因低电流密度而腐蚀。
下文中将参看相关联的图式更详细地描述本发明的这些和其它特征和优点。
附图说明
图1A是描绘用于在薄晶种层上进行电镀的等效电路的示意图。
图1B是描绘用于在存在具有3-D多孔网的离子电阻离子可渗透元件的情况下在薄晶种层上进行电镀的等效电路的示意图,其中所述元件设置成与晶片相距一显著距离。
图1C是描绘用于在存在具有1-D多孔网的离子电阻离子可渗透元件的情况下在薄晶种层上进行电镀的等效电路的示意图,其中所述元件设置成与晶片非常靠近。
图2A是根据本文中呈现的实施例的具有多个1-D穿孔的离子电阻元件的俯视图的示意表示。
图2B是根据本文中呈现的实施例的具有多个1-D穿孔的离子电阻元件的横截面图的示意表示。
图3A是根据本文中提供的实施例的在中心具有不均匀穿孔分布的离子电阻元件的照片。
图3B展示根据本文中提供的实施例的在中心具有不均匀穿孔分布的三个不同离子电阻元件的三张照片。
图3C展示离子电阻元件307的示意表示,所述元件在图3B中展示有不同的阻塞穿孔的图案。
图3D展示图3C所示的电阻元件的不同配置的中心尖峰高度的曲线图。
图4是配备有具有1D穿孔的HRVA的镀敷单元(展示左半部分)的横截面示意图。说明了电流和电压线。
图5A是配备有具有1D穿孔的HRVA和辅助阴极电极的镀敷单元(展示左半部分)的横截面示意图。说明了电流和电压线。
图5B是配备有HRVA的镀敷单元的横截面示意图,其中外围间隙小于晶片到HRVA的间隙。
图5C是配备有HRVA的镀敷单元的横截面示意图,其中外围间隙大于晶片到HRVA的间隙。
图5D是配备有HRVA的镀敷单元的横截面示意图,其中外围间隙小于晶片到HRVA的间隙。
图6展示在存在辅助阴极和不存在辅助阴极的情况下,针对不同外围间隙而计算的随晶片上的径向位置而变的电流密度分布曲线。
图7A和图7B说明具有晶片平坦区的旋转晶片的示意俯视图,其中使用分段的第二阴极来控制镀敷均匀性,其中与晶片旋转相关地为区段供电。
图8A和图8B说明适于配合非圆形晶片(具有晶片平坦区、切割或其它特征的晶片)使用的具有不均匀区的旋转HRVA的示意俯视图。
图9是根据本发明的一实施例使用电势受控晶片进入进行电镀的工艺流程图。
图10是镀敷系统中的适于电势受控晶片进入的电路的示意说明,其中所述系统包含参考电极和位于晶片表面处的感应引线。
图11说明经历一倾斜角度的浸没的晶片的横截面俯视示意图。展示参考电极关于晶片进入轨迹的有利和不利位置。
图12是展示针对参考电极的不同位置对于电势受控晶片进入获得的电流轨迹的曲线图。
图13是根据本发明的一些实施例的电镀设备的横截面示意图。
图14是容纳第二阴极的主镀敷腔室的外围上的单独腔室的视图。
图15是说明晶片、HRVA和第二阴极的电镀设备的顶部部分的横截面图。
图16是说明晶片、HRVA和第二阴极以及位于HRVA上方和HRVA的外围上的静态屏蔽物的电镀设备的顶部部分的横截面图。
图17展示HRVA和外围屏蔽物的俯视图。
具体实施方式
本发明涉及用于将大致均匀的金属层电镀到上面具有晶种层的工件上的方法和设备。本发明通过将具有大量隔离且不连接的离子可渗透穿孔(例如,具有允许离子通过的多个孔眼的电阻盘)的离子电阻元件放置成非常靠近工件,因而支配或“淹没”整个系统的电阻,而减轻或消除了终端问题。所述电阻元件因此近似一恒定电流源。通过使工件保持接近电阻元件表面,从元件顶部到表面的离子电阻远远小于从元件顶部到工件边缘的离子路径电阻,从而实质上补偿了薄金属膜中的薄层电阻,且在工件的中心上引导显著量的电流。将离子电阻离子可渗透元件放置成非常靠近衬底的重要性在2005年1月20日申请的标题为“高电阻离子电流源”(“HIGH RESISTANCE IONIC CURRENTSOURCE”)的第11/040,359号美国专利申请案(发明人姓名为斯帝文·迈尔(StevenMayer)等人)中详细论述,所述申请案的全文出于所有目的而以引用的方式并入本文中。
重要的是,本文中提供的离子电阻离子可渗透元件与具有连续三维小孔网的带微孔的板或盘(例如,由陶瓷或玻璃的烧结颗粒制成的板)截然不同。具有三维小孔网的多孔板具有缠绕的小孔,离子电流可横向行进穿过所述小孔(例如,从盘的中心到边缘)。相形之下,在所提供的电阻元件中,穿孔大致并不在元件的主体内形成连通的通道,因而使离子电流在元件中的横向移动最小化。电流以一维的方式大致在垂直于接近电阻元件的最靠近的镀敷表面的向量方向中流动。离子可渗透离子电阻板中的一维隔离通道对于使末端效应最小化是重要的,因为电流从晶片的中心到边缘的重新分布的可能性最小化,且因此实质性改善了镀敷均匀性。
在图1B和图1C中展示的示意图中说明此效应,所述图描绘镀敷系统的等效电路,所述镀敷系统采用具有3-D多孔网的电阻元件(称为3-D多孔HRVA),其位于与晶片相距显著距离处(图1B),且所述镀敷系统采用具有1-D穿孔的电阻元件(称为1-D多孔HRVA),其位于非常靠近晶片处(图1C)。参看图1B,可看出HRVA与晶片之间的大间隙(称为电解质-晶片间隙)允许显著的横向电流流动101。类似地,HRVA内的3-D多孔网允许穿过位于HRVA内部的横向小孔的横向电流流动103。所述两个横向流动有助于电流从晶片中心到边缘的重新分布,因而使得晶片边缘处的镀敷增加。相形之下,设置得非常接近晶片的1-D多孔HRVA限制了电流在晶片-电解质间隙中和在1D多孔HRVA本身的主体内的重新分布的概率,如图1C所示。
电阻元件的结构
具有1D穿孔的离子电阻离子可渗透元件(也称为1-D多孔HRVA)通常是盘(也可使用其它形状),其由具有多个穿过其而钻出(或以其它方式制成)的孔的离子电阻材料制成。所述孔并不在盘的主体内形成连通的通道,且通常在大致垂直于晶片表面的方向中延伸穿过盘。可对盘主体使用多种离子电阻材料,其中包含但不限于聚乙烯、聚丙烯、聚偏氟乙烯(PVDF)、聚四氟乙烯、聚砜等等。优选所述盘材料对酸性电解质环境中的降解具有抗性、相对坚硬,且容易通过机械加工来处理。
所述盘优选与晶片共延伸,且因此其直径接近于正被镀敷的晶片的直径。因此,例如,盘的直径的范围可在约150mm到450mm之间,其中针对200mm晶片使用约200mm的盘,针对300mm晶片使用约300mm的盘,且针对450mm晶片使用约450mm的盘,依此类推。在晶片具有大体上圆形的形状但在边缘处具有不规则性(例如,凹口或平坦区,在此处晶片按基准线被切割)的那些情形下,仍可使用盘形的HRVA,但可对系统进行其它补偿性调整,后面的段落中将对其进行详细描述。在一些实施例中,HRVA的直径大于待镀敷的晶片的直径(例如,大于200mm或300mm),且具有不带有孔的外边缘部分。此边缘部分可用来围绕晶片的外围形成小间隙(HRVA边缘部分与晶片固持杯底部之间的外围间隙),且辅助将HRVA安装在腔室内(例如,安装到阳极腔室壁)。在一些实施例中,不带孔的HRVA边缘的尺寸从HRVA的外边缘到带有孔的HRVA的部分的边缘在约5mm到约50mm之间。图17中展示此HRVA的俯视图,其中在此特定实施例中,HRVA具有围绕含孔的部分119的不带孔的边缘151。
在盘中制造的穿孔的数目应非常大,但每一孔的直径应相当小。在一个实施例中,孔的数目的范围在约6,000到约12,000之间,每一孔(或至少95%的孔)的直径(或其它主要尺寸)小于约5mm。图2A中展示HRVA板201的示意俯视图,所述图说明具有大量小直径开口(称为黑点)的顶部HRVA表面。图2B说明HRVA盘201的横截面图,其示意说明不连通的穿孔。在此实施例中,穿孔大致垂直于HRVA盘的顶部和底部表面。在一些实施例中,HRVA盘的厚度的范围在约5mm到约50mm之间,例如在约5mm到约25mm之间。虽然图2A所示的HRVA具有穿孔的均匀分布,但在其它实施例中,使用具有带有不均匀的孔分布或其中孔被阻塞而使得晶片经历不均匀的孔分布的区的HRVA是有利的。
重要的是,此处要注意,HRVA与所谓的扩散板截然不同,扩散板的主要功能是分布电解质的流动,而不是提供显著的电阻。相形之下,HRVA显著增加了镀敷系统的电阻,这是改善镀敷均匀性所需要的。一般来说,优选使系统电阻增加至少0.45欧姆或至少0.6欧姆的电阻元件。通过提供非常大量的小穿孔(其每一者具有非常小的主要尺寸(或直径))来实现所提供的元件的高电阻。举例来说,具有约6,000到12,000个孔眼且每一孔眼的直径小于约5mm(例如,小于约4mm、小于约3mm或小于约1mm)的盘是合适的电阻元件。合适的盘的孔隙率值的范围通常在1%到5%(取决于穿孔的数目及其直径)。所述盘使镀敷系统的电阻增加约0.3到1.2欧姆,这取决于设计和电解质的导电率。相形之下,扩散板通常具有构成远远更大的净孔隙率(以25%到80%的敞开空隙分数)的开口,其不超过实现大致均匀的电解质流动所需,且大体上具有对于镀敷系统的电阻的远远更小、通常不显著的总体贡献。
在一个特定实例中,离子电阻离子可渗透元件(HRVA)是具有约9,000个孔眼的盘,其各自具有约0.6mm的直径。在此实例中,盘的直径约为300mm(大致与300mm晶片共延伸)且厚度约为13mm。
虽然HRVA(不同于扩散板)应始终具有实质性电阻率,但在一些实施例中,HRVA经配置以使得其并不使系统电阻增加超过5欧姆。这是因为,过量的电阻将需要使用增加的功率,从而导致电镀系统的不合需要的加热。
电阻元件的另一重要参数是穿孔直径(或其它主要尺寸)与元件距晶片的距离的比率。已用实验方式发现且随后通过计算机建模而证实,此比率应大概为1或更小(例如,小于0.8或小于0.5)。优选在一些实施例中此比率为约0.1,从而提供最佳的镀敷均匀性性能。换句话说,穿孔的直径应等于或小于从电阻元件到晶片的距离。相形之下,如果穿孔直径大于晶片到HRVA的距离,则穿孔将在其上方的镀敷层上留下其自身的图像或“占据面积”,因而导致镀敷中的小规模不均匀性。以上提到的孔直径值是指在靠近晶片的HRVA面上测量的穿孔开口的直径。在许多实施例中,HRVA的近端和远端面两者上的穿孔直径相同。
电流在晶片上的分布(且因此,镀敷均匀性)可取决于若干因素,例如镀敷间隙(HRVA板到晶片的距离)、电解质流动速率、阳极腔室设计、镀敷溶液属性和HRVA上的孔分布的均匀性。特别重要的是穿孔在HRVA中心处的分布。通常,HRVA板中的小孔经设计而具有相同尺寸且大致均匀地分布。此布置可在镀敷薄膜厚度中产生中心尖峰或倾角,或波纹状(波浪状)图案。特定来说,使用在中心具有均匀孔分布的HRVA针对1μm镀敷层产生约200到
Figure G2009102096972D0000111
的中心尖峰。
在一个实施例中,采用1-D小孔/孔在HRVA的中心区中的不均匀分布来防止中心尖峰。HRVA的中心区由HRVA中心处的圆形区来界定,所述圆形区通常在距HRVA盘的中心约1英寸半径以内,或在晶片半径的约15%以内。对于尖峰减小有效的穿孔的不均匀分布可通过以其它方式均匀的图案使孔移位、添加新孔和/或阻塞孔来实现各种布置。将说明可用于避免镀敷不均匀性的若干不均匀的中心孔图案。
中心尖峰之所以发生,是因为较少数目的孔(位于HRVA的中心处)允许中心孔具有空间上较大的对于晶片表面的时间平均暴露度(与来自位于HRVA外围上的较大群的孔的孔相比)。较大的时间平均暴露度影响晶片的暴露区域中的电流,且具有形成孔图案的时间平均暴露度的图像的趋势。
图3A中展示了对均匀正方形孔图案的修改的一简单实例,图3A是HRVA的中心部分的照片(俯视图)。在此实例中,与规则的正方形图案相比,在HRVA板的正中心处的孔被移位离开中心,且来自第一非中心阵列孔组的一个孔向内朝中心略微移位。此不均匀的图案称为图案301。在图3B中,展示了若干其它中心孔图案修改的实例303、305和307。可使用对具有一个或一个以上尺寸的孔的孔位置、相对位置和分布的修改。举例来说,可在HRVA中心处使用不同直径的孔。此外,如下文将说明,一些孔可被阻塞。
在图3C中,展示了HRVA板307的中心部分的九个不同配置。配置A与图3B所示的HRVA 307相同,且不具有任何阻塞的孔。图3C中说明的配置B-I具有阻塞的孔的不同布置。针对HRVAA-I测量镀敷均匀性,HRVAA-I是从原始配置307导出的。这些测量的结果在图3D中展示,其中以埃计的中心尖峰的高度针对位于Y轴上的不同配置A-I而在X轴上展示。对于在
Figure G2009102096972D0000121
晶种层上的镀敷,针对相同的镀槽、单元配置和其它参数,具有配置F的孔分布307的HRVA展现出最均匀的中心镀敷。确切的最优配置当然将取决于可数的影响参数,但所属领域的技术人员将了解,此处阐述的图案程序的优化可用于其它条件。
电阻元件与辅助阴极之间的配合
虽然在一些实施例中,离子电阻离子可渗透元件本身足以减轻或消除末端效应,但在其它实施例中,即便使用了电阻元件,仍可获得边缘较厚的轮廓。这在当晶种层电阻大于约0.5欧姆/cm的那些例子中特别显著。这可使用图4来理解,图4展示了电镀设备的一部分(一半)的示意横截面展示,其中说明了镀敷槽中的电流/电压线。在图4中,401是具有位于顶部表面上的导电薄膜(例如,铜晶种层)的晶片。晶种层浸没到电解质中且被负向偏置,使得其充当金属被镀敷到其上的阴极。如先前提到,仅在晶片外围处对晶种层进行电接触,而不在中心处进行任何接触。晶片位于HRVA板403上方,HRVA板403在优选实施例中具有1D穿孔404,其允许电流从阳极406行进到晶片401。HRVA在此实施例中是固定的,而晶片在电镀期间是旋转的。
阳极406位于阳极腔室407内部,在晶片401下方且在HRVA板403下方。整个镀敷槽(从阳极406到晶片401)中填充有电解质。在镀敷期间,离子电流从阳极经过,穿过HRVA孔404,且向上穿过小的填充有电解质的间隙402。间隙402是通过在HRVA板上定位晶片(和晶片固持器)而形成的。在正常操作期间,流体穿过HRVA孔、穿过间隙402且穿过在晶片/晶片固持器与阳极腔室407/HRVA 403B的边缘之间形成的外围间隙412而流动到HRVA下方的阳极腔室中。如已提到的,重要的是顶部HRVA表面与镀敷晶片表面之间的间隙402保持最小,例如,小于约10mm,优选小于约5mm。
在一些实施例中,晶片固持器是抓斗设备408,其通过容纳在通常具有弹性的“唇形密封件”410后面的若干接触指409与晶片外围接触,所述“唇形密封件”410用以密封抓斗,且使边缘接触区和晶片后侧保持大致没有电解质,并且避免在触点409上的任何镀敷。在授予巴顿(Patton)等人的第6,156,167号美国专利和授予里德等人的第6,800,187号美国专利中描述了对具有适合配合本发明使用的方面的抓斗型镀敷设备的一般描述,所述专利出于所有目的而以引用的方式并入本文中。
所述抓斗由两个主要零件组成,其中之一是圆锥411,其可打开,从而允许插入和取出晶片。圆锥411还向触点409和密封件410施加压力。抓斗的第二零件是晶片固持杯413。杯的底部414通常需要由绝缘体制成(或涂布有绝缘体),以避免任何相关腐蚀和电极位置反应,其将例如在被放置在电解质中且如此处的情况具有横向变化电势的金属上发生。然而,同时杯的底部需要机械上坚固。这是因为其需要较薄,以避免在晶片边缘附近的电解质流动的干扰,同时足够坚固,以将杯向上压抵晶片和圆锥,同时避免弯曲。因此,在一些实施例中,杯的底部优选是涂布有例如玻璃或塑料等绝缘材料的金属。
出于说明的目的,图4还示意展示了电流线415(主要是垂直的)和恒定电势线(主要是水平的)416。如图4中说明,在外围间隙区412中,电流线从其正常路径偏转。晶片的近边缘对间隙412的暴露(和/或靠近)引起高局部电流,因为离子电阻在此处比在晶片中心处小。此低电阻和较高电流是因为电流经由大量流动路径而流动的可能性和能力。由于添加了这些更迂回的路途(其中电流不仅直接在HRVA与晶片之间流动,而且在外围间隙412中径向向外流动,且接着向上并回到晶片边缘中),所以对于在非常近的边缘处的电流流动的净电阻减小,且电流密度在此处增强。因此,在一些实施例中,通过提供第二“辅助”阴极(也称为“第二”或“双”阴极)来解决此问题,所述阴极可使离子电流的一部分从晶片偏转到边缘间隙外,因而改善晶片经历的电流密度的均匀性。重要的是,结合位置非常靠近晶片的1D多孔HRVA使用辅助电极特别有利,原因在于两个元件之间的配合相互作用,其被小的HRVA到晶片增强,且被HRVA主体中的电流的一维流动增强。在一些实施例中,所述配合因从晶片边缘朝外径向延伸的延伸的外围间隙进一步增强。此延伸的外围间隙412处于绝缘HRVA边缘403B或阳极腔室407的壁与绝缘杯底部414之间。
图5A在布局上类似于图4,但其并入有位于HRVA到晶片间隙402外部且在外围间隙412外部的辅助阴极501。图5A说明当辅助电极501被供能(极化和汲取电流)时,从间隙区发出的原本可能被引导朝向晶片近边缘的电流线被偏转到辅助阴极501。此电流分布产生在晶片边缘处的更均匀的镀敷。
图5B、图5C和图5D说明具有不同外围间隙但具有相同的晶片到HRVA间隙的若干实施例。外围间隙由位于晶片外围处(例如,围绕晶片边缘)的绝缘部件形成。具体来说,在一些实施例中,外围间隙的顶部部分由晶片固持杯的绝缘底部形成,而外围间隙的底部部分由阳极腔室的上壁形成。在其它实施例中,外围间隙的底部部分由HRVA的不带孔的边缘区形成,或由不带孔的HRVA边缘和阳极腔室壁两者形成。如图5A、图5B和图5C中说明,外围间隙的高度是其顶部部分与底部部分之间的距离。在许多实施例中,外围间隙的高度(即,“外围间隙”)略小于(例如,约小2到3mm)HRVA到晶片的间隙。图5B说明一实施例,其中外围间隙小于HRVA到晶片的间隙,因为位于晶片的外围处的晶片杯相对于晶片的平面向下延伸,因而使晶片外围处的外围间隙变窄。图5D展示外围间隙小于晶片到HRVA间隙的另一实施例。在此情况下,外围间隙的底部部分(例如,HRVA的不带孔的部分和/或阳极腔室壁)向下延伸,且驻留在比HRVA的含孔部分高的水平处。在其它实施例中,例如图5C中说明的实施例,外围间隙略大于晶片到HRVA的间隙。举例来说,在此情况下,外围间隙的底部部分可驻留在与HRVA的含孔部分的水平相比较低的水平处。在许多实施例中,外围间隙与HRVA到晶片的间隙±2mm相同。
小HRVA-晶片间隙402(优选从晶片镀敷表面到靠近晶片的HRVA表面10mm或更小,更优选5mm或更小,例如3mm或更小)与第二阴极501之间的相互作用对于系统的功能性非常重要。假如此间隙较大,则HRVA在将电流向中心引导方面的性能将减弱,且经由二级阴极从非常近边缘提取电流将受到阻碍。这可通过参看图6看出,图6展示在具有和不具有被供能的第二阴极的情况下针对不同外围间隙对于近边缘电流密度分布的计算机模拟。
图6说明,在较小间隙的情况下,第二阴极在将电流从近边缘区“吸出”方面变得更加有效。曲线(a)展示不具有辅助电流的具有1mm的外围间隙的设备的晶片边缘处的电流密度分布。曲线(b)展示具有在1V下供能的辅助阴极的具有1mm的外围间隙的设备的电流密度分布。曲线(c)和(d)展示了不具有辅助阴极和具有在1V下供能的辅助阴极的具有3mm的外围间隙的设备的电流密度分布。在y轴上展示电流密度。在x轴上以毫米为单位展示晶片边缘上的径向位置。当阴极断开时,过量间隙引起的电流变得略大,且针对具有3mm间隙的设备比具有1mm间隙的设备向内穿透得略微更远(1mm)。然而,电流偏离中心值约5%时所在的距离针对曲线(a)和(c)两者几乎相同。然而,当辅助阴极接通(且在此实例中,被设置为晶片电势的负1V)时,与具有较大的3mm间隙(曲线(d))的设备相比,在具有较小的1mm间隙(曲线(b))的设备中电流密度发生5%偏转时所在的距离更靠近晶片边缘几mm。由于晶片边缘处的面积和晶片裸片数目在此处是最大的,所以这对于工艺稳固性和合格率具有主要影响。具体来说,因为在优选实施例中晶片与电阻元件之间的间隙非常小,所以与使用具有大间隙的设备(其中电流有机会重新分布)的情况相比,辅助阴极能够显著更有效率地引导其提取,且将其提取限于仅从非常近边缘“吸取”过量电流。重要的是,假如不存在HRVA(更具体来说是一维导电的HRVA),则原本将从阳极的更靠中心的位置去往晶片的电流有机会从中心偏转。
有两个关键关系有助于确定需要边缘终端电流校正的距离/面积:间隙尺寸与杯底部位于晶片下方的程度(厚度)的比率,以及杯底部的形状(角度)。由于水压交互作用,且为了避免在晶片边缘处俘获气泡,边缘角度必须尖锐(尽可能接近平行)。因此,在此约束下,主要参数是间隙。此外,由于电阻元件与晶片之间的极小间隙,第二阴极的实际位置变得相对不重要。随着从晶片边缘向外径向延伸的外围间隙的长度增加,此不敏感性也增加,通常达到晶片到HRVA的间隙的约4到5倍的径向延伸距离处的不敏感性。接着,无论第二阴极的位置或超过晶片的外围间隙延伸的长度如何,均将通过晶片边缘处的小外围间隙来“吸取”电流。
因此,第二阴极可在晶片上方、下方或与其相同水平处、在镀敷腔室内或镀敷腔室外从晶片径向向外定位,只要辅助阴极与晶片表面之间存在离子连通即可。举例来说,第二阴极可定位在位于主镀敷腔室以外且在镀敷腔室外围的单独腔室中,其中通过例如敞开的窗或覆盖有离子可渗透薄膜的窗在两个腔室之间存在离子连通。第二阴极可具有各种形状(例如,环、C形、位于不同方位角位置处的一系列个别激活的电极,或甚至杆)。
采用辅助阴极的优点之一是施加到阴极的电流的电平可在镀敷期间受到动态控制,以考虑镀敷的不均匀性。举例来说,施加到第二阴极的电流的电平可在金属层较薄时在高电平下开始,且接着可在镀敷期间随着镀敷层的厚度增加且末端效应的严重性减退而逐渐或逐步地减小。
分段的第二阴极
在一些实施例中,第二辅助阴极包含若干区段,其中所述区段中的每一者可由单独电源或使用具有多个通道的一个电源来单独供电,所述通道适于独立地为第二阴极的区段供电。
此分段第二阴极对于在非圆形或不对称晶片(例如具有平坦区的晶片)上进行镀敷特别有用。然而,一般来说,具有独立供电区段的分段第二阴极可配合任何种类的工件(无论是否对称)使用,因为其允许对镀敷不均匀性进行微调。具体来说,分段第二阴极可用于在晶片的不同方位角位置处提供电流校正。
此概念在图7A和图7B中说明,其展示具有晶片平坦区703的晶片701的示意图,其中根据基准线来切割圆形晶片。在晶片的外围定位有四个辅助阴极区段705、707、709和711,其每一者电连接到其自身的电源713、715、717和719。不难理解,也可使用具有多个通道的一个电源。辅助电极区段相对于晶片位于不同的方位角位置。区段705与晶片平坦区对准,且驻留在0°方位角。区段707、709和711分别驻留在90°、180°和270°方位角位置。随着晶片701在顺时针方向上旋转,晶片平坦区703变得与区段707、接着与区段709、与区段711、且接着再次与区段705连续对准。图7B展示与图7A所示的相同的系统,其中晶片旋转了90°,使得晶片平坦703与辅助阴极区段707对准。
因为晶片平坦区处的电流密度将不同于晶片的圆形区处的电流密度,所以与其它部分相比,需要从晶片平坦部分偏转不同量的电流。因此,在一个实施例中,辅助阴极区段随着晶片旋转而被供电,使得第一电平的电流被供应到与晶片平坦区对准的区段,而第二电平的电流被供应到与晶片的圆形部分对准的辅助阴极区段。
举例来说,在图7A所示的位置中,第一电平的电流X被供应到与晶片平坦703对准的区段705,而第二(不同)电平的电流Y被供应到区段707、709和711中的每一者。当晶片旋转90°到图7B所示的位置时,第一电平的电流X将被供应到区段707(其现在与晶片平坦703对准),而第二电平的电流Y被供应到区段709、711和705。通过根据晶片的旋转来交替供应到辅助阴极区段的电流,适当地对晶片的圆形区和平坦区处的镀敷不均匀性进行校正。可使用连接到电源且含有用于使供应到辅助阴极区段的功率电平与晶片旋转速度相关的程序指令的控制器来协调所述过程。
应了解,图7A和图7B所示的呈现只是示意性的。辅助阴极区段可定位在晶片下方、与其处在相同水平或其上方,在与晶片相同的镀敷腔室中,或在与主镀敷腔室离子连通的不同镀敷腔室中。可使用任何区段布置,只要区段与围绕晶片的不同方位角位置对准即可。
区段数目可依据过程的需要而变化。在一些实施例中,使用约2到10个区段。
虽然如上所述,多区段辅助阴极对于设置在非常靠近晶片处的1-D HRVA特别有用,但这是一单独实施例,其既可独立使用,也可结合本文中揭示的各种镀敷设备特征(例如,HRVA、电势受控晶片进入等)使用。
具有1D穿孔的不均匀分布的旋转HRVA
在单独实施例中,可通过使用旋转的不对称HRVA来调整不对称晶片上的镀敷不均匀性。不对称HRVA可具有带有与主部分不同的孔分布图案的部分,或被切掉的部分,或根本没有孔的部分。旋转HRVA与旋转晶片对准,使得晶片的截然不同的部分与HRVA的截然不同的部分对准。举例来说,具有晶片平坦区的晶片可在与旋转HRVA相同的速度下旋转,使得HRVA的具有不均匀孔分布的区在旋转期间与晶片平坦区对准。
图8A和图8B展示具有区803的旋转HRVA 801的俯视图,在所述区803处,孔的分布与HRVA的其余部分不同。在一些实施例中,可不存在区803(根据基准线切掉),或其可为实心不带孔的。在一些实施例(未说明)中,具有不均匀孔分布的区是楔形的,或在方位角上不对称。HRVA的旋转与晶片(未图示)的旋转对准,使得在晶片旋转时区803与晶片平坦对准。图8B展示旋转到90°后的具有不均匀区803的HRVA。在一些实施例中,包含用于使晶片旋转与HRVA旋转同步的程序指令的控制器将与HRVA和晶片连接。
此实施例可单独使用,或结合本文中揭示的辅助阴极和其它特征使用。在一些实施例中,通过使用旋转不对称HRVA,HRVA无需位于非常靠近晶片处。
具有补偿非圆形晶片的旋转屏蔽物的固定HRVA
在又一单独实施例中,通过使用位于HRVA上方或下方的旋转屏蔽物来实现对非圆形晶片的镀敷均匀性控制。所述屏蔽物经配置以遮蔽HRVA孔,且其形状经设计以便补偿晶片的非圆形区(例如,晶片平坦)处的电流密度分布的不均匀性。所述屏蔽物与晶片平坦对准,且在与晶片相同的速度下旋转,从而提供对晶片平坦区经历的电流密度的连续调整。所述屏蔽物可具有各种形状,例如楔形、银杏叶形、蝙蝠翼形等。可使用具有用于同步的程序指令的控制器来完成对屏蔽物旋转速度与晶片旋转速度的同步。
此实施例可单独实践,或结合本文中描述的辅助阴极和/或电势受控的进入而实践。
电势受控晶片进入
在一些实施例中,发现结合本文中描述的用于减少末端效应的一种或一种以上方法使用电势受控晶片进入工艺是有利的。举例来说,在一些实施例中,在配备有具有1D穿孔的HRVA的设备中或在配备有HRVA和经配置以使离子电流的一部分从晶片边缘偏转的辅助阴极两者的设备中执行电势受控晶片进入。
在电势受控晶片进入中,当晶片浸没到电解质中时,晶片与参考电极之间的电势受到控制(例如,保持恒定)。在许多实施例中电势受控进入是优选的晶片进入工艺,因为其有助于避免晶种层腐蚀(由于晶片处的低电流密度)或晶片燃烧(由于过量的电流密度)。与晶片直到完全浸没时才被供能的冷晶片进入不同,且与总电流在晶片进入期间保持恒定的热恒电势晶片进入不同,电势受控晶片进入允许电流随着晶片浸没期间发生的打湿的表面面积的增加而逐渐增加。
电势受控晶片进入需要在晶片附近的浸没到电解质中的参考电极,且在一些实施例中(但不必要)利用位于晶片表面处的感应引线“指”。在2003年4月22日颁发给迈尔等人的标题为“用于半导体晶片上的细微图案的电势受控电镀的方法(METHODFOR POTENTIAL CONTROLLED  ELECTROPLATING OF FINE PATTERNS ONSEMICONDUCTOR WAFERS)”的第6,551,483号美国专利中详细描述了针对电势受控电镀的设置,所述专利的全文出于所有目的而以引用的方式并入本文中。
在一些实施例中,当晶片相对于电解质平面以一角度浸没到镀敷槽中时执行电势受控的晶片进入。倾斜晶片的浸没是有利的,因为其减少了气泡的俘获。在2006年8月29日颁发给里德等人的标题为“用于受控角度的晶片定位的方法和设备(METHODSAND APPARATUS FOR CONTROLLED-ANGLE WAFER POSITIONING)”的第7,097,410号美国专利中描述了用于浸没倾斜晶片的若干设备设置和方法,所述专利的全文出于所有目的而以引用的方式并入本文中。在一些实施例中,5度或更小的倾斜角度是优选的。在其它实施例中,倾斜角度可高达90度。
在图9的工艺流程图中说明在配备有HRVA和辅助阴极的设备中采用电势受控晶片进入的电镀方法的实例。
所述工艺在901中通过将晶片放置在镀敷槽外部的晶片固持器中开始。可将晶片放置成相对于镀槽中的电解质平面成水平或倾斜定向。如果将其放置成水平定向,则其稍后可在朝电解质下降时倾斜。接下来,在903中,在晶片与在晶片附近的浸没到电解质中的参考电极之间供应受控电压。值得注意的是,电源使晶片相对于阳极偏置,但未向用于电势测量的参考电极供应电流。在一些实施例中,晶片与电极之间的受控电势是恒定的,在其它实施例中,其可用可控制的预定方式变化。在一些实施例中,所述电势保持在来自从约0.35V到约1.4V的范围的恒定值。
在接下来的操作905(其大体上也可在工艺中较早发生)中,设置电势受控进入的若干参数。用户可定义垂直进入速度,其是晶片下降到镀槽中的速度。在一些实施例中,使用在约1到5度的晶片倾斜角度下的从约50到约200mm/秒的速度。用户还可设置合适的电流触发值和进入工艺的所要长度。电流触发电平是这样的电流电平:一旦到达所述电流电平,进入工艺计时器便启动。举例来说,在电流达到来自约0.5到5安培的范围的预设触发值之后,计时器开始对预设的进入长度进行计数,在一些实施例中,所述长度选自约75到300毫秒的范围。
接下来,在操作907中,将固定在晶片固持器中的倾斜晶片以预定速度下降到镀敷槽中。在一些实施例中,所述晶片还在其正下降并浸没到电解质中时旋转。
倾斜的被供能晶片以前端晶片边缘(最接近电解质)进入到电解质中,且随着晶片逐渐浸没,晶片的打湿面积增加。这使得电流增加。
如操作909中所示,在电流达到预设电流触发值之后,进入工艺的计时器启动。电势受控进入在预设的进入时间中继续进行。在此时间过去之后,认为浸没完成。
如操作911中所示,将晶片水平放置(在浸没期间或浸没之后倾斜消除)且平行于HRVA放置(优选在距HRVA约5mm以内),其中所述距离是指浸没在电解质中的底部晶片表面与近端HRVA表面之间的距离。
在晶片浸没完成之后,所述工艺从电势受控进入切换成电流受控镀敷。如操作913中所示,所述工艺通过在电流受控(例如,恒定电流恒电势条件)下在衬底上镀敷均匀的金属层而继续进行。在一些实施例中,电流受控镀敷在较低电流电平下开始,其继续一段时间,直到在高纵横比特征中填充了金属为止。所述工艺接着在显著较高的电流下继续进行,以填充较低纵横比的特征,且在一些实施例中,以形成适合于后续平坦化操作(例如,化学机械抛光(CMP))的均匀盖层。
在一些实施例中,如操作915中所示,第二阴极电路在到电流受控镀敷的转变完成之后被供能,以使原本可能被引导到晶片边缘的电流偏转,且有助于实现更均匀的镀敷电流分布。
图10中展示适合于经配置以执行电势受控进入、电流受控总体镀敷和使用第二阴极的电镀设备的电路的简单示意图。
镀敷槽中填充有电解质,且容纳阳极113、设置在阳极上方的HRVA 119、位于HRVA外围上且在其上方的参考电极131以及也位于HRVA外围上的第二阴极143。晶片107设置在HRVA正上方,其底部表面浸没到电解质中。在HRVA与晶片之间存在非常小的填充有电解质的间隙d(优选5mm或更小)。所述系统含有两个电源——主电源135和第二阴极电源137。所述两个电源连接到控制器,所述控制器经配置以控制电势受控进入、电流受控镀敷的参数和供应到第二阴极的电流电平。
主电源135具有经由线139连接到晶片107的负引线以及经由线141连接到阳极113的正引线。主电源的正感应引线经由线144a连接到参考电极131。相形之下,当未采用参考电极时,正感应线经由144b(以虚线展示)连接到电源线141。在一些实施例中,主电源的负感应线145b连接到与晶片接触的感应指。在其它实施例中,不使用感应指,且此感应线经由线145a(以虚线展示)连接到电源线139。对于允许电势受控进入的配置,需要浸没在电解质中且经由感应线连接到主电源且随后连接到系统控制器的参考电极。在一些实施例中,经由感应引线连接到主电源的与晶片接触的感应指的存在是有利的,但一般来说是任选的。
第二阴极电路在具有和不具有参考电极的配置中可基本上是相同的。第二阴极电源的负引线经由电源线143连接到第二阴极129,且其负引线经由电源线145连接到阳极113。来自第二电源的感应线连接到对应的电源线。
如所提到的,所述两个电源均连接到控制器147,所述控制器147经配置以控制镀敷工艺的参数,例如由每一电源供应的功率电平、接通第二阴极的时序、电势受控进入工艺等。
当然,对电镀系统的电配置的所呈现的说明是一个实例配置。所属领域的技术人员将理解,其它配置是可能的。举例来说,可使用具有用于晶片(第一阴极)和用于第二阴极的单独受控通道的一个电源,而不是两个电源。在其它实施例(其中使用多区段第二阴极)中,每一区段可从专用电源单独供电,或者可使用多通道电源来独立地为所述区段供电。
在一个所述实施例中,第二阴极和参考电极在物理上是一个实体,例如金属条带。浸没到电解质中的相同金属条带可在电力从第二阴极电源施加到其时充当第二阴极,且在电力断开时充当参考电极,但电势是通过连接到主电源的感应引线来感应。因此,在一些实施例中,不是使用两个不同部件,而是将第二阴极和参考电极合而为一。在一些实施例中,提供一种镀敷方法,其中所述工艺以使用浸没到电解质中的电极的电势受控晶片进入而开始,所述电极并非外部供能,但经配置以感应电势。在晶片浸没且镀敷工艺已转变为电流受控模式之后,将电流从外部电源供应到此电极,使得此电极相对于阳极变得负向偏置,且开始充当第二阴极,从而使电流的一部分从晶片偏转。因此,相同的物理实体在工艺的电势受控阶段充当参考电极,且能够在工艺的电流受控阶段充当第二阴极。
参考电极的定位
参考电极的正确定位对于电势的准确测量且因此对于电势受控晶片进入的成功非常重要。具体来说,在装备有HRVA的系统中,参考电极相对于HRVA的定位非常重要。此外,随着倾斜晶片进入电解质,发现参考电极相对于前端晶片边缘(首先接触电解质的边缘)和后端晶片边缘(最后接触电解质的边缘)的定位会对晶片在进入期间经历的电流分布造成影响。此外,在第二阴极和参考电极是一个物理实体的那些系统中,需要考虑到供应到电极的DC电流对其准确测量电势的能力的影响。
因此,将论述针对配合HRVA、第二阴极和配合倾斜晶片进入使用而调适电势受控晶片进入。
首先,重要的是,将参考电极放置在镀敷系统中,不是相对于晶片在HRVA后面,而是优选比HRVA处在更接近晶片的垂直标高。在一些实施例中,参考电极的至少一部分可处在与HRVA相同的垂直标高,例如,可将具有参考电极的杯放置到HRVA的一个穿孔中。在其它实施例中,整个参考电极位于比HRVA更接近晶片的垂直标高。举例来说,在晶片面朝下的设备中,参考电极不应定位在HRVA下方。相反,在晶片面朝上的设备中,参考电极不应定位在HRVA上方。此处重要的参数是垂直标高或连接晶片与HRVA的中心的轴。参看在此轴上测量的标高,参考电极应位于与HRVA相同的水平,或优选比HRVA更接近晶片。虽然参考电极的水平定位在一些实施例中可能是重要的,但其通常不如将电极定位在正确的垂直标高重要。因此,举例来说,参考电极可在水平方向上位于晶片与HRVA的外围上,但相对于其垂直标高比HRVA定位得更接近晶片。
需要此定位来使增加的电流和任何相关联的电压降对于参考电极测量到的电势的任何影响最小化。举例来说,如果晶片进入期间的电流在需要对比电解质电势的-0.5V极化的单元中从0A改变成10A,则在“感应”晶片边缘处的电势的区中将所述电势设置成所述值而没有显著的电势降,会允许贯串工艺期间的大约14mA/cm2的近恒定电流密度。相反,假如将参考电极放置在1欧姆HRVA板下方的腔室中且维持相同的恒定电势,则电流密度起先将在14mA/cm2下开始(单元中无欧姆降),但将继续减小到约0.71mA/cm2(20倍减小)。或者,假如参考电极在HRVA下方且将电压起先设置成10V,则电流将以极高值开始(因为在过程开始时不存在欧姆损失),从而导致对晶片前端边缘的损坏。只有这样,电流才将降低到在完全浸没后实现的14mA/cm2。此说明还阐明了为何在含有HRVA的系统中控制单元电势(晶片到阳极)无法实现恒定电流密度进入。因此,重要的是将参考电极定位在HRVA上方的位置或在与HRVA相同的水平处(在晶片面朝下的系统中),且或者非常接近晶片表面和边缘,或者在连接到所述位置的位置,该位置具有很少电流流动且其中电压降和对系统的欧姆贡献可忽略不计且与表面运动阻力相比几乎恒定。
当晶片在进入到电解质期间以一角度倾斜时,参考电极在HRVA板上方的区域中的位置也可能较重要,特别是在没有双阴极的系统中。图11展示进入期间的倾斜晶片的侧视图,以及具有参考电极的不同位置的相同晶片的俯视图。晶片适于以前端边缘1103进入电解质,同时后端1101最后进入。连接前端边缘1103和后端边缘1101的顶点对于确定参考电极的优选位置是重要的。优选所述电极应定位成接近前端边缘进入点,且接近或大致在此顶点上。这是因为是通过晶片与阳极腔室之间的进入间隙(未图示)来感应电势,所述阳极腔室相对封闭,且其中参考探针局部电势与晶片与HRVA之间的其它位置(例如,180°位置)(其中电流流动的增加较大)相比受增加的电流流动的影响较小。图11展示了可能的参考电极位置的三个位置。基线位置驻留在连接晶片的前端边缘和后端边缘的顶点上,非常接近前端边缘进入点。其它位置分别从基线位置移位60°和180°。图12展示针对三个不同的参考电极位置在对比参考电极0.6V的恒定晶片电势下具有
Figure G2009102096972D0000221
铜晶种的晶片的进入的三个进入电流轨迹。所述系统还装备有第二阴极环,其在晶片进入期间不被供能。曲线(a)是位于前端边缘(6点钟位置,基线)的参考电极的电流分布;曲线(b)是使用位于距前端边缘60度的参考电极获得的电流分布;曲线(c)是使用位于进入线的后端边缘(离开180度)的参考电极获得的电流分布。值得注意的是,曲线(c)中描绘的电流密度以低水平开始,且在参考电极处于“离开180度”位置时被实质性延迟。此外,峰值电流在所述两种无基线情况下均较低。既定晶片覆盖率对时间的曲线形状和流体动态建模的比较表明基线条件下的电流密度几乎恒定,而在其它情况下,其不甚理想。因此,在许多实施例中,将参考探针放置得与晶片的后端边缘相比更接近晶片的前端边缘,且接近连接所述两个边缘的顶点。
如先前提到的,在一些实施例中,单元中的第二阴极电极还用作参考电极。在此情况下,晶片进入期间晶片的电势受到控制,而没有电流经过第二阴极。在稍后阶段,第二阴极可被供能(负向偏置)以执行电流提取和边缘轮廓微调。在一些实施例中,第二阴极/参考电极是设置在晶片外围且大体上在HRVA上方或在与其相同的标高处的环。在此实施例中,第二阴极由被镀敷到晶片上的相同金属(例如,铜)构成,且/或用其镀敷。第二阴极直到晶片进入完成时(即,在开路电势下)才被供能,且其通常在进入之前将溶液电势测量为0伏。然而,在此情况下监视和控制的电势是过程期间外围区中的平均电势,其受到单元中的电流通过的影响,且因此不同于在一个外围方位角位置处(例如,如上所述在基线处)使用参考探针的结果。虽然在一些情况下此设计与在优选位置中具有专用参考电极的设计相比在进入期间维持晶片处的恒定电流密度的能力较低,但此方法的确通过将参考电极作为单独实体消除而简化了整体单元设计,且在第二阴极被镀敷时将电极的表面维持在新鲜的状态。
然而,可注意到,即使单元采用与双阴极截然不同/单独的参考电极,但恰好双阴极(在开路下)的存在的确影响参考探针处的单元电势,因为双阴极是单元远端处的电势与单元近端处的电势之间的低电阻电连接“通道(buss)”。
镀敷系统的说明性实例
图13中展示镀敷系统的图示说明,所述镀敷系统采用非常靠近晶片的电阻元件(辅助阴极)和单独参考电极两者。这是镀敷系统的一个实例,且应了解,可在随附权利要求书的精神和范围内修改所述镀敷系统。举例来说,适于电势受控进入的辅助阴极和参考电极并非在所有实施例中均需要在一个系统中存在。
参看图13,其展示电镀设备101的图式横截面图。镀敷容器103含有镀敷溶液,其在水平105处展示。晶片107浸没到镀敷溶液中,且被安装在可旋转心轴111上的“抓斗”固持夹具109固持,所述夹具允许抓斗109与晶片107一起旋转。对具有适合配合本发明使用的方面的抓斗型镀敷设备的一般描述在颁发给巴顿等人的第6,156,167号美国专利和颁发给里德等人的第6,800,187号美国专利(所述专利先前以引用的方式并入)中详细描述,且还在上文中参看图4描述。阳极113设置在晶片下方在镀敷槽103内,且通过薄膜115(优选是离子选择薄膜)与晶片区分开。阳极薄膜下方的区通常称为“阳极腔室”,且此腔室内的电解质称为“阳极液”。离子选择阳极薄膜115允许镀敷单元的阳极区与阴极区之间的离子连通,同时防止阳极处产生的颗粒进入晶片附近且污染晶片。阳极薄膜还可用于在镀敷工艺期间重新分布电流流动,且因而改善镀敷均匀性。在颁发给里德等人的第6,126,798号和第6,569,299号美国专利中提供了对合适的阳极薄膜的详细描述,所述两个专利出于所有目的而以引用的方式并入本文中。
通过泵117将镀敷溶液连续提供到镀敷槽103。一般来说,镀敷溶液通过阳极薄膜115和HRVA 119(位置非常靠近晶片的离子电阻离子可渗透元件)向上流动到晶片107的中心,且接着径向向外且跨晶片107流动。在替代实施例中,可将镀敷溶液从镀敷单元103的侧面提供到镀槽的阳极区中。在其它实施例中,可通过单独的入口将镀敷溶液供应到镀敷单元的阳极区和阴极区中。
镀敷溶液接着如箭头123指示从镀敷槽103溢出到溢出储存区121。接着过滤(未图示)镀敷溶液且如箭头125指示使其返回到泵117,从而完成镀敷溶液的再循环。
容纳第二阴极129的第二阴极(辅助阴极)腔室127位于镀敷容器103外部,且在晶片外围。应了解,这是一说明性实施例,且一般来说,第二阴极可位于主镀敷腔室(容器)内部的若干位置或外部。
镀敷溶液越过镀敷容器的堰壁溢出到第二阴极腔室中。在特定实施例中,第二阴极腔室通过具有多个开口的壁与镀敷槽103分开,所述开口被离子可渗透薄膜覆盖。所述薄膜允许镀敷单元与第二阴极腔室之间的离子连通,因而允许电流偏转到第二阴极。此薄膜的多孔性使得其不允许特定材料从第二阴极腔室127穿行到镀敷槽103并导致晶片污染。壁中的开口可采用具有各种大小的圆孔、狭槽或其它形状的形式。在一个实施例中,所述开口是具有例如约12mm乘以90mm的尺寸的狭槽。其它用于允许第二阴极腔室与主镀敷容器之间的流体和/或离子连通的机制属于本发明的范围。实例包含其中薄膜(而非不可渗透壁)提供第二阴极腔室中的镀敷溶液与主镀敷容器中的镀敷溶液之间的大部分障壁的设计。在此类实施例中,刚性的框架可为薄膜提供支撑。
在所说明的实施例中,参考电极131位于镀敷容器103的外部,在与第二阴极相同的腔室中。在此特定实例中,第二阴极是C形的,且驻留在镀敷腔室的外围上,且参考电极是杆形的,且驻留在C形第二阴极的非连续位置附近并且接近晶片的前端边缘。参考电极连接到控制电路,且通常在需要受控电势下的电镀时采用。一般来说,使晶片与参考电极之间的距离最小化以便实现更准确的电势控制是有利的。因此,在一些实施例中,参考电极的位置非常靠近晶片,使得晶片与参考电极之间的电阻最小。在许多情况下,参考电极仅在晶片浸没的非常快速的进入阶段(其发生通常远远比一秒快)期间以及在二级阴极未被供能时使用。因此,由于在此类情况下很少有或没有电流在晶片固持器/阳极腔室间隙外部流动,所以由参考电极测量的电势在间隙外部的所有位置处几乎与间隙外边缘处的电势相同。这类似于双阴极及其使用的位置不敏感性,即,如果参考电极处在间隙外部,则参考电极的确切位置相对不太重要。具体来说,在一些实施例中,参考电极位于间隙边缘处(距晶片边缘约5mm),而在其它情况下,其位于距晶片边缘间隙50mm处。然而,如果想要避免间隙区中的小的电压降(如在一个实施例中),则将含有参考电极的杯定位在离子电阻元件119的外围处且在其一个穿孔中。虽然可使用各种参考电极,但在一些实施例中,所述参考电极包含与正被镀敷的材料相同的材料(例如,在铜镀敷期间可使用铜参考电极)。
可分别使用两个DC电源135和137来控制到晶片107和到第二阴极129的电流流动。电源135具有负输出引线139,其通过一个或一个以上集电环、电刷和触点(未图示)电连接到晶片107。电源135的正输出引线141电连接到位于镀敷槽103中的阳极113。类似地,电源137的负输出引线143电连接到第二阴极,且其正输出引线145电连接到阳极113。或者,可使用一个具有多个独立可控制的电出口的电源向晶片和第二阴极提供不同电平的电流。如图10中以额外细节展示,参考电极131通过主电源135连接到控制器147。图10还展示晶片表面处的感应引线指,为了保持清晰未在图13中对其进行展示。电源135和137以及参考电极131可连接到控制器147,其允许对提供到电镀单元的元件的电流和电势进行调制。举例来说,控制器可允许在电流受控或电势受控体制下进行电镀。控制器可包含指定需要施加到镀敷单元的各种元件的电流和电压电平以及需要改变这些电平的时间的程序指令。举例来说,其可包含用于在晶片浸没到镀敷槽中后从电势控制转变为电流控制的程序指令。
在使用期间,电源135和137使晶片107和第二阴极129两者偏置,从而相对于阳极113具有负电势。这使得从阳极113流动到晶片107的电流被部分或实质性偏转到第二阴极129。上文描述的电路还可包含一个或若干二极管,其将防止电流流动的反向(当不需要此反向时)。在镀敷期间可能会发生不合需要的电流反馈,因为被设置在接地电势的阳极113是晶片与辅助阴极电路两者的共用元件。
施加到第二阴极的电流电平通常被设置成低于施加到晶片的电流电平的值,其中第二阴极电流呈现为晶片电流的百分比。举例来说,10%的第二阴极电流对应于第二阴极处的作为到晶片的电流流动的10%的电流流动。本文中使用的电流方向是净正离子通量的方向。在电镀期间,在晶片表面(第一阴极)和第二阴极表面两者上发生电化学还原(例如,Cu2++2e-=Cu0),这使得导电层(例如铜)沉积在晶片和辅助阴极两者的表面上。由于电流从晶片偏转到第二阴极,所以沉积在晶片边缘处的铜层的厚度减小。此效应通常在晶片靠外20mm内发生,且在其靠外10mm内特别明显,尤其是在薄的晶种层上执行镀敷时。辅助阴极129的使用可实质性改善因末端效应和场效应导致的中心一边缘不均匀性。第二阴极可单独或配合各种固定或动态屏蔽物使用。
离子电阻离子可渗透元件(即,HRVA)119位于非常靠近晶片处(在10mm以内,优选在5mm以内)且充当对晶片的恒定电流源。所述元件含有多个1D穿孔,且已在上文详细描述。
此外,一个或一个以上屏蔽物(例如149a)可定位在腔室内。所述屏蔽物通常是环形介电插入件,其用于对电流分布进行整形且改善镀敷的不均匀性,所述插入件例如是颁发给布罗德本特(Broadbent)的第6,027,631号美国专利中描述的插入件,所述专利的全文出于所有目的而以引用的方式并入本文中。当然,可采用所属领域的技术人员已知的其它屏蔽物设计和形状。
一般来说,所述屏蔽物可采用任何形状,包含楔形、条形、圆形、椭圆形和其它几何设计。环形插入件还可在其内径上具有图案,其改善屏蔽物以所要方式对电流通量进行整形的能力。所述屏蔽物的功能可依据其在镀敷单元中的位置而不同。本发明的设备可包含任何静态屏蔽物以及可变场整形元件(例如在颁发给迈尔等人的第6,402,923号美国专利中描述的元件)或者分段阳极(例如在颁发给伍德鲁夫(Woodruff)等人的第6,497,801号美国专利中描述),所述两个专利的全文以引用的方式并入本文中。
上述设备配置是本发明的一个实施例的说明。所属领域的技术人员将明白,可使用包含适当定位的第二阴极的替代镀敷单元配置。虽然屏蔽插入件可用于改善镀敷均匀性,但在一些实施例中,可能并不需要所述插入件,或者可采用替代的屏蔽配置。
虽然第二阴极无需一定远端定位,但将举例描述具有远端第二阴极的一个此配置。
在图14中说明远端定位的第二阴极的一实例,其展示图13的第二阴极腔室127的截面图。所述腔室提供位于镀敷槽外部且大致在与晶片相同的垂直标高处围绕镀敷槽的周边延伸的环形空间,其中晶片的垂直标高是指其在镀敷期间在容器内的位置。第二阴极腔室安装在镀敷容器壁151的外侧上,且经设计而通过壁151中的一系列由薄膜覆盖的开口153与镀敷容器离子连通。第二阴极腔室中至少部分地通过来自主镀敷容器的溢出而补充镀敷溶液。第二阴极腔室的顶部部分被电极盖155覆盖,电极盖155具有若干开口,例如开口157。镀敷溶液通过位于这些开口处的堰壁溢出到第二阴极腔室中。可通过进入第二阴极腔室中的快速溢出来实现镀敷溶液的快速更新,所述快速更新防止可能在电镀和剥离工艺期间发生的第二阴极129的钝化。可例如通过改变电极盖155中的敞开面积的百分比来控制溢出速率。因此,举例来说,至少约5%、10%、50%或100%的电极盖面积可为溢出而敞开。
通过薄膜开口153来实现第二阴极129与主镀敷槽之间的离子连通。覆盖这些开口的薄膜具有足以供离子物质(例如二价铜离子或质子)穿过薄膜并提供到达第二阴极的电流流动的孔隙率。然而,此薄膜能够阻挡可能在第二阴极表面处产生的较大颗粒穿过薄膜到达主镀敷单元并污染晶片。一般来说,需要阻止尺寸大于0.05微米的颗粒穿过薄膜。这可通过采用由平均小孔或通道尺寸不大于约0.05微米(且优选小到1-10nm)的聚合材料构成的薄膜来实现。在特定实施例中,多孔聚合材料是由聚烯烃或其它能抵抗镀敷溶液的侵蚀的可打湿的聚合材料制成。薄膜材料的合适实例包含:由阳极产品有限公司(Anode Products,Inc.,地处伊利诺斯州)出品的带绒聚丙烯(napped polypropylene);由包含明尼苏达州查斯卡的Entegris公司在内的各种供应商出品的毛毡、单丝、细丝和短纤形式的丙纶雪先(spunbound snowpro)聚丙烯和各种聚丙烯、聚砜、莱通(RYTON)和特富龙(TEFLON)材料。确切地说,离子化阳离子交换薄膜(例如由杜邦公司(DuPontde Nemours Co.)供应的Nafion可用于此应用。
在一个实施例中,第二阴极129是一环形金属条带,其位于第二阴极腔室127内,且通过例如附接到电极电缆(未图示)的馈通连接件而连接到电源。构成第二阴极或其表面的金属优选在电镀条件下是惰性的。可用作第二阴极的惰性金属的实例包含钽、钨、钛、钯或铂、钯或镀铂的金属衬底(例如钛或钨或钽)、铱、镀铱的钛等等。在一些实施例中,优选使用正被镀敷的相同材料作为第二阴极材料。举例来说,在镀敷铜时,可使用包括铜的第二阴极。
第二阴极腔室和第二阴极的尺寸可依据电镀工艺的需要而变。在一个实例中,第二阴极是一金属条带,其厚度约为0.1到2mm,且高度约为0.5到5cm。在此实施例中,第二阴极腔室可具有约0.5到3cm的宽度和约1到9cm的深度。此腔室可安装到主镀敷容器上,所述主镀敷容器具有45到61cm的外径和约30到61cm的深度。其它阴极配置的实例包含圆条(O形圆环)、C形条、具有圆形配置的线圈,其中个别线圈界定小圆且总体线圈结构围绕第二阴极腔室中的主镀敷容器。
图15中说明包括离子电阻离子可渗透元件和第二阴极的另一配置的特写图。为了保持清晰,只说明了晶片107、第二阴极129和离子电阻元件119。在此配置中,第二阴极的位置接近晶片,但如先前提到,第二电极的定位并非关键,尤其是在晶片和/或晶片固持器与HRVA板之间的间隙较小时。离子电阻离子可渗透HRVA元件定位成与晶片相对,且以一近距离d1与其平行。此距离在一个特征化方面小于约5mm或小于约3mm。在一不同的特征化方面,所述距离不大于工件的圆形区的直径的约5%(更优选约2%)。
图16中展示采用离子电阻元件的配置的另一实施例。在此实施例中,静态绝缘屏蔽物151围绕电阻元件的周边驻留,以便进一步改善边缘-中心镀敷均匀性。任选地,所述配置还包含第二阴极129。所述屏蔽物可直接驻留在电阻元件上,且可遮蔽电阻元件的周边上的一些穿孔。一般来说,在横向(x方向)中,此屏蔽物可完全在电阻元件外围(在其周边以外)、部分地在其外围(如图所示,屏蔽物的一部分在周边以外,且一部分在周边以内),或完全在元件的外边缘之上(完全在电阻元件的周边以内)。在垂直向上(在y方向中),屏蔽物驻留在晶片与电阻元件之间,在相同水平或在其下方。
当屏蔽物驻留在HRVA上方时,其可用来使外围间隙较小。这在使用辅助阴极时尤其有利。通过使用不同屏蔽物和相同HRVA,可调制外围间隙对HRVA到晶片的间隙的相对尺寸。根据一些实施例,屏蔽物的一单独功能是遮蔽HRVA的一些孔,因而阻挡穿过所述孔的电流。所述屏蔽物可经配置(通过其尺寸、位置、动态移动等)以改变开孔的数目和位置,以便针对特定应用来调节电流分布。有利的是,可通过以下方式来调制这些参数:使用相同HRVA,并选择适合于特定应用的屏蔽物(例如,形成所要外围间隙、被阻挡的孔的所要图案等)。虽然在一些实施例中如图16中说明静态屏蔽物是环,但在其它实施例中,所述屏蔽物可为蝙蝠翼形,或具有另一方位角不对称的形状。
在一些实施例中,阻挡孔的屏蔽物(例如外围环或方位角不对称的屏蔽物)放置在HRVA与阳极之间(例如在晶片面朝下的系统中,在HRVA下方)。
所述屏蔽物可采用绝缘环的形式。在其它实施例中,可采用动态屏蔽系统来取代静态屏蔽物。举例来说,隔膜(经配置以用于在镀敷期间改变孔口直径的动态屏蔽物)或例如2002年6月11日颁发给迈尔等人的标题为“用于使用可变场整形元件对集成电路进行均匀电镀的方法和设备(METHOD AND APPARATUS FOR UNIFORMELECTROPLATING OF INTEGRATED CIRCUITS USING A VARIABLE FIELDSHAPING ELEMENT)”的第6,402,923号美国专利中描述的那些其它动态屏蔽物可用来考虑减少镀敷期间发生的末端效应,所述专利的全文出于所有目的而以引用的方式并入本文中。
图17中说明具有围绕其周边且在元件上方设置的静态屏蔽物151的离子电阻离子可渗透元件119的俯视图。
阳极的定位和形状
在存在充当虚拟阳极的HRVA的情况下,实际阳极(镀敷腔室的正向偏置的部件)的定位变得相对不太重要。因此,虽然在一些实施例中实际阳极(正向偏置的电极)与晶片且与电阻元件对准且设置成与晶片表面相对,但在其它实施例中,阳极不与晶片对准,因为其可相对于晶片移位到侧面,放置在单独腔室中,或可具有实质性不同于晶片的尺寸的尺寸(例如,直径)。在一些实施例中,阳极是盘形的,且与晶片对准。在其它实施例中,阳极可以不对称的方式定位。在另外其它实施例中,使用多个阳极。
可使用的一种阳极设计采用多个阳极区段,其中的每一者可单独控制。所述阳极区段可经布置以便从靠近工件中心而定位的区段提供较大的电流电平。同心布置的阳极区段提供此能力。见2004年8月10日颁发给迈尔等人的标题为“用于使用多个分段虚拟阳极源对薄金属加晶种晶片进行均匀电镀的方法和设备(METHOD AND APPARATUSFOR UNIFORM ELECTROPLATING OF THIN METAL SEEDED WAFERS USINGMULTIPLE SEGMENTED VIRTUAL ANODE SOURCES)”的第6,773,571号美国专利,其全文出于所有目的而以引用的方式并入本文中。
在另一实施例中,采用方位角不对称的阳极。工件相对于阳极旋转,以产生时间平均电流源,其向工件中心提供比边缘区高的电流。在2005年7月19日颁发给迈尔等人的标题为“使用旋转不对称可变阳极校正对薄金属加晶种晶片的均匀电镀(UNIFORMELECTROPLATING OF THIN METAL SEEDED WAFERS USING ROTATIONALLYASYMMETRIC VARIABLE ANODE CORRECTION)”的第6,919,010号美国专利中描绘了此设备的实例,所述专利出于所有目的而以引用的方式并入本文中。
在同心阳极实施例中,阳极可伴有电绝缘且离子绝缘的聚焦筒,其可与HRVA的下表面相配。在其它实施例中,聚焦壁从阳极向上延伸,但不与HRVA接触。当内部阳极接着被供能时,电流优先被引导到中心,且无法穿过低电阻路径而泄漏到边缘。在其它实施例中,聚焦壁从阳极向上延伸,但不与HRVA接触。
具有1D穿孔的HRVA与不同阳极配置的组合的配置方式可类似于2005年1月20日由迈尔等人申请的标题为“高电阻离子电流源(HIGH RESISTANCE IONICCURRENT SOURCE)”的第11/040/359号美国专利申请案中详细描述的多孔薄膜与不同阳极配置的组合,所述申请案的全文出于所有目的而以引用的方式并入本文中。
然而,值得注意的是,在一使用分段阳极且一个或一个以上阳极区段被聚焦壁(其垂直延伸且与具有1D穿孔的HRVA相配)围绕的实施例中,所述效果将显著不同,且比使用具有3D多孔网的薄膜的情况更有利。有利的是,与具有1D穿孔的HRVA相配的壁将基本上阻挡电解质进入这些穿孔,因而形成与阳极区段对准且通过被阻挡区域而彼此电隔离的截然不同的HRVA区段。相形之下,在三维多孔网中,即使壁阻挡了小孔,电流仍可穿过3D多孔板而横向行进,因而减少了电流与阳极区段的对准。因此,具有1D穿孔的HRVA配合具有与HRVA相配的聚焦壁的分段阳极的使用允许对镀敷均匀性进行更好的控制。此HRVA称为具有1D穿孔的分段HRVA。
应了解,本文中描述的实例和实施例只用于说明目的,且所属领域的技术人员将想到鉴于其的各种修改或改变。虽然为了清晰起见省略了各种细节,但可实施各种设计替代方案。因此,当前的实例应被视为说明性而非限制性,且本发明不限于本文中给出的细节,而是可在随附权利要求的范围内修改。此外,应了解,如所属领域的技术人员将了解,本申请案中呈现的许多特征可单独实践以及以彼此任何合适的结合而实践。

Claims (22)

1.一种用于将金属层电镀到具有围绕中心区的边缘区的工件表面的导电晶种层上的设备,所述设备包括:
(a)镀敷腔室,其适于盛放电解质;
(b)工件固持器,其用于将所述工件固持在所述镀敷腔室中;
(c)一个或一个以上电力触点,其经布置以在所述边缘区上的一个或一个以上位置与所述晶种层形成电连接;
(d)离子电阻离子可渗透元件,其具有离子电阻主体,所述主体具有在所述主体中制造的多个孔眼,使得所述孔眼不在所述主体内形成连通通道,其中所述孔眼允许将离子运送穿过所述元件,且其中所述元件经定位以具有当被所述工件固持器固持在镀敷位置时面向所述工件表面且定位在所述工件表面约5mm以内的一个表面,其中大致所有孔眼在所述元件的面朝所述工件的所述表面的所述表面上具有不大于约5mm的开口主要尺寸或直径;以及
(e)参考电极,其与控制电路可操作地连通;以及
(f)电源,其与所述电力触点、所述阳极且与所述控制电路可操作地连通;
其中所述设备经配置以用于提供所述工件到所述电解质中的电势受控进入。
2.根据权利要求1所述的设备,其进一步包括至少一个与所述控制电路可操作地连通的感应引线,所述感应引线包括至少一个接触所述晶片的所述表面的感应触点,所述感应引线经配置以用于感应所述工件的所述表面上的至少一个点的电势。
3.根据权利要求1所述的设备,其进一步包括驱动机构,所述驱动机构经配置以用于至少在所述工件进入所述电解质期间使所述工件相对于由所述电解质的表面界定的平面以一角度倾斜。
4.根据权利要求3所述的设备,其中所述参考电极经定位以使得其在倾斜的晶片浸没到电解质中期间比到后端晶片边缘更接近前端晶片边缘。
5.根据权利要求1所述的设备,其中所述参考电极定位在所述离子电阻离子可渗透元件上方或与所述离子电阻离子可渗透元件相同的垂直标高处,其中所述设备经配置以用于面朝下的晶片定向。
6.根据权利要求1所述的设备,其中所述参考电极是铜参考电极。
7.根据权利要求1所述的设备,其中所述设备经配置以用于在受控电势条件下工件进入的一段时间之后在受控电流条件下进行电镀。
8.根据权利要求7所述的设备,其中在受控电势下工件进入的所述一段时间小于约5秒。
9.根据权利要求7所述的设备,其中在受控电势下工件进入的所述一段时间小于约1秒。
10.根据权利要求7所述的设备,其中所述设备经配置以用于在恒定电势下执行工件进入,其中所述电势是在所述工件与参考电极之间测量的。
11.根据权利要求1所述的设备,其进一步包括第二阴极,所述第二阴极适于使离子电流的一部分从所述工件的所述边缘区偏转。
12.根据权利要求11所述的设备,其中所述参考电极和所述第二阴极被容纳在所述镀敷腔室外部的第二腔室中,其中所述第二腔室与所述镀敷腔室离子连通。
13.根据权利要求11所述的设备,其中相同电极在所述工件的电势受控进入期间充当参考电极,且在电流受控镀敷的至少一部分期间充当适于使电流的一部分从所述工件偏转的第二阴极。
14.根据权利要求1所述的设备,其中所述离子电阻离子可渗透元件是具有约6,000到12,000个孔眼的盘。
15.一种将金属层镀敷到晶片衬底上的方法,所述方法包括:
(a)将在其表面上设置有连续金属晶种层的晶片衬底提供到电镀设备,其中所述设备包括具有多个不连通的孔眼的离子电阻离子可渗透元件;以及
(b)将所述晶片衬底浸没到电解质溶液中,其中所述浸没包括使所述晶片衬底相对于由所述电解质界定的平面以一角度倾斜,且将所述衬底朝所述电解质移动并移动到所述电解质中,直到所述衬底的整个工作表面浸没在所述电解质中为止,其中在电势受控条件下将电流供应到所述衬底时执行所述浸没的至少一部分;
(c)在所述衬底的所述浸没期间或之后,改变所述倾斜角度以使所述工作晶片表面相对于所述电解质表面的所述平面成平行定向;
(d)将所述衬底工作表面定位在所述离子电阻离子可渗透元件的衬底近端表面的约5mm以内;以及
(e)在电流受控条件下将电流供应到所述衬底以将成块的所述金属层镀敷到所述晶种层上。
16.一种用于将金属层电镀到具有围绕中心区的边缘区的工件表面的导电晶种层上的设备,所述设备包括:
(a)镀敷腔室;
(b)工件固持器,其用于将所述工件固持在所述镀敷腔室中;
(c)电触点,其经布置以在所述边缘区上的一个或一个以上位置与所述晶种层形成电连接;
(d)离子电阻离子可渗透元件,其具有离子电阻主体,所述主体具有在所述主体中制造的多个孔眼,使得所述孔眼不在所述主体内形成连通通道,其中所述孔眼允许将离子运送穿过所述元件,且其中所述元件经定位以具有当在所述镀敷腔室中的镀敷期间被所述工件固持器固持在镀敷位置时面向所述工件表面且定位在所述工件表面约5mm以内的一个表面,其中大致所有孔眼在所述元件的面朝所述工件的
所述表面的所述表面上具有不大于约5mm的开口主要尺寸或直径;以及
(e)第二阴极,其适于使电流的一部分从所述工件的所述边缘区偏转。
17.根据权利要求16所述的设备,其中所述离子电阻离子可渗透元件是具有约6,000到12,000个孔眼的盘。
18.根据权利要求16所述的设备,其中所述离子电阻离子可渗透元件经配置以大致与所述工件共同延伸。
19.根据权利要求18所述的设备,其中所述离子电阻离子可渗透元件具有约150mm到450mm的直径和约5mm到50mm的厚度。
20.根据权利要求16所述的设备,其中所述离子电阻离子可渗透元件包括位于所述元件的中心部分中的不均匀孔眼,和位于所述元件的外围部分中的均匀孔眼。
21.根据权利要求20所述的设备,其中所述元件的所述中心部分中的一个或一个以上孔眼被阻挡,以提供孔眼在中心中的不均匀分布。
22.一种用于将金属层电镀到具有围绕中心区的边缘区的工件表面的导电晶种层上的设备,所述设备包括:
(a)镀敷腔室;
(b)工件固持器,其用于将所述工件固持在所述镀敷腔室中;
(c)电触点,其经布置以在所述边缘区上的一个或一个以上位置与所述晶种层形成电连接;
(d)离子电阻离子可渗透元件,其具有离子电阻主体,所述主体具有在所述主体中制造的多个孔眼,使得所述孔眼不在所述主体内形成连通通道,其中所述孔眼允许将离子运送穿过所述元件,且其中所述元件经定位以具有当在所述镀敷腔室中的镀敷期间被所述工件固持器固持在镀敷位置时面向所述工件表面且定位在所述工件表面约5mm以内的一个表面,其中大致所有孔眼在所述元件的面朝所述工件的所述表面的所述表面上具有不大于约5mm的孔眼开口主要尺寸或直径;以及
(e)静态屏蔽物,其经定位而在镀敷期间驻留在非常靠近所述离子电阻离子可渗透元件的所述孔眼开口处、处于所述离子电阻离子可渗透元件与所述工件之间,其中所述屏蔽物经定位以遮蔽所述边缘区处的所述孔眼中的至少一些孔眼。
CN200910209697.2A 2008-11-07 2009-11-06 用于电镀的方法和设备 Active CN101736376B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/291,356 US8308931B2 (en) 2006-08-16 2008-11-07 Method and apparatus for electroplating
US12/291,356 2008-11-07

Publications (2)

Publication Number Publication Date
CN101736376A true CN101736376A (zh) 2010-06-16
CN101736376B CN101736376B (zh) 2014-07-02

Family

ID=42460390

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910209697.2A Active CN101736376B (zh) 2008-11-07 2009-11-06 用于电镀的方法和设备

Country Status (2)

Country Link
US (1) US8308931B2 (zh)
CN (1) CN101736376B (zh)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102330140A (zh) * 2010-07-02 2012-01-25 诺发系统有限公司 用于电镀期间的有效质量传递的电解液流体动力学的控制
CN102732924A (zh) * 2011-04-04 2012-10-17 诺发系统有限公司 用于定制的均匀性分布的电镀设备
CN102839406A (zh) * 2011-05-17 2012-12-26 诺发系统有限公司 用于在朝向电镀浴槽中的晶片进入期间减少空气截留的润湿波浪前锋控制
CN103866374A (zh) * 2012-12-12 2014-06-18 诺发系统公司 电镀过程中用于高效传质的电解液流体动力的增强装置
CN104131327A (zh) * 2013-05-01 2014-11-05 朗姆研究公司 各向异性高阻离子电流源(ahrics)
CN104233423A (zh) * 2013-06-18 2014-12-24 应用材料公司 电镀处理器的自动原位控制
US9309604B2 (en) 2008-11-07 2016-04-12 Novellus Systems, Inc. Method and apparatus for electroplating
US9385035B2 (en) 2010-05-24 2016-07-05 Novellus Systems, Inc. Current ramping and current pulsing entry of substrates for electroplating
CN105821457A (zh) * 2015-01-22 2016-08-03 朗姆研究公司 使用远程电流动态控制电镀均匀性的装置和方法
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
KR20160113007A (ko) * 2015-03-20 2016-09-28 램 리써치 코포레이션 전기도금 장치에서의 전류 밀도 제어
CN106149024A (zh) * 2015-05-14 2016-11-23 朗姆研究公司 利用离子阻性离子可穿透元件电镀金属的装置和方法
TWI560323B (en) * 2015-02-13 2016-12-01 Inotera Memories Inc Electrochemical plating device and anode assembly thereof
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
CN106245078A (zh) * 2015-06-09 2016-12-21 朗姆研究公司 用于调节在电镀中的方位角均匀性的装置和方法
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
CN107012489A (zh) * 2016-01-06 2017-08-04 应用材料公司 用于在电化学沉积期间遮蔽工件特征的系统和方法
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
CN107955958A (zh) * 2017-11-17 2018-04-24 德淮半导体有限公司 晶圆的金属电镀装置
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
CN112831821A (zh) * 2021-01-04 2021-05-25 长江存储科技有限责任公司 晶圆的电镀装置及电镀方法
US11225727B2 (en) 2008-11-07 2022-01-18 Lam Research Corporation Control of current density in an electroplating apparatus
CN114959842A (zh) * 2021-02-18 2022-08-30 日月光半导体制造股份有限公司 电镀装置及制造封装结构的方法
CN116234945A (zh) * 2022-02-07 2023-06-06 株式会社荏原制作所 镀覆装置

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US9045840B2 (en) 2011-11-29 2015-06-02 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US20120261254A1 (en) * 2011-04-15 2012-10-18 Reid Jonathan D Method and apparatus for filling interconnect structures
US20140124361A1 (en) * 2008-11-07 2014-05-08 Lam Research Corporation Method and apparatus for filling interconnect structures
US9512538B2 (en) 2008-12-10 2016-12-06 Novellus Systems, Inc. Plating cup with contoured cup bottom
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US20110226613A1 (en) 2010-03-19 2011-09-22 Robert Rash Electrolyte loop with pressure regulation for separated anode chamber of electroplating system
US9028657B2 (en) 2010-09-10 2015-05-12 Novellus Systems, Inc. Front referenced anode
US9404194B2 (en) 2010-12-01 2016-08-02 Novellus Systems, Inc. Electroplating apparatus and process for wafer level packaging
US9518332B2 (en) * 2011-03-17 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Electrochemical plating
CN102732925A (zh) * 2011-04-15 2012-10-17 诺发系统有限公司 用于填充互连结构的方法及设备
DE102011084027A1 (de) 2011-10-05 2013-04-11 Maquet Cardiopulmonary Ag Schnellkupplungsvorrichtung
SG10202004261TA (en) * 2012-05-14 2020-06-29 Novellus Systems Inc Cross flow manifold for electroplating apparatus
US9534308B2 (en) 2012-06-05 2017-01-03 Novellus Systems, Inc. Protecting anodes from passivation in alloy plating systems
US9359688B1 (en) 2012-12-05 2016-06-07 Novellus Systems, Inc. Apparatuses and methods for controlling PH in electroplating baths
AT514042B1 (de) * 2012-12-12 2015-12-15 Lam Res Ag Steigerung der Hydrodynamik eines Elektrolyten für den effizienten Massentransfer während einer elektrolytischen Abscheidung
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US10190232B2 (en) 2013-08-06 2019-01-29 Lam Research Corporation Apparatuses and methods for maintaining pH in nickel electroplating baths
US9951437B2 (en) * 2013-08-20 2018-04-24 Taiwan Semiconductor Manufacturing Company Limited Insulator plate for metal plating control
US9677190B2 (en) 2013-11-01 2017-06-13 Lam Research Corporation Membrane design for reducing defects in electroplating systems
US9732434B2 (en) 2014-04-18 2017-08-15 Lam Research Corporation Methods and apparatuses for electroplating nickel using sulfur-free nickel anodes
US9368340B2 (en) 2014-06-02 2016-06-14 Lam Research Corporation Metallization of the wafer edge for optimized electroplating performance on resistive substrates
US9481942B2 (en) * 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US9765443B2 (en) * 2015-09-02 2017-09-19 Applied Materials, Inc. Electroplating processor with current thief electrode
KR20230141940A (ko) * 2016-10-12 2023-10-10 램 리써치 코포레이션 반도체 프로세싱용 웨이퍼 포지셔닝 페데스탈의 패드 상승 메커니즘
US20190040542A1 (en) * 2017-04-25 2019-02-07 Guangdong University Of Technology Surface modification device based on electrophoresis-assisted micro-nano particle melting and self-assembly
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
JP2021501268A (ja) 2017-11-01 2021-01-14 ラム リサーチ コーポレーションLam Research Corporation 電気化学メッキ装置におけるメッキ電解液濃度の制御
US10494731B2 (en) * 2017-12-11 2019-12-03 Applied Materials, Inc. Electroplating dynamic edge control
WO2020106590A1 (en) 2018-11-19 2020-05-28 Lam Research Corporation Cross flow conduit for foaming prevention in high convection plating cells
US10975489B2 (en) 2018-11-30 2021-04-13 Lam Research Corporation One-piece anode for tuning electroplating at an edge of a substrate
KR20220030267A (ko) * 2019-06-28 2022-03-10 램 리써치 코포레이션 코발트 텅스텐 막들의 전착 (electrodeposition)
KR20220025886A (ko) * 2019-06-28 2022-03-03 램 리써치 코포레이션 전기 도금 용액들로부터의 부산물 제거
JP7256708B2 (ja) * 2019-07-09 2023-04-12 株式会社荏原製作所 めっき装置
KR102505474B1 (ko) 2019-08-16 2023-03-03 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
US11268208B2 (en) * 2020-05-08 2022-03-08 Applied Materials, Inc. Electroplating system
WO2021252464A1 (en) * 2020-06-08 2021-12-16 United States Of America As Represented By The Administrator Of Nasa Systems and methods for oxygen concentration with electrochemical stacks in series gas flow
CN116083994B (zh) * 2023-04-11 2023-06-27 威海海洋职业学院 一种船桨保护用电镀装置

Family Cites Families (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3477051A (en) * 1967-12-26 1969-11-04 Ibm Die casting of core windings
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3862891A (en) * 1973-09-24 1975-01-28 Gte Automatic Electric Lab Inc Uniform plating current apparatus and method
US3880725A (en) * 1974-04-10 1975-04-29 Rca Corp Predetermined thickness profiles through electroplating
US4001094A (en) * 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4033833A (en) * 1975-10-30 1977-07-05 Western Electric Company, Inc. Method of selectively electroplating an area of a surface
US4240886A (en) 1979-02-16 1980-12-23 Amax Inc. Electrowinning using fluidized bed apparatus
JPS5657836A (en) 1979-10-16 1981-05-20 Asahi Chem Ind Co Ltd Porous hydrophilic polyolefin resin membrane and its preparation
US4272335A (en) * 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
FR2479273A1 (fr) 1980-03-28 1981-10-02 Kodak Pathe Dispositif d'electrolyse a electrode poreuse et son application a la recuperation des metaux a partir des solutions aqueuses
US4389297A (en) * 1980-10-09 1983-06-21 Ppg Industries, Inc. Permionic membrane electrolytic cell
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4605482A (en) * 1981-04-28 1986-08-12 Asahi Glass Company, Ltd. Filter press type electrolytic cell
US4514269A (en) * 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4469564A (en) * 1982-08-11 1984-09-04 At&T Bell Laboratories Copper electroplating process
GB2133806B (en) 1983-01-20 1986-06-04 Electricity Council Regenerating solutions for etching copper
US4549005A (en) 1984-10-09 1985-10-22 Ashland Oil, Inc. Cured polymeric material prepared by reacting an azadioxabicyclooctane with a polyisocyanate in the presence of moisture
US4604178A (en) * 1985-03-01 1986-08-05 The Dow Chemical Company Anode
US4696729A (en) * 1986-02-28 1987-09-29 International Business Machines Electroplating cell
EP0283681B1 (de) * 1987-02-23 1992-05-06 Siemens Aktiengesellschaft Galvanisiereinrichtung zur Erzeugung von Höckern auf Chip-Bauelementen
US4931149A (en) * 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US5035784A (en) * 1987-07-27 1991-07-30 Wisconsin Alumni Research Foundation Degradation of organic chemicals with titanium ceramic membranes
US4828654A (en) * 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US5146136A (en) * 1988-12-19 1992-09-08 Hitachi, Ltd. Magnetron having identically shaped strap rings separated by a gap and connecting alternate anode vane groups
US4933061A (en) * 1988-12-29 1990-06-12 Trifari, Krussman & Fishel, Inc. Electroplating tank
US4988417A (en) * 1988-12-29 1991-01-29 Aluminum Company Of America Production of lithium by direct electrolysis of lithium carbonate
US5169684A (en) 1989-03-20 1992-12-08 Toyoko Kagaku Co., Ltd. Wafer supporting jig and a decompressed gas phase growth method using such a jig
US5039381A (en) * 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5368711A (en) * 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5078852A (en) * 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5162079A (en) 1991-01-28 1992-11-10 Eco-Tec Limited Process and apparatus for control of electroplating bath composition
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5217586A (en) * 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
JPH0625899A (ja) * 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
US5312532A (en) * 1993-01-15 1994-05-17 International Business Machines Corporation Multi-compartment eletroplating system
US5312352A (en) * 1993-01-19 1994-05-17 Datascope Investment Corp. Bubble-free connector for liquid carrying tubing
JP2943551B2 (ja) * 1993-02-10 1999-08-30 ヤマハ株式会社 メッキ方法及びその装置
US5316642A (en) * 1993-04-22 1994-05-31 Digital Equipment Corporation Oscillation device for plating system
US5421987A (en) * 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
US5476578A (en) 1994-01-10 1995-12-19 Electroplating Technologies, Ltd. Apparatus for electroplating
US5391285A (en) * 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
US5472592A (en) 1994-07-19 1995-12-05 American Plating Systems Electrolytic plating apparatus and method
US5567300A (en) 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
JP3088262B2 (ja) * 1995-02-10 2000-09-18 エイ・ティ・アンド・ティ・コーポレーション 低歪差動増幅回路
US5660699A (en) * 1995-02-20 1997-08-26 Kao Corporation Electroplating apparatus
US5516412A (en) * 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US6599412B1 (en) * 1997-09-30 2003-07-29 Semitool, Inc. In-situ cleaning processes for semiconductor electroplating electrodes
US6174425B1 (en) * 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
US6228231B1 (en) * 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US5908540A (en) * 1997-08-07 1999-06-01 International Business Machines Corporation Copper anode assembly for stabilizing organic additives in electroplating of copper
US6004440A (en) * 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
WO1999016936A1 (en) * 1997-09-30 1999-04-08 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6921468B2 (en) * 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6843894B2 (en) * 1997-12-18 2005-01-18 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
US6168693B1 (en) * 1998-01-22 2001-01-02 International Business Machines Corporation Apparatus for controlling the uniformity of an electroplated workpiece
KR100474746B1 (ko) * 1998-02-12 2005-03-08 에이씨엠 리서치, 인코포레이티드 도금 장치 및 방법
DE69929967T2 (de) * 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
US6106687A (en) * 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6071388A (en) * 1998-05-29 2000-06-06 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6099702A (en) * 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6716334B1 (en) * 1998-06-10 2004-04-06 Novellus Systems, Inc Electroplating process chamber and method with pre-wetting and rinsing capability
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6080291A (en) * 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6074544A (en) * 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6132805A (en) 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US7070686B2 (en) * 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6402923B1 (en) * 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6773571B1 (en) * 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US6919010B1 (en) * 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US6251255B1 (en) * 1998-12-22 2001-06-26 Precision Process Equipment, Inc. Apparatus and method for electroplating tin with insoluble anodes
GB9907848D0 (en) * 1999-04-07 1999-06-02 Shipley Co Llc Processes and apparatus for removal of copper from fluids
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
JP4219562B2 (ja) 1999-04-13 2009-02-04 セミトゥール・インコーポレイテッド ワークピースを電気化学的に処理するためのシステム
US6193860B1 (en) * 1999-04-23 2001-02-27 Vlsi Technolgy, Inc. Method and apparatus for improved copper plating uniformity on a semiconductor wafer using optimized electrical currents
US6632335B2 (en) * 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6737360B2 (en) * 1999-12-30 2004-05-18 Intel Corporation Controlled potential anodic etching process for the selective removal of conductive thin films
US6551483B1 (en) * 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US6521102B1 (en) * 2000-03-24 2003-02-18 Applied Materials, Inc. Perforated anode for uniform deposition of a metal layer
US8475636B2 (en) * 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US6527920B1 (en) * 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US6821407B1 (en) 2000-05-10 2004-11-23 Novellus Systems, Inc. Anode and anode chamber for copper electroplating
US7622024B1 (en) * 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6398926B1 (en) * 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
JP4123330B2 (ja) * 2001-03-13 2008-07-23 三菱マテリアル株式会社 電気メッキ用含燐銅陽極
US7682498B1 (en) * 2001-06-28 2010-03-23 Novellus Systems, Inc. Rotationally asymmetric variable electrode correction
JP2003268591A (ja) 2002-03-12 2003-09-25 Ebara Corp 電解処理方法及び電解処理装置
US7854828B2 (en) 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) * 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US7128823B2 (en) * 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US20040149584A1 (en) * 2002-12-27 2004-08-05 Mizuki Nagai Plating method
JP2005146398A (ja) * 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
TW200641189A (en) 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7641776B2 (en) * 2005-03-10 2010-01-05 Lsi Corporation System and method for increasing yield from semiconductor wafer electroplating
US20070238265A1 (en) 2005-04-05 2007-10-11 Keiichi Kurashina Plating apparatus and plating method
US7837851B2 (en) * 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
TWI414639B (zh) * 2005-05-25 2013-11-11 Applied Materials Inc 具有一陽極陣列的電鍍裝置
US20070029193A1 (en) * 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
USD544452S1 (en) * 2005-09-08 2007-06-12 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD552565S1 (en) 2005-09-08 2007-10-09 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD548705S1 (en) * 2005-09-29 2007-08-14 Tokyo Electron Limited Attracting disc for an electrostatic chuck for semiconductor production
USD587222S1 (en) * 2006-08-01 2009-02-24 Tokyo Electron Limited Attracting plate of an electrostatic chuck for semiconductor manufacturing
US7837841B2 (en) 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
USD614593S1 (en) * 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
USD609652S1 (en) * 2008-07-22 2010-02-09 Tokyo Electron Limited Wafer attracting plate
USD609655S1 (en) * 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
USD648289S1 (en) 2010-10-21 2011-11-08 Novellus Systems, Inc. Electroplating flow shaping plate having offset spiral hole pattern

Cited By (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10023970B2 (en) 2006-08-16 2018-07-17 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US10689774B2 (en) 2008-11-07 2020-06-23 Lam Research Corporation Control of current density in an electroplating apparatus
US10011917B2 (en) 2008-11-07 2018-07-03 Lam Research Corporation Control of current density in an electroplating apparatus
US10214828B2 (en) 2008-11-07 2019-02-26 Lam Research Corporation Control of current density in an electroplating apparatus
US10920335B2 (en) 2008-11-07 2021-02-16 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US10017869B2 (en) 2008-11-07 2018-07-10 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US9260793B2 (en) 2008-11-07 2016-02-16 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US9309604B2 (en) 2008-11-07 2016-04-12 Novellus Systems, Inc. Method and apparatus for electroplating
US11225727B2 (en) 2008-11-07 2022-01-18 Lam Research Corporation Control of current density in an electroplating apparatus
US11549192B2 (en) 2008-11-07 2023-01-10 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US9385035B2 (en) 2010-05-24 2016-07-05 Novellus Systems, Inc. Current ramping and current pulsing entry of substrates for electroplating
US9464361B2 (en) 2010-07-02 2016-10-11 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
CN102330140B (zh) * 2010-07-02 2016-12-07 诺发系统有限公司 用于电镀期间的有效质量传递的电解液流体动力学的控制
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9394620B2 (en) 2010-07-02 2016-07-19 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
TWI504786B (zh) * 2010-07-02 2015-10-21 Novellus Systems Inc 用於在電鍍期間之有效質量轉移之電解液流體動力控制
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
CN102330140A (zh) * 2010-07-02 2012-01-25 诺发系统有限公司 用于电镀期间的有效质量传递的电解液流体动力学的控制
CN106637363A (zh) * 2010-07-02 2017-05-10 诺发系统有限公司 用于电镀期间的有效质量传递的电解液流体动力学的控制
CN106637363B (zh) * 2010-07-02 2019-01-15 诺发系统有限公司 用于电镀期间的有效质量传递的电解液流体动力学的控制
US10190230B2 (en) 2010-07-02 2019-01-29 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
TWI572749B (zh) * 2010-07-02 2017-03-01 諾菲勒斯系統公司 用於在電鍍期間之有效質量轉移之電解液流體動力控制
TWI550139B (zh) * 2011-04-04 2016-09-21 諾菲勒斯系統公司 用於裁整均勻輪廓之電鍍裝置
CN108265319B (zh) * 2011-04-04 2019-12-06 诺发系统有限公司 用于定制的均匀性分布的电镀设备
CN108265319A (zh) * 2011-04-04 2018-07-10 诺发系统有限公司 用于定制的均匀性分布的电镀设备
CN102732924A (zh) * 2011-04-04 2012-10-17 诺发系统有限公司 用于定制的均匀性分布的电镀设备
CN102839406B (zh) * 2011-05-17 2017-03-01 诺发系统有限公司 用于在朝向电镀浴槽中的晶片进入期间减少空气截留的润湿波浪前锋控制
CN102839406A (zh) * 2011-05-17 2012-12-26 诺发系统有限公司 用于在朝向电镀浴槽中的晶片进入期间减少空气截留的润湿波浪前锋控制
US9587322B2 (en) 2011-05-17 2017-03-07 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
US10968531B2 (en) 2011-05-17 2021-04-06 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
TWI631239B (zh) * 2012-11-27 2018-08-01 蘭姆研究公司 在電鍍期間用以進行動態電流分配控制之方法及設備
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US10662545B2 (en) 2012-12-12 2020-05-26 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
CN103866374B (zh) * 2012-12-12 2017-06-06 诺发系统公司 电镀过程中用于高效传质的电解液流体动力的增强装置
CN103866374A (zh) * 2012-12-12 2014-06-18 诺发系统公司 电镀过程中用于高效传质的电解液流体动力的增强装置
US9834852B2 (en) 2012-12-12 2017-12-05 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
TWI662160B (zh) * 2013-05-01 2019-06-11 蘭姆研究公司 非等向性高電阻離子電流源
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
CN104131327A (zh) * 2013-05-01 2014-11-05 朗姆研究公司 各向异性高阻离子电流源(ahrics)
US10301739B2 (en) 2013-05-01 2019-05-28 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9899230B2 (en) 2013-05-29 2018-02-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
CN104233423A (zh) * 2013-06-18 2014-12-24 应用材料公司 电镀处理器的自动原位控制
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
CN105821457B (zh) * 2015-01-22 2018-05-22 朗姆研究公司 使用远程电流动态控制电镀均匀性的装置和方法
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
CN105821457A (zh) * 2015-01-22 2016-08-03 朗姆研究公司 使用远程电流动态控制电镀均匀性的装置和方法
TWI560323B (en) * 2015-02-13 2016-12-01 Inotera Memories Inc Electrochemical plating device and anode assembly thereof
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
TWI692552B (zh) * 2015-03-20 2020-05-01 美商蘭姆研究公司 電鍍設備中之電流密度的控制
CN112160003A (zh) * 2015-03-20 2021-01-01 朗姆研究公司 电镀装置中的电流密度的控制
CN112160003B (zh) * 2015-03-20 2024-04-23 朗姆研究公司 电镀装置中的电流密度的控制
KR102653496B1 (ko) * 2015-03-20 2024-04-02 램 리써치 코포레이션 전기도금 장치에서의 전류 밀도 제어
KR20160113007A (ko) * 2015-03-20 2016-09-28 램 리써치 코포레이션 전기도금 장치에서의 전류 밀도 제어
US10214829B2 (en) 2015-03-20 2019-02-26 Lam Research Corporation Control of current density in an electroplating apparatus
CN105986305A (zh) * 2015-03-20 2016-10-05 朗姆研究公司 电镀装置中的电流密度的控制
CN105986305B (zh) * 2015-03-20 2020-09-15 朗姆研究公司 电镀装置中的电流密度的控制
TWI718038B (zh) * 2015-03-20 2021-02-01 美商蘭姆研究公司 電鍍設備中之電流密度的控制
CN106149024B (zh) * 2015-05-14 2019-07-23 朗姆研究公司 利用离子阻性离子可穿透元件电镀金属的装置和方法
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
CN106149024A (zh) * 2015-05-14 2016-11-23 朗姆研究公司 利用离子阻性离子可穿透元件电镀金属的装置和方法
US10923340B2 (en) 2015-05-14 2021-02-16 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
CN106245078B (zh) * 2015-06-09 2019-07-23 朗姆研究公司 用于调节在电镀中的方位角均匀性的装置和方法
CN106245078A (zh) * 2015-06-09 2016-12-21 朗姆研究公司 用于调节在电镀中的方位角均匀性的装置和方法
TWI700395B (zh) * 2015-06-09 2020-08-01 美商蘭姆研究公司 電鍍中之方位角均勻性的調變設備及方法
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
CN107012489A (zh) * 2016-01-06 2017-08-04 应用材料公司 用于在电化学沉积期间遮蔽工件特征的系统和方法
US11047059B2 (en) 2016-05-24 2021-06-29 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
CN107955958A (zh) * 2017-11-17 2018-04-24 德淮半导体有限公司 晶圆的金属电镀装置
CN112831821A (zh) * 2021-01-04 2021-05-25 长江存储科技有限责任公司 晶圆的电镀装置及电镀方法
CN114959842A (zh) * 2021-02-18 2022-08-30 日月光半导体制造股份有限公司 电镀装置及制造封装结构的方法
CN116234945A (zh) * 2022-02-07 2023-06-06 株式会社荏原制作所 镀覆装置
CN116234945B (zh) * 2022-02-07 2023-12-12 株式会社荏原制作所 镀覆装置

Also Published As

Publication number Publication date
CN101736376B (zh) 2014-07-02
US20100032310A1 (en) 2010-02-11
US8308931B2 (en) 2012-11-13

Similar Documents

Publication Publication Date Title
CN101736376B (zh) 用于电镀的方法和设备
CN102459717B (zh) 用于电镀的方法及设备
CN106149024B (zh) 利用离子阻性离子可穿透元件电镀金属的装置和方法
US7854828B2 (en) Method and apparatus for electroplating including remotely positioned second cathode
US7846306B2 (en) Apparatus and method for improving uniformity in electroplating
CN102732924B (zh) 用于定制的均匀性分布的电镀设备
US6802946B2 (en) Apparatus for controlling thickness uniformity of electroplated and electroetched layers
JP6427316B2 (ja) ウェハ基板上に金属を堆積するための電気めっき装置およびウェハ基板上に電気めっきする方法
US7578923B2 (en) Electropolishing system and process
CN103650113B (zh) 电化学处理器
WO2002057514A2 (en) Method and apparatus for electrodeposition or etching of uniform film with minimal edge exclusion on substrate
TWI595123B (zh) 動態電流分布控制設備及晶圓電鍍用方法
WO2004078411A2 (en) Method and apparatus for local polishing control
WO2006055145A2 (en) Current collimation for thin seed and direct plating
US20050284751A1 (en) Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
CN102732925A (zh) 用于填充互连结构的方法及设备
TW200409225A (en) Control of removal profile in electrochemically assisted cmp
JP2004292907A (ja) 電解処理装置および半導体装置の製造方法
US20030168344A1 (en) Selective metal deposition for electrochemical plating
US20060137989A1 (en) Electrochemical plating apparatus and method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant