CN105447221B - 设计半导体装置的布局的方法 - Google Patents

设计半导体装置的布局的方法 Download PDF

Info

Publication number
CN105447221B
CN105447221B CN201510594079.XA CN201510594079A CN105447221B CN 105447221 B CN105447221 B CN 105447221B CN 201510594079 A CN201510594079 A CN 201510594079A CN 105447221 B CN105447221 B CN 105447221B
Authority
CN
China
Prior art keywords
width
standard cell
cell region
gate lines
row
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510594079.XA
Other languages
English (en)
Other versions
CN105447221A (zh
Inventor
郑光钰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020150033280A external-priority patent/KR102255450B1/ko
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN105447221A publication Critical patent/CN105447221A/zh
Application granted granted Critical
Publication of CN105447221B publication Critical patent/CN105447221B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

公开了一种设计半导体装置的布局的方法。所述方法包括如下操作:由布局设计系统通过自对准双图案化工艺接收与目标芯片的尺寸和用于形成栅极线的单位布置宽度有关的信息。所述方法还包括:在所述目标芯片处分配输入和输出区、硬宏区以及标准单元区;通过应用栅极生成规则来调节所述标准单元区的宽度,所述栅极生成规则用于将位于所述标准单元区中的至少一个单元行的宽度设定为所述单位布置宽度的奇数倍。所述单位布置宽度对应于自对准双图案化工艺中的一对栅极线的中心之间的宽度。

Description

设计半导体装置的布局的方法
要求于2014年9月18日在美国专利商标局提交的第62/052,076号美国专利临时申请和于2015年3月10日在韩国知识产权局提交的第10-2015-0033280号韩国专利申请的优先权,上述专利申请的全部内容通过引用全部包含于此。
技术领域
在此描述的发明构思的示例实施例涉及一种半导体装置,更具体地讲,涉及一种设计半导体装置的布局的方法。
背景技术
诸如智能手机、平板个人电脑(PC)、数码相机、MP3播放器和个人数字助理(PDA)的移动装置的使用正在显著增加。随着这种移动装置中多媒体的驱动和各种数据的吞吐量增加,高速处理器大规模应用于移动装置。可以在移动装置上驱动各种应用程序。为了驱动各种应用程序,在移动装置中使用诸如工作存储器(例如,DRAM)、非易失性存储器和应用处理器(AP)的半导体装置。
光刻设备可以相较于设计规则的缩小版而飞快发展。因此,正在对实现比使用光刻设备实现的最小节距和/或期望节距更小的节距的方法的示例实施例进行研究。在至少一个示例实施例中,上述方法包括自对准双图案化(在下文中称为“SADP”)工艺。可以使用SADP工艺来形成比使用光刻设备实现的最小节距和/或期望节距更小的节距的结构。因此,可以通过使用SADP工艺来容易地形成具有超出光刻设备限制的精细图案或高集成度的半导体装置。
当执行用于SADP的布局设计时,观察到基于SADP的特性的约束条件。发明构思的示例实施例可以提供用于容易地实现使用SADP工艺制造的半导体装置的布局的设计和验证方法。
发明内容
在发明构思的至少一个示例实施例中,形成能够满足布局设计的约束条件的设计的方法是基于半导体装置的双图案化工艺和布局设计系统。
在发明构思的至少一个示例实施例中,一种设计半导体装置的布局的方法包括如下操作:通过布局设计系统接收与目标芯片的尺寸以及用于通过SADP工艺形成栅极线的单位布置宽度有关的信息;在所述目标芯片处分配输入和输出区、硬宏区以及标准单元区;通过应用栅极生成规则来调节所述标准单元区的宽度,所述栅极生成规则用于将位于所述标准单元区中的至少一个单元行的宽度设定为所述单位布置宽度的奇数倍。所述单位布置宽度对应于SADP工艺中的一对栅极线的中心之间的宽度。
根据发明构思的另一示例实施例,一种设计应用SADP工艺的半导体装置的布局的方法包括如下操作:接收芯片的尺寸和设计规则;在芯片处分配标准单元区和至少一个硬宏区;调节标准单元区的每个单元行的宽度以将所述宽度设定为形成有一对栅极线的单位布置宽度的奇数倍。
根据发明构思的又一示例实施例,一种用来设计半导体装置的布局的基于计算机的系统包括:输入和输出装置,用于接收半导体装置的几何信息或设计规则;工作存储器,被构造为加载栅极生成模块,所述栅极生成模块用于根据SADP工艺和被构造为产生半导体装置的布局的布局布线工具来生成将要被形成的栅极线;中央处理单元,被构造为基于从输入和输出装置提供的信息来运行布局布线工具和栅极生成模块,其中,栅极生成模块将分配给半导体装置的标准单元区的每个单元行的宽度设定为单位布置宽度的奇数倍。
在发明构思的再一示例实施性中,一种设计半导体装置的布局布线的方法包括如下操作:接收与目标芯片的栅极线的宽度有关的信息和与成对的栅极线之间的间隔有关的几何信息;在目标芯片处分配形成输入和输出焊盘的输入和输出区、至少一个硬宏区以及标准单元区;检查栅极生成规则,用于检测标准单元区的每个单元行的行方向宽度是否为所述成对的栅极线之间的间隔的奇数倍;基于检测结果将违反了栅极生成规则的标准单元区的每个单元行的行方向宽度调节成所述成对栅极线之间的间隔的奇数倍。
根据发明构思的至少一个示例实施例的设计布局的方法和布局设计系统可以解决因双图案化工艺导致的图案生成规则的约束条件。
在至少一个示例实施例中,提供了一种设计半导体装置的布局布线的方法。所述方法包括如下操作:接收与目标芯片的栅极线的宽度有关的信息;分配目标芯片的形成有输入和输出焊盘的输入和输出区以及标准单元区;检查栅极生成规则,用于检测标准单元区的每个单元行的行方向宽度是否为成对的栅极线之间的间隔的奇数倍;调节违反了栅极生成规则的标准单元区的每个单元行的行方向宽度。
在至少一个示例实施例中,调节操作包括基于检测结果将违反了栅极规则的每个单元行的行方向宽度调节成所述成对的栅极线之间的间隔的奇数倍的操作。可以通过改变与标准单元区相邻的硬宏区的光晕的尺寸来调节违反了栅极生成规则的标准单元区的每个单元行的行方向宽度。在至少一个示例实施例中,可以通过移动在标准单元区的两侧处的端单元的位置来调节违反了栅极生成规则的标准单元区的每个单元行的行方向宽度。所述方法还包括调节违反了栅极生成规则的硬宏区的行方向宽度和通过SADP工艺形成所述成对的栅极线。
附图说明
当结合附图描述具体实施方式时,这里的非限制性实施例的各种特征和优点可以变得更加明显。提供这些附图仅为了说明目的,且不应被解释为限制权利要求的范围。除非明确地指示,否则附图不被认为是按比例绘制的。出于清楚的目的,可能已经夸大了附图的各种尺寸。
图1是示出根据发明构思的至少一个示例实施例的布局设计系统的框图。
图2A至图2E是示意性地示出SADP工艺的图。
图3A和图3B是示意性地示出根据至少一个示例实施例的当应用SADP工艺时形成的栅极线图案的数量的图。
图4A和图4B是示意性地示出根据发明构思的至少一个示例实施例的栅极生成规则在特定芯片区上的布置位置的宽度的图。
图5是示出发明构思的至少一个示例实施例的布局设计系统的布局设计方法的流程图。
图6和图7是示出图5中描述的操作的芯片平面图。
图8是示意性地示出图7的标准单元区的图。
图9是示意性地示出图7的标准单元区的图。
图10是示意性地示出图7的标准单元区的图。
图11是示出根据发明构思的另一个示例实施例的调节标准单元区中的栅极的数量的方法的图。
具体实施方式
应该理解的是,当元件或层被称为“在”另一个元件或层“上”、“连接到”、“结合到”或“覆盖”另一个元件或层时,该元件或层可以直接在另一个元件或层上、直接连接到、直接结合到或直接覆盖所述另一个元件或层,或者可以存在中间元件或中间层。相反,当元件被称为“直接在”另一个元件或层“上”、“直接连接到”或“直接结合到”另一个元件或层时,不存在中间元件或中间层。同样的附图标记在说明书中始终指示同样的元件。如在这里使用的,术语“和/或”包括相关列出项中的一个或更多个的任意和全部组合。应该理解的是,虽然在此可以使用术语“第一”、“第二”、“第三”等来描述各种元件、组件、区域、层和/或部分,但是这些元件、组件、区域、层和/或部分不应该受这些术语限制。这些术语仅用于将一个元件、组件、区域、层或部分与另一个元件、组件、区域、层或部分区分开。因此,在不脱离示例实施例的教导的情况下,下面讨论的第一元件、组件、区域、层或部分可以被称为第二元件、组件、区域、层或部分。
为了易于描述,在这里可以使用空间相对术语(例如“在……下面”、“在……下方”、“下面的”、“在……上方”、“上面的”等)来描述如附图中示出的一个元件或特征与另外的元件或特征的关系。应该理解的是,除了附图中绘出的方位之外,空间相对术语还旨在包含装置在使用中或操作中的不同方位。例如,如果将附图中的装置翻转,则被描述为“在”其它元件或特征“下方”或“下面”的元件将随后被定位为“在”所述其它元件或特征“上方”。因此,术语“在……下方”可以包含在……上方和在……下方两种方位。装置可以被另外定位(旋转90度或者在其它方位)并且相应地解释这里使用的空间相对描述语。
这里使用的术语仅出于描述各实施例的目的,并且不意图成为示例实施例的限制。如在这里所使用的,除非上下文另外清楚指出,否则单数形式“一个”、“一种(者)”、和“所述(该)”也意图包括复数形式。还将理解的是,术语“包括”、“包括…的”、“包含”、“包含…的”用在本说明书中时,说明存在所陈述的特征、整体、步骤、操作、元件、和/或组件,但不排除存在或添加一个或更多个其它特征、整体、步骤、操作、元件、组件和/或它们的组。
在此参照作为示例实施例的理想实施例(和中间结构)的示意图的剖视图来描述示例实施例。如此,预计将出现例如由制造技术和/或公差引起的图示的形状的变化。因此,示例实施例不应被解释为局限于这里示出的区域的形状,而是要包括由例如制造引起的形状的偏差。在图中示出的区域本质上是示意性的,并且它们的形状不是意图示出装置的区域的实际形状且不意图限制示例实施例的范围。
除非另外限定,否则这里使用的所有术语(包括技术术语和科学术语)具有与示例实施例所属领域的普通技术人员所通常理解的含义相同的含义。还将理解的是,除非这里明确定义,否则术语(包括在通用字典中定义的术语)应该被解释为具有与相关领域的上下文中它们的意思相一致的意思,而不是理想地或者过于正式地解释它们的意思。
虽然可能没有示出某个或某些剖视图的对应的平面图和/或透视图,但是在此示出的器件结构的剖视图为在平面图中示出的沿两个不同方向和/或在透视图示出的在三个不同方向上延伸的多个器件结构提供支持。这两个不同方向可以或可以不彼此正交。这三个不同方向可以包括可与所述两个不同方向正交的第三方向。多个器件结构可以集成在同一个电子装置中。例如,当器件结构(例如,存储单元结构或晶体管结构)示于剖视图中时,电子装置可以包括多个器件结构(例如,存储单元结构或晶体管结构),如电子装置的平面图所示。多个器件结构可以按阵列和/或二维图案布置。
图1是示出根据发明构思的至少一个示例实施例的布局设计系统100的框图。参照图1,布局设计系统100可以包括中央处理单元(CPU)110、工作存储器130、输入和输出装置150、存储装置170以及系统总线190。在至少一个示例实施例中,布局设计系统100可以设置为用于设计半导体装置的布局的专用装置。布局设计系统100可以是用于操作各种模拟工具或设计工具的计算机。
CPU 110可以运行将要在布局设计系统100中执行的软件(例如,应用程序、操作系统(OS)和装置驱动器)。CPU 110可以执行加载到工作存储器130上的操作系统(OS)(未示出)。CPU 110可以运行基于OS的各种应用程序或设计工具和验证工具。例如,CPU 110可以运行加载到工作存储器130上的布局设计工具、布局验证工具或设计规则检查(DRC)工具。CPU 110可以驱动作为发明构思的至少一个示例实施例中的布局设计工具而设置的栅极生成模块132。栅极生成模块132可以被构造为以SADP工艺形成偶数个栅极,而与栅极在整个芯片中的位置或环境无关。该栅极布置或生成规则被称为偶数栅极规则。此外,CPU 110可以驱动布局布线(P&R)工具134,用于在芯片上的最佳位置处布局和布线各种硬宏或单元。
OS或应用程序可以加载到工作存储器130上。在启动布局设计系统100期间,存储在存储装置170中的OS映像(未示出)可以基于启动顺序而加载到工作存储器。可以由OS来支持布局设计系统100的总体输入和输出操作。同样地,由用户选择的或者用于提供基础服务的应用程序可以加载到工作存储器130。栅极生成模块132和P&R工具134可以加载到工作存储器130。
栅极生成模块132或P&R工具134可以作为布局设计工具从存储装置170加载到工作存储器130。虽然没有示出,但是工作存储器130还可以包括用于设计和验证示意性电路的工具或用于将示意性电路自动变成布局图的布局设计工具。工作存储器130可以为诸如静态随机存取存储器(SRAM)或动态随机存取存储器(DRAM)的易失性存储器,或者可以为诸如相变随机存取存储器(PRAM)、磁性随机存取存储器(MRAM)、电阻随机存取存储器(ReRAM)、铁电随机存取存储器(FRAM)和NOR闪存的非易失性存储器。
栅极生成模块132可以提供无论何条件下都以SADP工艺形成偶数个栅极的栅极布置规则。例如,栅极生成模块132可以应用偶数栅极规则,从而以SADP工艺形成偶数个栅极来形成标准单元,而与栅极在芯片中的位置或环境无关。通过SADP工艺产生的栅极可以形成为偶数个,以防止和/或减少因额外校正工艺导致的成本提高。因此,栅极生成模块132可以调整栅极的布置或阵列使得在标准单元的区域中形成偶数个栅极,而与任何位置或条件无关。P&R工具134可以使用为布局设计提供的网表(netlist),或者可以在由用户决定的位置处布置硬宏或标准单元的区域。
输入和输出装置150可以通过用户接口装置来控制用户输入和用户输出。例如,输入和输出装置150可以包括诸如键盘、鼠标和触摸板的输入装置以及诸如当完成示意性验证时接收布局的监视器的输出装置。输入和输出装置150可以显示布局设计系统100的布局设计过程或者模拟结果和验证结果。
存储装置170可以设置为布局设计系统100的存储介质。存储装置170可以存储应用程序、OS映像和各种种类的数据。存储装置170可以设置为存储卡(例如,多媒体卡(MMC)、嵌入式多媒体卡(eMMC)、安全卡(SD)、微安全卡(MicroSD))或硬盘驱动(HDD)。存储装置170可以包括具有大存储容量的NAND闪存。另外,存储装置170可以包括诸如PRAM、MRAM、ReRAM、FRAM或NOR闪存的下一代非易失性存储器。
系统总线190可以被设置为用于在布局设计系统100中提供网络的内部连线。CPU110、工作存储器130、输入和输出装置150以及存储装置170可以通过系统总线190电连接以交换数据。然而,发明构思的范围和精神可以不限于此。例如,为了有效的管理,还可以提供仲裁方法。
根据至少一个示例实施例,布局设计系统100可以基于与为布局设计提供的各种门级(gate level)有关的信息来设计芯片的布局。布局设计系统100可以应用允许以SADP工艺形成偶数个栅极而与标准单元区的环境条件或位置无关的偶数栅极规则,从而能够减少在设计将要基于偶数栅极规则以SADP工艺制造的半导体装置的布局时发生的错误和额外成本。
图2A至图2E是示意性地示出SADP工艺的图。参照图2A至图2E,一旦基于SADP工艺形成图案,就可以形成偶数个图案。
参照图2A,可以在基底210上形成用于栅电极的多晶硅层220,并且可以在多晶硅层220上形成将要用作心轴(mandrel)的第一图案232和第二图案234。可以通过光刻工艺形成第一图案232和第二图案234。
参照图2B,可以在第一图案232和第二图案234上形成分隔层240。分隔层240可以由针对第一图案232和第二图案234具有蚀刻选择性的材料形成。可以使用诸如中温氧化物(MTO)、高温氧化物(HTO)或原子层沉积(ALD)氧化物形成分隔层240。
参照图2C,可以去除分隔层240的一部分,从而可以在第一图案232的侧壁和第二图案234的侧壁上形成分隔件242、244、246和248。例如,用于去除分隔层240的一部分的工艺可以包括回蚀工艺。可以通过对分隔层240执行回蚀工艺而在第一图案232的侧壁和第二图案234的侧壁上形成分隔件242、244、246和248。
参照图2D,可以示出去除了第一图案232、第二图案234以及多晶硅层220的作为蚀刻目标层的部分的形状。分隔层240可以是针对第一图案232和第二图案234具有蚀刻选择性的材料,因此,可以使用蚀刻第一图案232和第二图案234而几乎不蚀刻分隔件242、244、246和248的蚀刻剂来去除第一图案232和第二图案234。另外,还可以蚀刻多晶硅层220,以在分隔件242、244、246和248的下面形成多晶硅图案222、224、226和228。
参照图2E,当去除分隔件242、244、246和248时,可以保留将要用作栅极的多晶硅图案222、224、226和228。如上所述,可以借助第一图案232形成两个栅极222和224,并且可以借助第二图案234形成两个栅极226和228。可以将借助第一图案232形成的栅极222和224的中心之间的距离W称作单位布置宽度。简言之,可以借助一个心轴图案来形成两个栅极。
根据上面描述的SADP工艺的至少一个示例实施例,可以形成偶数个栅极。按照发明构思的至少一个示例实施例的栅极生成方法,当提供针对特定芯片的条件时,可以应用布置规则使得可以在标准单元区中形成偶数条栅极线。
图3A和3B示意性地示出根据至少一个示例实施例的在应用SADP工艺时形成的栅极线图案的数量的图。图3A示出由一个心轴图案确定的布置位点PS的结构。图3B示出由三个心轴图案确定的PS PS1至PS5的栅极线图案G1至G6。
参照图3A,可以在一个布置位点PS形成两个栅极GA和GB。可以如图所示地形成单位布置宽度W以及两个栅极GA和GB中的每个栅极的栅极宽度d。当限定了一个单位布置宽度W时,可以在布局设计操作中生成两条栅极线。一个单位布置宽度W可以对应于栅极GA的中心与栅极GB的中心之间的距离。即,可以在一个单位布置宽度W中分配两个栅极。例如,可以在布置宽度2W中生成三条栅极线以保持单位布置宽度W的距离。
参照图3B,可以在多个布置位点PS1至PS5形成六个栅极G1至G6。可以如图3A所示地形成六个栅极G1至G6中的每个栅极的栅极宽度d和单位布置宽度W。即,可以在标准单元区中分配的布置宽度5W中生成六个栅极G1至G6。最终,在标准单元区中,可以在全部布置位点的宽度nW(即,n为自然数)内设置形成为具有单位布置宽度W的间隔的(n+1)个栅极。然而,当标准单元区中没有适当地限制布置位点的宽度nW时,可能生成奇数个栅极。即,会需要关于标准单元区的布置位点的宽度nW的约束条件来生成偶数个栅极。可以在确定标准单元区的布置位点的宽度nW时调节标准单元区的布置位点的宽度nW以限定该布置位点的尺寸,从而形成偶数个栅极。
图4A和4B是示意性地示出根据发明构思的至少一个示例实施例的栅极生成规则确定的特定芯片区上的布置位点PS的宽度的图。
参照图4A,可以限定位于硬宏区和标准单元区中的每个区上的布置位点宽度。在此,“w”表示单位布置宽度。
首要地,可以将共用相同的栅极的单元行CR0、CR1和CR2中的每个行的布置位点宽度W1限定为(2n2-1)w。这里,n2是自然数。即,可以将形成偶数个栅极的布置位点宽度W1设定为单位布置宽度w的奇数倍,以在标准单元区中具有相同的单位布置宽度w。
可以将形成在单元行CR3至CR5的左侧上的每个标准单元区的布置位点宽度W2限定为(2n3-1)w。在此,n3是自然数。例如,可以将用于在左侧与输入和输出区相邻而右侧与硬宏区相邻的标准单元区处设置偶数个栅极的布置位点宽度W2设定为单位布置宽度w的奇数倍。
可以将形成在单元行CR3至CR5的中心区中的每个标准单元区的布置位点宽度W3限定为(2n5-1)w。在此,n5是自然数。可以将用于在位于硬宏之间的标准单元区处设置偶数个栅极的布置位点宽度W3设定为单位布置宽度w的奇数倍。
可以将形成在单元行CR3至CR5的右侧的每个标准单元区的布置位点宽度W4限定为(2n7-1)w。在此,n7是自然数。可以将用于在左侧与硬宏区相邻而右侧与输入和输出区相邻的标准单元区处提供偶数个栅极的布置位点宽度W4设定为单位布置宽度w的奇数倍。
可以将与单元行的整个区域被分配为标准单元区的单元行CR6至CR9对应的每个标准单元区的布置位点宽度W5限定为(2n8-1)w。在此,n8是自然数。
关于布置位点宽度W1、W2、W3、W4和W5的栅极生成规则可以在标准单元区处设置偶数个栅极。即,可以将被分配为芯片中的任意区域的标准单元区的布置位点宽度Wi(即,“i”是自然数)设定为单位布置宽度w的奇数倍。
此外,可以基于硬宏的位置而将硬宏的宽度设定为单位布置宽度w的奇数倍或偶数倍。例如,可以将位于单元行CR0、CR1和CR2的左侧上的硬宏的宽度L1限定为(2n1)w。在此,n1是自然数。即,与芯片的外部或输入和输出区相邻的硬宏的宽度L1可以是单位布置宽度w的偶数倍。可以将位于单元行CR0、CR1和CR2的右侧上的硬宏的宽度L2限定为(2n9)w。
然而,可以将被标准单元区围绕的硬宏的宽度L3和L4分别限定为(2n4-1)w和(2n6-1)w。即,可以将被标准单元区围绕的硬宏的宽度设定为单位布置宽度w的奇数倍。无论何时应用该栅极生成规则,位于硬宏周围的标准单元区的栅极的数量可以为偶数个。当硬宏的宽度和标准单元区的宽度满足所描述的条件时,可以一起应用栅极生成规则而不会发生冲突。
图4B是根据图4A中示出的栅极生成规则来分配栅极的布局图。参照图4B,当应用根据发明构思的至少一个示例实施例的栅极生成规则时,通过芯片区300b中的硬宏区划分的栅极的数量可以为偶数个。另外,包括在标准单元区内的栅极的数量可以为偶数个。
图5是示出根据发明构思的示例实施例的布局设计系统的布局设计方法的流程图。参照图5,可以提供诸如门级网表的示意性电路上的芯片规格信息或验证信息。
在操作S110中,可以确定几何信息或芯片尺寸。可以基于各种标准单元的数量来确定芯片尺寸,其中,各种标准单元将要形成在芯片中以提供在示意性设计水平、存储器尺寸和核心块尺寸下确定的各种功能。
在操作S120中,可以确定输入和输出区,在输入和输出区中将要形成输入和输出电路以及芯片的焊盘。此外,可以将硬宏或硬块的位置确定在除了输入和输出区之外的核心区中。例如,可以将其中形成模拟电路块、静态随机存取存储器(SRAM)和CPU的硬宏形成为独立于标准单元区。
在操作S130中,可以在硬宏中设定光晕(halo)。光晕可以对应于硬宏的边缘区,用于区别形成在硬宏和标准单元区中的集成电路。可以通过调节光晕区的尺寸来调节标准单元区的宽度。
在操作S140中,布局设计系统可以确定标准单元区的宽度是否违反栅极生成规则。当标准单元区或硬宏区的宽度满足栅极生成规则时,方法可以结束。另一方面,当标准单元区或硬宏区的宽度违反栅极生成规则时,方法可以前进到操作S150。
在操作S150中,可以调节标准单元区的宽度。当标准单元区的宽度对应于单位布置宽度w的偶数倍时,可以调节标准单元区的单元行的包括起始点或结束点的单元的位置。在此,可以通过调节标准单元的起始点或结束点的位置来调节标准单元区的宽度,但是发明构思可以不限于此。可以在标准单元区中实际显示各种单元时应用该栅极生成规则。
图6和图7是示出图5中描述的操作的芯片平面图。图6示出芯片400的硬宏以及输入和输出(在下文中称为“I/O”)区被实现的形状。图7示出与芯片400的每个标准单元区相关的单元行。
参照图6,当确定了芯片的尺寸时,可以分配I/O区和硬宏区。例如,可以确定I/O区410的尺寸来分配I/O区和硬宏区,其中,在I/O区中形成用于与芯片的外部、I/O缓冲器和驱动器交换数据或信号的I/O焊盘。另外,可以确定芯片400中的硬宏区420、430、440和450来分配I/O区和硬宏区。可以基于I/O焊盘与各硬宏区420、430、440和450之间的距离、电源轨的构造、或者其它核心与各硬宏区420、430、440和450之间的相对距离来确定硬宏区420、430、440和450的位置。当确定了I/O区410以及硬宏区420、430、440和450时,可以将剩余部分分配给标准单元区460。
图7是标准单元区的宽度的平面图。参照图7,可以基于三个属性来划分标准单元区。可以将标准单元区划分为其两侧布置有硬宏区420和430的标准单元区470、位于I/O区410与硬宏区440之间的标准单元区480以及不与I/O区410中的硬宏叠置的标准单元区490。
首要地,其两侧布置有硬宏区420和430的标准单元区470的宽度W1可以对应于单位布置宽度w的奇数倍。该单元区的宽度的尺寸可以同样应用于标准单元区的宽度W3。位于I/O区410与硬宏区440之间的标准单元区480的宽度W2也可以设定为单位布置宽度w的奇数倍。同样地,标准单元区的宽度W4也可以设定为单位布置宽度w的奇数倍。该规则可以同样应用于标准单元区490。
图8是示意性地示出图7的标准单元区470的图。参照图8,在至少一个示例实施例中,硬宏HM1与硬宏HM2之间的标准单元区可以形成为遍及三个单元行SCR0、SCR1和SCR2。
左端单元FC_L可以位于标准单元区的单元行SCR0的左侧。另外,右端单元FC_R可以布置在单元行SCR0的右侧。端单元FC_L和FC_R均可以在标准单元区和硬宏之间保护包括在标准单元区中的单元。即,可以布置端单元FC_L和FC_R,其中,端单元FC_L和FC_R被配置为基本防止和/或减少因形成在硬宏中的核心与形成在标准单元区中的各种单元之间的构造差异导致的在制造工艺中发生的各种干扰。左端单元FC_L的宽度可以是D1,并且右端单元FC_R的宽度可以是D2。在此,端单元FC_L和FC_R的宽度D1和D2可以设定为彼此相同或彼此不同。
根据发明构思的示例实施例,可以将端单元FC_L和FC_R的位置移动至左侧或右侧以调节标准单元区的宽度W1。例如,可以通过将左端单元FC_L向右侧移动如间隔d1这么多来减小标准单元区的宽度W1。另外,可以移动右端单元FC_R的位置来将标准单元区的宽度W1设定为单位布置宽度w的奇数倍。可以通过沿着硬宏方向移动端单元FC_L和FC_R的位置并且增加标准单元区的宽度W1来调节标准单元区的宽度W1,以将其设定为单位布置宽度w的奇数倍。
在至少一个示例实施例中,因为位于硬宏HM1与HM2之间的单元行SCR0、SCR1和SCR2共用相同的栅极,所以端单元FC_L和FC_R的构造可以应用于两个单元行SCR1和SCR2。
图9是示意性地示出标准单元区480的图。参照图9,输入和输出区与硬宏HM3之间的标准单元区可以跨过三个单元行SCR3、SCR4和SCR5形成。
左端单元FC_L可以位于标准单元区的单元行SCR3的左侧。另外,右端单元FC_R可以布置在单元行SCR3的右侧。端单元FC_L和FC_R均可以在标准单元区和硬宏之间保护包括在标准单元区中的单元。即,可以布置端单元FC_L和FC_R,其中,端单元FC_L和FC_R用于防止和/或减少由于形成在硬宏中的核心与形成在标准单元区中的各种单元之间的构造差异导致的在制造工艺中发生的各种干扰。左端单元FC_L的宽度可以是D1',并且右端单元FC_R的宽度可以是D2'。在此,左端单元FC_L的宽度D1'和右端单元FC_R的宽度D2'可以设定为与图8中示出的端单元FC_L和FC_R的宽度D1和D2相等,或者与图8中示出的端部单元FC_L和FC_R的宽度D1和D2不同。
根据发明构思的示例实施例,可以将端单元FC_L和FC_R的位置向左侧或右侧移动以调节标准单元区的宽度W2。例如,可以通过将左端单元FC_L向右侧移动特定距离来减小标准单元区的宽度W2。另外,可以移动右端单元FC_R的位置以将标准单元区的宽度W2设定为单位布置宽度w的奇数倍。可以通过沿任意方向移动端单元FC_L和FC_R的位置并且增大标准单元的宽度W2来调节标准单元区的宽度W2,以使其被设定为单位布置宽度w的奇数倍。可以将端单元FC_L和FC_R的构造应用于全部单元行SCR4和SCR5。
图10是示意性地示出标准单元区490的图。参照图10,输入和输出区之间的标准单元区490可以跨过四个单元行SCR6、SCR7、SCR8和SCR9形成。
左端单元FC_L可以位于标准单元区的单元行SCR6的左侧。另外,右端单元FC_R可以布置在单元行SCR6的右侧。端单元FC_L和FC_R均可以在标准单元区和硬宏之间保护包括在标准单元区中的单元。即,可以布置端单元FC_L和FC_R,其中,端单元FC_L和FC_R用于防止由于形成在硬宏中的核心与形成在标准单元区中的各种单元之间的构造差异导致的在制造工艺中发生的各种干扰。左端单元FC_L的宽度可以是D1",并且右端单元FC_R的宽度可以是D2"。在此,左端单元FC_L的宽度D1"和右端单元FC_R的宽度D2"可以设定为与图8中示出的端部单元FC_L和FC_R的宽度D1和D2相等,或者与图8中示出的端部单元FC_L的宽度D1和D2不同。
根据发明构思的示例实施例,可以将端单元FC_L和FC_R的位置向左侧或右侧移动以调节标准单元区的宽度W0。例如,可以通过将左端单元FC_L向右侧移动如特定间隔那么多来减小标准单元区的宽度W0。另外,可以移动右端单元FC_R的位置以将标准单元区的宽度W0设定为单位布置宽度w的奇数倍。可以通过沿任意方向移动端单元FC_L和FC_R的位置并且增大标准单元的宽度W0来调节标准单元区的宽度W0,以使其被设定为单位布置宽度w的奇数倍。可以将端单元FC_L和FC_R的构造应用于全部单元行SCR7、SCR8和SCR9。
图11是示出根据发明构思的另一个示例实施例的调节标准单元区中的栅极的数量的方法的图。参照图11,硬宏HM1和HM2之间的标准单元区可以跨过三个单元行SCR0、SCR1和SCR2形成。然而,可以在布置多个标准单元471至478时确定标准单元区的宽度。即,发明构思的至少一个示例实施例的栅极生成规则可以应用于设置多个标准单元471至478。
同时,可以利用各种形状的封装件来安装根据发明构思的至少一个示例实施例的存储系统。例如,可以利用如下的封装件来安装半导体装置,诸如层叠封装件(PoP)、球栅阵列(BGA)、芯片级封装件(CSP)、塑料引线芯片载体(PLCC)、塑料双列直插封装件(PDIP)、华夫裸片封装件(die in waffle pack)、晶片形式的裸片(die in wafer form)、板上芯片(COB)、陶瓷双列直插封装件(CERDIP)、塑料公制四方扁平封装件(MQFP)、薄型四方扁平封装件(TQFP)、小外形集成电路(SOIC)、收缩型小外形封装件(SSOP)、薄型小外形封装件(TSOP)、、系统级封装件(SIP)、多芯片封装件(MCP)、晶片级制造封装件(WFP)和晶片级处理堆叠封装件(WSP)。
虽然已经参照示例实施例描述了发明构思,但是对于本领域技术人员而言将明显的是,在不脱离本发明的精神和范围的情况下,可以做出各种改变和修改。因此,应该理解的是,以上实施例并非是限制性的而是说明性的。

Claims (24)

1.一种设计半导体装置的布局的方法,所述方法包括如下操作:
通过布局设计系统的自对准双图案化工艺接收与目标芯片的尺寸和形成栅极线的单位布置宽度有关的信息;
在所述目标芯片处分配输入和输出区、硬宏区以及标准单元区;
通过应用栅极生成规则来调节所述标准单元区的宽度,所述栅极生成规则用于将位于所述标准单元区中的至少一个单元行的宽度设定为所述单位布置宽度的奇数倍,所述单位布置宽度对应于自对准双图案化工艺中的将要形成在所述标准单元区中的相邻栅极线的中心之间的宽度;和
在具有调节后宽度的单元行的所述标准单元区中,根据自对准双图案化工艺形成一组栅极线,使得
所述一组栅极线的相邻栅极线其各自的中心间隔开单位布置宽度,
所述一组栅极线延伸穿过整个所述标准单元区,并且
所述标准单元区中的所述一组栅极线的一些栅极线是偶数倍的栅极线。
2.根据权利要求1所述的方法,其中,所述栅极生成规则将位于所述硬宏区的第一区中的硬宏的宽度设定为所述单位布置宽度的偶数倍,并且将位于所述硬宏区的第二区中的硬宏的宽度设定为所述单位布置宽度的奇数倍。
3.根据权利要求2所述的方法,其中,所述第一区对应于具有至少一个面不与所述标准单元区相邻的矩形形状的芯片区。
4.根据权利要求2所述的方法,其中,所述第二区对应于具有四个面与所述标准单元区相邻的矩形形状的芯片区。
5.根据权利要求1所述的方法,其中,调节所述标准单元区的宽度的操作包括:
将所述标准单元区的栅极线的位置向左侧或右侧移动。
6.根据权利要求5所述的方法,其中,调节所述标准单元区的宽度的操作包括:
将所述标准单元区的至少一个端部处的至少一个端部单元的位置向左侧或右侧移动。
7.根据权利要求5所述的方法,其中,调节所述标准单元区的宽度的操作包括:
通过改变所述硬宏区的光晕的尺寸来调节所述标准单元区的宽度。
8.根据权利要求7所述的方法,其中,以至少一个单元行为单位调节所述标准单元区的宽度。
9.根据权利要求1所述的方法,所述方法还包括如下操作:在所述标准单元区中布置标准单元,
其中,布置标准单元的操作包括应用栅极生成规则。
10.一种设计半导体装置的布局的方法,所述方法包括如下操作:
接收芯片的尺寸;
在所述芯片处分配标准单元区和至少一个硬宏区;
通过应用栅极生成规则来调节所述标准单元区的每个单元行的宽度,所述栅极生成规则用于将位于所述标准单元区中的至少一个单元行的宽度设定为单位布置宽度的奇数倍,所述单位布置宽度与将要形成在所述标准单元区中的相邻栅极线之间的间距有关;和
在具有调节后宽度的单元行的所述标准单元区中,根据自对准双图案化工艺形成一组栅极线,使得
所述一组栅极线的相邻栅极线其各自的中心间隔开单位布置宽度,
所述一组栅极线延伸穿过整个所述标准单元区,并且
所述标准单元区中的所述一组栅极线的一些栅极线是偶数倍的栅极线。
11.根据权利要求10所述的方法,其中,所述单位布置宽度对应于将要形成在所述标准单元区中的一对栅极线的宽度。
12.根据权利要求11所述的方法,其中,所述单位布置宽度对应于将要形成在所述标准单元区中的相邻栅极线的中心之间的距离。
13.根据权利要求10所述的方法,其中,调节宽度的操作包括移动形成在所述标准单元区的单元行中的端部单元的位置。
14.根据权利要求10所述的方法,其中,调节宽度的操作包括调节硬宏区的光晕的尺寸。
15.一种设计半导体装置的布局布线的方法,所述方法包括如下操作:
接收与目标芯片的栅极线的宽度有关的信息和与成对的栅极线之间的间隔有关的几何信息;
在所述目标芯片处分配形成有输入和输出焊盘的输入和输出区、至少一个硬宏区和标准单元区;
检查栅极生成规则,所述栅极生成规则用于检测所述标准单元区的每个单元行的行方向宽度是否为所述成对的栅极线之间的间隔的奇数倍;
基于检测结果,将违反了所述栅极生成规则的所述标准单元区的每个单元行的行方向宽度调节成所述成对栅极线之间的间隔的奇数倍;和
在具有调节后宽度的单元行的所述标准单元区中,根据自对准双图案化工艺形成一组栅极线,使得
所述一组栅极线的所述成对的栅极线其各自的中心间隔开单位布置宽度,
所述一组栅极线延伸穿过整个所述标准单元区,并且
所述标准单元区中的所述一组栅极线的一些栅极线是偶数倍的栅极线。
16.根据权利要求15所述的方法,其中,通过改变与所述标准单元区相邻的硬宏区的光晕的尺寸来调节违反了所述栅极生成规则的所述标准单元区的每个单元行的行方向宽度。
17.根据权利要求15所述的方法,其中,通过移动在所述标准单元区的两侧处的端单元的位置来调节违反了所述栅极生成规则的所述标准单元区的每个单元行的行方向宽度。
18.根据权利要求15所述的方法,其中,栅极生成规则将所述硬宏区之中的被所述标准单元区封闭的硬宏的行方向宽度设定为所述成对的栅极线之间的间隔的奇数倍,并且将所述硬宏区之中的有至少一个面不与所述标准单元区相邻的硬宏的行方向宽度设定为所述成对的栅极线之间的间隔的偶数倍。
19.根据权利要求18所述的方法,所述方法还包括调节违反了所述栅极生成规则的硬宏区的行方向宽度。
20.根据权利要求15所述的方法,其中,所述单位布置宽度对应于构成所述成对栅极线的栅极线的中心之间的宽度。
21.一种设计半导体装置的布局布线的方法,所述方法包括如下操作:
接收与目标芯片的栅极线的宽度有关的信息;
分配目标芯片的形成有输入和输出焊盘的输入和输出区及标准单元区;
检查栅极生成规则,所述栅极生成规则用于检测所述标准单元区的每个单元行的行方向宽度是否为将要形成在所述标准单元区中的相邻栅极线之间的特定间隔的奇数倍;
调节由于其宽度不是将要形成在所述标准单元区中的相邻栅极线之间的所述特定间隔的奇数倍而违反了所述栅极生成规则的所述标准单元区的每个单元行的行方向宽度,所述调节包括将所述行方向宽度调节为所述特定间隔的奇数倍;和
在具有调节后宽度的单元行的所述标准单元区中,根据自对准双图案化工艺形成一组栅极线,使得
所述一组栅极线的相邻栅极线其各自的中心间隔开单位布置宽度,
所述一组栅极线延伸穿过整个所述标准单元区,并且
所述标准单元区中的所述一组栅极线的一些栅极线是偶数倍的栅极线。
22.根据权利要求21所述的方法,其中,所述调节包括:
基于检测所述标准单元区的每个单元行的行方向宽度是否为将要形成在所述标准单元区中的相邻栅极线之间的特定间隔的奇数倍的结果,将违反了所述栅极生成规则的每个单元行的行方向宽度调节成将要形成在所述标准单元区中的相邻栅极线之间的所述特定间隔的奇数倍。
23.根据权利要求22所述的方法,其中,通过改变与所述标准单元区相邻的硬宏区的光晕的尺寸来调节违反了所述栅极生成规则的所述标准单元区的每个单元行的行方向宽度。
24.根据权利要求22所述的方法,其中,通过移动在所述标准单元区的两侧处的端单元的位置来调节违反了所述栅极生成规则的所述标准单元区的每个单元行的行方向宽度。
CN201510594079.XA 2014-09-18 2015-09-17 设计半导体装置的布局的方法 Active CN105447221B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462052076P 2014-09-18 2014-09-18
US62/052,076 2014-09-18
KR10-2015-0033280 2015-03-10
KR1020150033280A KR102255450B1 (ko) 2014-09-18 2015-03-10 반도체 장치의 레이아웃 설계 방법

Publications (2)

Publication Number Publication Date
CN105447221A CN105447221A (zh) 2016-03-30
CN105447221B true CN105447221B (zh) 2020-06-16

Family

ID=55525978

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510594079.XA Active CN105447221B (zh) 2014-09-18 2015-09-17 设计半导体装置的布局的方法

Country Status (2)

Country Link
US (2) US9811626B2 (zh)
CN (1) CN105447221B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102374846B1 (ko) * 2015-12-14 2022-03-16 삼성전자주식회사 파워 메쉬 변경 방법
US10161882B1 (en) 2016-07-28 2018-12-25 Applied Materials Israel Ltd. Method of examining locations in a wafer with adjustable navigation accuracy and system thereof
EP3312882B1 (en) * 2016-10-20 2021-09-15 IMEC vzw A method of patterning a target layer
JP6939281B2 (ja) * 2017-09-04 2021-09-22 富士通株式会社 設計支援装置、設計支援プログラムおよび設計支援方法
KR102403735B1 (ko) * 2017-09-07 2022-05-30 삼성전자주식회사 비대칭적인 엔딩 셀들을 포함하는 집적 회로 및 시스템 온 칩
US10262950B1 (en) * 2017-10-17 2019-04-16 Qualcomm Incorporated Visible alignment markers/landmarks for CAD-to-silicon backside image alignment
KR102439700B1 (ko) * 2018-01-11 2022-09-02 삼성전자주식회사 반도체 장치, 반도체 장치의 레이아웃 설계 방법 및 반도체 장치의 제조 방법
US10796064B2 (en) 2018-08-14 2020-10-06 International Business Machines Corporation Autonomous placement to satisfy self-aligned double patterning constraints
KR20200086147A (ko) 2019-01-08 2020-07-16 삼성전자주식회사 집적 회로 및 그것의 레이아웃 설계 방법
US10839130B1 (en) 2019-06-11 2020-11-17 International Business Machines Corporation Metal layer routing based on grid regions
CN111709213B (zh) * 2020-06-18 2023-04-14 成都微光集电科技有限公司 标准单元库设计检查方法和系统以及可读存储介质
US11087062B1 (en) 2020-07-22 2021-08-10 International Business Machines Corporation Dynamic SADP region generation
US20210232313A1 (en) * 2021-04-15 2021-07-29 Intel Corporation User configurable slc memory size

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1249066A (zh) * 1997-03-11 2000-03-29 三菱电机株式会社 利用单元库方式进行布局设计的半导体集成电路装置
CN1794459A (zh) * 2004-12-20 2006-06-28 松下电器产业株式会社 单元、标准单元、标准单元库、使用标准单元的布局方法和半导体集成电路

Family Cites Families (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6469493B1 (en) 1995-08-01 2002-10-22 Teradyne, Inc. Low cost CMOS tester with edge rate compensation
US5661419A (en) 1996-05-23 1997-08-26 Sun Microsystems, Inc. Dynamic phase-frequency detector circuit
JP3233347B2 (ja) 1997-11-17 2001-11-26 日本電気株式会社 集積回路試験装置及び集積回路試験方法
JPH11211793A (ja) 1998-01-23 1999-08-06 Ando Electric Co Ltd Ic試験装置
KR100480585B1 (ko) 1998-06-26 2005-06-08 삼성전자주식회사 테스트용 직류패드(dc pad)를 공유하는 반도체 장치
US6535415B2 (en) 1999-02-22 2003-03-18 Hitachi, Ltd. Semiconductor device
US6423558B1 (en) 2000-02-25 2002-07-23 Advantest Corporation Method for fabricating integrated circuit (IC) dies with multi-layered interconnect structures
JP2001308271A (ja) 2000-04-18 2001-11-02 Hitachi Ltd 半導体集積回路および設計方法並びに製造方法
WO2002047269A1 (en) 2000-12-05 2002-06-13 Telefonaktiebolaget Lm Ericsson (Publ) Device and method in a semiconductor circuit
JP2003050266A (ja) 2001-08-06 2003-02-21 Matsushita Electric Ind Co Ltd ヒステリシス電圧幅測定用のテスト回路およびそのテスト回路を用いたヒステリシス電圧幅の測定方法
JP2003149983A (ja) 2001-11-08 2003-05-21 Canon Inc 画像形成装置
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6678868B2 (en) 2002-04-17 2004-01-13 Sun Microsystems, Inc. Using Boolean expressions to represent shapes within a layout of an integrated circuit
US6925590B2 (en) 2002-04-22 2005-08-02 Broadcom Corporation Scan interface
JP4837870B2 (ja) * 2002-11-05 2011-12-14 株式会社リコー 半導体集積回路のレイアウト設計方法
JP3738001B2 (ja) 2002-12-03 2006-01-25 松下電器産業株式会社 半導体集積回路装置
US7087943B2 (en) 2003-05-08 2006-08-08 Intel Corporation Direct alignment scheme between multiple lithography layers
JP4620942B2 (ja) * 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト方法、そのレイアウト構造、およびフォトマスク
JP2005149313A (ja) 2003-11-18 2005-06-09 Toshiba Corp 半導体集積回路の設計方法および半導体集積回路
JP2005252143A (ja) 2004-03-08 2005-09-15 Matsushita Electric Ind Co Ltd 半導体集積回路
JP2007043049A (ja) * 2004-12-20 2007-02-15 Matsushita Electric Ind Co Ltd セル、スタンダードセル、スタンダードセル配置方法、スタンダードセルライブラリ、ならびに半導体集積回路
JP2006277668A (ja) * 2005-03-30 2006-10-12 Fujitsu Ltd レイアウト設計方法及びレイアウト設計装置
US8102018B2 (en) 2005-05-09 2012-01-24 Nantero Inc. Nonvolatile resistive memories having scalable two-terminal nanotube switches
US7296248B2 (en) 2005-06-20 2007-11-13 Freescale Semiconductor, Inc. Method and apparatus for compiling a parameterized cell
US7489151B2 (en) 2005-10-03 2009-02-10 Pdf Solutions, Inc. Layout for DUT arrays used in semiconductor wafer testing
JP2007103862A (ja) 2005-10-07 2007-04-19 Renesas Technology Corp 半導体装置およびその製造方法
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
JP2007264993A (ja) 2006-03-28 2007-10-11 Fujitsu Ltd 検証支援装置、検証支援方法、検証支援プログラム、および記録媒体
KR20070109434A (ko) 2006-05-11 2007-11-15 삼성전자주식회사 반도체 칩의 오픈 테스트(open test) 및 쇼트테스트(short test) 방법 및 반도체 테스트시스템
JP5153089B2 (ja) 2006-05-31 2013-02-27 株式会社半導体エネルギー研究所 半導体集積回路の検査方法
KR100831271B1 (ko) 2006-08-16 2008-05-22 동부일렉트로닉스 주식회사 물리적 레이어의 프로그램적 생성을 통한 물리적 레이아웃 데이터를 변경하는 방법
DE602007006031D1 (de) 2006-09-06 2010-06-02 Nxp Bv Prüfbare integrierte schaltung und ic-prüfverfahren
US20080109778A1 (en) 2006-10-23 2008-05-08 Inventec Corporation Setting method of line pitch/line width layout for logic circuit
KR20080045517A (ko) 2006-11-20 2008-05-23 삼성전자주식회사 핀 트랜지스터를 이용한 전류량 제어방법 및 자동 설계방법
US9099172B2 (en) 2013-01-02 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-port SRAM connection structure
KR100874918B1 (ko) 2007-03-02 2008-12-19 삼성전자주식회사 응력 영향을 고려한 집적회로 시뮬레이션 방법
US7512509B2 (en) 2007-04-26 2009-03-31 International Business Machines Corporation M1 testable addressable array for device parameter characterization
WO2008133481A1 (en) 2007-04-30 2008-11-06 Lg Electronics Inc. Method for performing an authentication of entities during establishment of wireless call connection
US7685540B1 (en) * 2007-05-03 2010-03-23 The Research Foundation Of The State University Of New York Standard block design: an effective approach for large scale floorplanning
JP4882902B2 (ja) 2007-07-30 2012-02-22 富士通セミコンダクター株式会社 シミュレーション方法及びプログラム
JP5242103B2 (ja) 2007-09-07 2013-07-24 ルネサスエレクトロニクス株式会社 半導体集積回路のレイアウト方法
US8362480B1 (en) 2007-09-25 2013-01-29 Pdf Solutions, Inc. Reusable test chip for inline probing of three dimensionally arranged experiments
US7673195B2 (en) 2007-10-03 2010-03-02 International Business Machines Corporation Circuits and methods for characterizing device variation in electronic memory circuits
US20090144677A1 (en) 2007-11-29 2009-06-04 International Business Machines Corporation Design Structure for a Circuit and Method to Measure Threshold Voltage Distributions in SRAM Devices
US7885012B2 (en) 2008-07-23 2011-02-08 Eastman Kodak Company Shearing radiation beam for imaging printing media
US7960759B2 (en) 2008-10-14 2011-06-14 Arm Limited Integrated circuit layout pattern for cross-coupled circuits
US8294485B2 (en) 2009-02-12 2012-10-23 International Business Machines Corporation Detecting asymmetrical transistor leakage defects
US8397193B2 (en) 2009-04-17 2013-03-12 Arm Limited Proprietary circuit layout identification
JP2010266254A (ja) 2009-05-13 2010-11-25 Elpida Memory Inc 半導体装置のオープンテスト回路、オープンテスト回路を備えた半導体チップ及び半導体装置
US8782586B2 (en) 2009-07-16 2014-07-15 Cadence Design Systems, Inc. Method, system, and program product for routing an integrated circuit to be manufactured by doubled patterning
US8224604B1 (en) 2009-08-11 2012-07-17 Indian Institute Of Science Gate delay measurement circuit and method of determining a delay of a logic gate
US8258578B2 (en) 2009-08-31 2012-09-04 Advanced Micro Devices, Inc. Handshake structure for improving layout density
JP2011114773A (ja) 2009-11-30 2011-06-09 Elpida Memory Inc 半導体装置、半導体装置の制御方法及び該半導体装置を用いたシステム
JP5513530B2 (ja) 2010-02-03 2014-06-04 ルネサスエレクトロニクス株式会社 半導体装置
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
JP2011233869A (ja) 2010-04-09 2011-11-17 Renesas Electronics Corp 半導体装置、半導体装置のレイアウト装置、及び半導体装置のレイアウト方法
US8399928B2 (en) 2010-05-18 2013-03-19 Panasonic Corporation Semiconductor device
WO2012012538A2 (en) 2010-07-20 2012-01-26 University Of Virginia Patent Foundation Memory cell
US8352899B1 (en) 2010-08-20 2013-01-08 Altera Corporation Method to modify an integrated circuit (IC) design
US8312394B2 (en) 2010-11-29 2012-11-13 Synopsys, Inc. Method and apparatus for determining mask layouts for a spacer-is-dielectric self-aligned double-patterning process
US8365108B2 (en) 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
US8726217B2 (en) 2011-01-20 2014-05-13 GlobalFoundries, Inc. Methods for analyzing cells of a cell library
US8775977B2 (en) 2011-02-15 2014-07-08 Taiwan Semiconductor Manufacturing Co., Ltd Decomposition and marking of semiconductor device design layout in double patterning lithography
US8631374B2 (en) * 2011-03-30 2014-01-14 Synopsys, Inc. Cell architecture for increasing transistor size
US8726220B2 (en) 2011-04-29 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8728892B2 (en) 2011-05-05 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive fin design for FinFETs
CN103688261A (zh) 2011-05-19 2014-03-26 赛智设计自动化有限公司 检查集成电路布图中基准图案的实例的方法、系统和计算机程序产品
JP5699826B2 (ja) 2011-06-27 2015-04-15 富士通セミコンダクター株式会社 レイアウト方法及び半導体装置の製造方法
US8909065B2 (en) 2011-07-15 2014-12-09 Intel Mobile Communications GmbH Adjustable delayer, method for delaying an input signal and polar transmitter
GB2493026A (en) 2011-07-22 2013-01-23 Nvidia Corp Method of spreading a clock signal using a variable delay
US8595661B2 (en) 2011-07-29 2013-11-26 Synopsys, Inc. N-channel and p-channel finFET cell architecture
US8726215B2 (en) 2011-08-02 2014-05-13 Synopsys, Inc. Standard cell placement technique for double patterning technology
US9292644B2 (en) * 2011-08-12 2016-03-22 William Loh Row based analog standard cell layout design and methodology
US8281272B1 (en) 2011-08-31 2012-10-02 Cadence Design Systems, Inc. System and method to generate re-useable layout components from schematic components in an IC design with hierarchical parameters
US8453089B2 (en) 2011-10-03 2013-05-28 Globalfoundries Singapore Pte. Ltd. Method and apparatus for pattern adjusted timing via pattern matching
GB201117297D0 (en) 2011-10-07 2011-11-16 Quantex Patents Ltd Pump fittings and methods for their manufacture
JP2013120852A (ja) 2011-12-07 2013-06-17 Toshiba Corp スタンダードセル、および、半導体集積回路
US9355910B2 (en) 2011-12-13 2016-05-31 GlobalFoundries, Inc. Semiconductor device with transistor local interconnects
US8581348B2 (en) 2011-12-13 2013-11-12 GlobalFoundries, Inc. Semiconductor device with transistor local interconnects
US8707245B2 (en) 2012-02-27 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device design method, system and computer-readable medium
US8631382B2 (en) 2012-03-08 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. LVS implementation for FinFET design
US8802574B2 (en) 2012-03-13 2014-08-12 Globalfoundries Inc. Methods of making jogged layout routings double patterning compliant
WO2013154448A1 (en) 2012-04-10 2013-10-17 Cadence Design Systems, Inc. Method and system for automatically establishing a hierarchical parameterized cell (pcell) debugging environment
US8490244B1 (en) 2012-04-16 2013-07-23 International Business Machines Corporation Methodologies for automatic 3-D device structure synthesis from circuit layouts for device simulation
US8679911B2 (en) 2012-05-07 2014-03-25 Globalfoundries Inc. Cross-coupling-based design using diffusion contact structures
US8741763B2 (en) 2012-05-07 2014-06-03 Globalfoundries Inc. Layout designs with via routing structures
US8997026B1 (en) * 2012-05-11 2015-03-31 Cadence Design Systems, Inc. System and method for self alignment of pad mask
US20130320451A1 (en) 2012-06-01 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Semiconductor device having non-orthogonal element
US8701066B1 (en) 2012-06-28 2014-04-15 Cadence Design Systens, Inc. Extracting capacitance and resistance from FinFET devices
US8987128B2 (en) 2012-07-30 2015-03-24 Globalfoundries Inc. Cross-coupling based design using diffusion contact structures
CN104854698A (zh) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
US8775999B2 (en) 2012-11-08 2014-07-08 Advanced Micro Devices, Inc. Standard cell placement method to exercise placement permutations of standard cell libraries
US8732641B1 (en) 2012-11-15 2014-05-20 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern matching based parasitic extraction with pattern reuse
US8878303B2 (en) 2012-12-28 2014-11-04 Broadcom Corporation Geometric regularity in fin-based multi-gate transistors of a standard cell library
US8782575B1 (en) 2013-01-23 2014-07-15 Taiwan Semiconductor Manufacturing Company Limited Conflict detection for self-aligned multiple patterning compliance
US8799834B1 (en) 2013-01-30 2014-08-05 Taiwan Semiconductor Manufacturing Company Limited Self-aligned multiple patterning layout design
US9035393B2 (en) 2013-01-31 2015-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for forming an integrated circuit with a metalized resistor in a standard cell configuration
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US9053283B2 (en) 2013-03-12 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in finFET standard cells using filters
US9026973B2 (en) * 2013-03-14 2015-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for arbitrary metal spacing for self-aligned double patterning
US9122828B2 (en) 2013-05-17 2015-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for designing an integrated circuit layout having a plurality of cell technologies
US9336345B2 (en) 2013-09-27 2016-05-10 Globalfoundries Singapore Pte. Ltd. Methods for converting planar designs to FinFET designs in the design and fabrication of integrated circuits
US8969199B1 (en) 2013-10-15 2015-03-03 Globalfoundries Inc. Methods of forming a circuit that includes a cross-coupling gate contact structure wherein the circuit is to be manufactured using a triple patterning process
US8902094B1 (en) 2013-11-08 2014-12-02 Broadcom Corporation Clock generator for use in a time-interleaved ADC and methods for use therewith
US10083269B2 (en) * 2013-11-19 2018-09-25 Arm Limited Computer implemented system and method for generating a layout of a cell defining a circuit component
US9292647B2 (en) * 2014-01-24 2016-03-22 Globalfoundries Inc. Method and apparatus for modified cell architecture and the resulting device
JP5776802B2 (ja) 2014-02-14 2015-09-09 ソニー株式会社 半導体集積回路
US9659137B2 (en) 2014-02-18 2017-05-23 Samsung Electronics Co., Ltd. Method of verifying layout of mask ROM
US9472455B2 (en) 2014-04-07 2016-10-18 Globalfoundries Inc. Methods of cross-coupling line segments on a wafer
US10474781B2 (en) 2014-05-24 2019-11-12 Synopsys, Inc. Virtual hierarchical layer usage
US9378320B2 (en) 2014-06-23 2016-06-28 Synopsys, Inc. Array with intercell conductors including nanowires or 2D material strips
US9361418B2 (en) 2014-06-23 2016-06-07 Synopsys, Inc. Nanowire or 2D material strips interconnects in an integrated circuit cell
US9372226B2 (en) 2014-07-22 2016-06-21 Globalfoundries Inc. Wafer test structures and methods of providing wafer test structures
US10242148B2 (en) 2014-08-25 2019-03-26 Mediatek Inc. Integrated circuit and routing design of the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1249066A (zh) * 1997-03-11 2000-03-29 三菱电机株式会社 利用单元库方式进行布局设计的半导体集成电路装置
CN1794459A (zh) * 2004-12-20 2006-06-28 松下电器产业株式会社 单元、标准单元、标准单元库、使用标准单元的布局方法和半导体集成电路

Also Published As

Publication number Publication date
CN105447221A (zh) 2016-03-30
US10002223B2 (en) 2018-06-19
US20160085897A1 (en) 2016-03-24
US20180011961A1 (en) 2018-01-11
US9811626B2 (en) 2017-11-07

Similar Documents

Publication Publication Date Title
CN105447221B (zh) 设计半导体装置的布局的方法
TWI672600B (zh) 設計半導體元件布局的方法以及用於設計半導體元件的布局的基於電腦的系統
US9524903B2 (en) Interconnection structure, semiconductor device, and method of manufacturing the same
US10043751B2 (en) Three dimensional storage cell array with highly dense and scalable word line design approach
US8966424B2 (en) Methods for cell phasing and placement in dynamic array architecture and implementation of the same
KR102084725B1 (ko) 반도체 메모리 장치 및 그 제조 방법
US10691859B2 (en) Integrated circuit and method of designing layout of integrated circuit
US9202536B2 (en) Three dimensional memory control circuitry
KR102321615B1 (ko) 반도체 장치의 제조 방법
US20210183768A1 (en) Integrated circuits including via array and methods of manufacturing the same
KR20170084411A (ko) 반도체 장치의 핀 간 라우팅 설계 방법 및 그것을 적용한 설계 시스템
CN106560926B (zh) 具有结构稳定性的半导体器件
TWI609507B (zh) 薄嵌入式封裝、製造其之方法、包含其之電子系統以及包含其之記憶卡
KR20240051093A (ko) 반도체 장치 및 그 제조 방법
US9928330B2 (en) Method of decomposing layout of semiconductor device and method of manufacturing semiconductor device using the same
KR102512322B1 (ko) 반도체 장치의 제조 방법
KR102636096B1 (ko) 비아 어레이를 포함하는 집적 회로 및 이를 제조하기 위한 방법
KR20140091962A (ko) 맞춤형 마스크의 제조 방법 및 맞춤형 마스크를 이용한 반도체 장치의 제조 방법
KR20210028306A (ko) 반도체 장치의 레이아웃 설계 방법
EP3327594A1 (en) Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US11182527B2 (en) Cell placement site optimization
US9391056B2 (en) Mask optimization for multi-layer contacts
JP2013196186A (ja) 半導体集積回路の設計装置及び半導体集積回路の設計方法、半導体集積回路の設計プログラム、記録媒体、並びにデータ構造
JP2012083952A (ja) レイアウト設計装置、レイアウト設計方法、及びプログラム

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant