CN104813446A - 基于钴的互连及其制造方法 - Google Patents

基于钴的互连及其制造方法 Download PDF

Info

Publication number
CN104813446A
CN104813446A CN201380062154.7A CN201380062154A CN104813446A CN 104813446 A CN104813446 A CN 104813446A CN 201380062154 A CN201380062154 A CN 201380062154A CN 104813446 A CN104813446 A CN 104813446A
Authority
CN
China
Prior art keywords
cobalt
packing material
crystal seed
seed layer
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380062154.7A
Other languages
English (en)
Other versions
CN104813446B (zh
Inventor
C·J·杰泽斯基
J·S·克拉克
T·K·因杜库里
F·格瑟特莱恩
D·J·谢拉斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to CN201710556412.7A priority Critical patent/CN107452711B/zh
Publication of CN104813446A publication Critical patent/CN104813446A/zh
Application granted granted Critical
Publication of CN104813446B publication Critical patent/CN104813446B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53261Refractory-metal alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明描述了包括钴的金属互连和形成包括钴的金属互连的方法。在实施例中,包括钴的金属互连包括设置在衬底上的电介质层、形成在所述电介质层中以使所述衬底被露出的开口。实施例还包括设置在所述衬底之上的晶种层、以及形成在所述开口内和所述晶种层的表面上的包括钴的填充材料。

Description

基于钴的互连及其制造方法
技术领域
本发明的实施例总体上涉及金属互连结构及其制造方法。更具体地,本发明的实施例涉及基于钴的互连结构及其制造方法。
背景技术
集成电路(IC)器件通常包括形成在半导体衬底内或上的电路元件,例如晶体管、电容器和电阻器。互连结构用于将分立的电路元件电耦合或连接成功能电路。典型的金属互连可以包括线路部分和通孔部分。
当前,互连结构是由铜制造的,并且可以包括阻挡层,例如钛或钽或诸如氮化钽或氮化钛之类的氮化物材料、或它们的组合(例如,氮化钽/钽(TNT))。利用铜互连结构的问题在于它们对可能导致空位形成和故障的电迁移高度敏感。
钨金属化部已经成功用于制造前端接触部,并且因此已被建议用于后端金属化部,用于进行互连的制造。利用钨金属化部的可取优点是其对有害的电迁移效应高度耐受。然而,利用钨金属化部的缺点是其电阻率高于铜。更具体地,钨线路电阻比铜互连高4到6倍,并且通孔电阻可能高出多达20%。这些高电阻严重降低了集成电路的性能并且因此是不期望的。
附图说明
图1A-1E是根据本发明的实施例的形成具有晶种层的钴互连的方法的截面侧视图图示。
图2是根据本发明的实施例的具有由具有晶种层的钴互连形成的多个金属化层的集成电路的截面侧视图图示。
图3是示出根据本发明的实施例的形成具有晶种层的钴互连的方法的流程图。
图4A-4F是根据本发明的实施例的形成具有钴插塞的钴互连的方法的截面侧视图图示。
图5A-5F是根据本发明的实施例的形成具有钴插塞和晶种层的钴互连的方法的截面侧视图图示。
图6是示出根据本发明的实施例的形成具有钴插塞的钴互连的方法的流程图。
图7描绘了根据本发明的实施例的包括基于钴的金属栅极电极的金属氧化物半导体场效应晶体管(MOS-FET)。
图8示出了根据本发明的一种实施方式的计算设备。
具体实施方式
本发明的实施例涉及钴互连和制造钴互连的方法。应当注意,在各种实施例中,参考附图做出了描述。然而,可以在没有这些具体细节中的一个或多个的情况下或者在不与其它已知方法和构造组合的情况下实践特定实施例。在以下描述中,阐述了许多细节,例如具体构造、尺寸和工艺等,以提供对本发明的实施例的深入理解。在一些实例中,并未以具体细节的形式描述公知的半导体工艺和制造技术,以避免使本发明难以理解。在整个说明书中,对“一个实施例”、“实施例”等的引用表示结合实施例所描述的特定特征、结构、构造或特性包括在本发明的至少一个实施例中。因此,在整个说明书中的各处出现的短语“在一个实施例中”、“实施例”等不一定指代本发明的同一个实施例。此外,特定特征、结构、构造或特性可以采用任何适合的方式组合到一个或多个实施例中。
本文中使用的术语“在……之上”、“到……”、“在……之间”和“在……上”可以指代一个层相对于其它层的相对位置。一个层在另一层“之上”或“上”或者接合“到”另一层可以与另一层直接接触或者可以具有一个或多个中间层。层“之间的”一个层可以与层直接接触或者可以具有一个或多个中间层。
本发明的一个实施例是钴互连,其包括钴晶种层和钴填充材料。晶种层由与形成钴填充材料所使用的不同的成分和/或不同的工艺形成。另一个实施例是钴互连,其包括钴插塞层和钴填充材料。插塞层由与形成钴填充材料所使用的不同的成分和/或不同的工艺形成。这种钴互连是有利的,因为它们具有低电阻(例如,低于钨)并且对电迁移高度耐受(例如,比铜更耐受电迁移),使得能够制造高性能互连结构。另一个优点是钴互连不需要阻挡层,阻挡层在其它情况下用于防止铜迁移。由于常规互连处理中的尺寸缩放,这种阻挡层的高电阻可能在较大程度上影响常规铜互连的性能。
在第一方面中,共形的基于钴的晶种层形成在电介质层中的开口中。然后基于钴的填充材料沉积或生长在基于钴的晶种层上,以形成钴互连。
例如,图1A-1F示出了根据本发明的实施例的形成具有含钴晶种层和含钴填充层的钴互连的方法。图1A示出了具有顶表面的衬底106,衬底106可以用作能够在其上形成钴互连的衬底。衬底106可以包括部分制造的集成电路(IC)的最终制造钴互连的任何部分。例如,衬底106通常将包括有源和无源器件或在其上形成有源和无源器件。如图1A中所示,导电区150被包括在最终要在其上形成钴互连的衬底106中。在一个这种实施例中,衬底106已经被处理穿过线路前端(FEOL),并且导电区150是形成在晶体半导体衬底或层中的扩散区,例如导电区是晶体管的源极或漏极区。在另一个这种实施例中,导电区150是线路后端(BEOL)金属化结构中的下层金属线路,如以下结合图2所更详细描述的。尽管实施例可以理想地适合于制造例如但不限于微处理器、存储器、电荷耦合器件(CCD)、片上系统(SoC)IC或基带处理器的半导体集成电路,但是其它应用也可以包括微电子机器、MEMS、激光器、光学设备、封装层等。实施例还可以用于制造个体半导体器件,例如本文描述的钴结构可以用于制造金属氧化物半导体(MOS)晶体管的栅极电极。
再次参考图1A,电介质层102形成在衬底106上方。电介质层102可以由任何适当的电介质或绝缘材料构成,所述材料例如但不限于二氧化硅、SiOF、碳掺杂的氧化物、玻璃或聚合物材料。开口形成在电介质层中。开口使导电区150露出,最终可以由钴互连形成至导电区的接触部。在一个实施例中,如图1A中所示,如双金属镶嵌工艺中常见的那样,开口包括具有侧壁116的下部开口114(例如,通路孔或狭缝)和具有侧壁112的上部开口110(例如,金属线路沟槽)。尽管描绘了两个开口,但要领会,可以替代地在电介质层102中形成单个开口,例如,如单金属镶嵌方式中使用的,其中在单次操作中仅制造一条线路或通孔而不是两者。可以通过金属镶嵌和双金属镶嵌型制造中通常使用的公知的光刻和蚀刻处理技术来在电介质层102中制造开口或多个开口。尽管仅描绘了单个电介质层102,但也可以使用相同或不同电介质材料的多个层,例如,其中具有开口114的第一电介质层、以及其中具有开口112的第二电介质层。另外,在实施例中,并且如图1A中所示,电介质层102形成在设置在衬底106上的蚀刻停止层104上。蚀刻停止层104可以由诸如氮化硅或氮氧化硅的材料构成。
参考图1B,沉积钴晶种层120。可以领会,在沉积晶种层120之前,可以形成粘附层/阻挡层,例如,氮化钽/钽(TNT)层或氮化钛/钛层。然而,在另一个实施例中,不使用粘附层和/或阻挡层,并且晶种层120直接形成在电介质层102上并且直接形成在导电区150上。晶种层120可以形成在电介质层102的顶表面108上并且形成在衬底106的露出的顶表面118上(例如,导电区150上)。钴晶种层120还形成在上部开口114的侧壁116以及上部开口110的侧壁112上。
晶种层120可以是由包括钴的材料构成的共形层。在一个实施例中,钴晶种层120被形成为小于3nm的厚度,并且通常为1nm到3nm的厚度。晶种层120可以用作用于生长随后的填充材料的成核层。在一个实施例中,晶种层120大体上(如果不是完全的话)由钴构成。例如,在具体的这种实施例中,晶种层包括至少50%的钴。如本文中使用的,成分的%是指原子%。在特定实施例中,晶种层包括至少90%的钴。在任何这种情况下,晶种层成分的非钴剩余物(如果有的话)可以包括Al、Ni、Cu、Ag、Au、Mn、Ti、V、Cr、Fe、Ta、W、Ru、P、B、C、N、Si、Ge、Mg、Zn、Rh、Pt、Cd、Hf、In、Sn、C、O、Be、Ca、Zr、Nb、Mo、Ir、Re或Pd中的一种或多种。在另一个实施例中,晶种层120由基于钴的化合物或合金材料构成。例如,在一个实施例中,晶种层120由低合金构成,低合金由大约0.25-5%的诸如上文所列出的那些元素的非钴元素构成,并且剩余物使用了大约95+%的钴。在沉积或沉积之后的处理期间,这种低合金可能包含非钴物质向钴的表面或界面的迁移。迁移可以为钴提供扩散阻挡和/或用于增强钴与其它层的粘附。钴内的晶粒边界也可以被非钴物质填充。然而,在其它实施例中,晶种层120可以包括小于50%的钴,但仍然被称为基于钴的材料。基于钴的化合物晶种层的示例性实施例包括硅化钴或锗化钴晶种层。在具体的这种实施例中,导电区150包括至少一些锗(例如,露出的掺杂的硅锗或掺杂的锗区、或金属锗化物区),并且晶种层120是兼容的锗化钴层。在另一个具体的这种实施例中,导电区150包括至少一些硅(例如,露出的掺杂的硅区、或金属硅化物区),并且晶种层120是兼容的硅化钴层。基于钴的合金晶种层的示例性实施例包括与以下中的一种或多种形成合金的钴:Al、Ni、Cu、Ag、Au、Mn、Ti、V、Cr、Fe、Ta、W、Ru、P、B、C、N、Si、Ge、Mg、Zn、Rh、Pt、Cd、Hf、In、Sn、C、O、Be、Ca、Zr、Nb、Mo、Ir、Re或Pd。在上述实施例中,钴晶种层120可以具有小晶粒结构。
可以通过化学气相沉积(CVD)、原子层沉积(ALD)、物理气相沉积(PVD)、电镀、化学镀或沉积共形薄膜的其它适当工艺来沉积或生长晶种层120。在实施例中,沉积钴晶种层120以形成高质量的共形层,其充分且均匀地覆盖开口内的所有露出的表面和顶表面。在一个实施例中,可以通过在低沉积速率下沉积钴晶种材料以均匀且一致地沉积共形晶种层来形成高质量的晶种层。通过以共形方式形成晶种层120,可以改善随后形成的填充材料与下层结构的兼容性。具体地,晶种层120可以通过提供用于其上的沉积的适当的表面能量来辅助沉积过程。
使用诸如CVD、ALD和PVD等工艺的薄膜的沉积制法可能根据期望的工艺时间、厚度和合格质量而变化。例如,利用CVD沉积晶种层120可以比利用ALD工艺沉积相同层更快地创建共形薄膜层;然而,由CVD工艺沉积的薄膜的质量可能低于由ALD工艺沉积的薄膜的质量。在另一个实施例中,由PVD工艺沉积晶种层120。可以利用接收衬底与对应的溅射靶之间的增大的距离来执行PVD工艺,以形成高度共形的薄膜。
参考图1C,可以在晶种层120的露出的表面上形成填充材料122,以使填充材料122完全填充开口110和114并且使填充材料122形成在电介质102的顶表面108上的钴晶种层120的顶表面上。接缝124可以在填充材料122的沉积期间形成在开口110、114内。在实施例中,填充材料122可以掺杂有前述元素中的能够与晶种层120形成合金的任何元素或与该元素形成合金。例如,在一个实施例中,填充材料122由低合金构成,低合金由大约0.25-5%的诸如上文所列出的那些元素之类的非钴元素构成,并且剩余物使用了大约95+%的钴。在沉积或沉积之后的处理期间,这种低合金可能包含非钴物质到钴的表面或界面的迁移。迁移可以为钴提供扩散阻挡和/或用于增强钴与其它层的粘附。钴内的晶粒边界也可以被非钴物质填充。在一个实施例中,填充材料122本质上仅由钴构成。在另一个实施例中,填充材料122为至少90%的钴。在又一个实施例中,填充材料122包括至少50%的钴。
填充材料122可以具有与晶种层120不同的成分。例如,晶种层120可以由硅和钴构成,而填充材料122仅由钴构成。在另一个示例中,晶种层120可以由第一基于钴的合金(例如上文所述的那些)构成,而填充材料122由第二不同的这种基于钴的合金构成。在一个实施例中,填充材料122可以具有与晶种层120不同的晶粒结构。例如,晶种层120可以具有比填充材料122的晶粒结构小的晶粒结构。
在本发明的实施例中,可以通过例如但不限于CVD、ALD、PVD、电镀或化学镀的工艺来形成钴填充材料122。在一个实施例中,用于形成填充材料122的工艺方法可以与用于形成晶种层120的工艺不同。此外,可以共形地形成晶种层120,而可以通过非共形或由下向上的方式来形成填充材料122。例如,可以通过在接收衬底的露出的表面上形成共形层的ALD沉积工艺来形成晶种层120,而可以通过将填充材料定向溅射到晶种层120的表面上的PVD工艺来形成填充材料122,并且在平坦表面上具有较大沉积速率,与在侧壁表面上相反。在另一个示例中,可以通过在接收衬底的露出的表面上形成共形层的ALD沉积工艺来形成晶种层120,而可以通过从晶种层120的表面生长填充材料的电镀工艺来形成填充材料122。在又一个示例中,晶种层120可以由CVD沉积工艺形成,并且填充材料可以由PVD工艺形成。
在另一个实施例中,晶种层120和填充材料122可以由相同的工艺(例如,ALD、CVD或PVD)形成,但具有不同的沉积参数组,例如压力、沉积速率、温度等。例如,晶种层120和填充材料122可以由CVD工艺沉积;然而,用于晶种层的CVD处理中使用的诸如沉积压力和温度的参数组可以与用于填充材料122的CVD处理中使用的参数组不同。在另一个示例中,晶种层和填充材料由PVD工艺形成,但晶种层可以由与用于形成填充材料的PVD工艺相比、在靶与接收衬底之间具有较大距离的PVD工艺形成。在另一个实施例中,金属填充材料由柱状(colummated)PVD工艺形成,而晶种层由非柱状PVD工艺形成。或者替代地,晶种层由具有比用于形成填充材料122的ALD工艺的沉积速率低的沉积速率的ALD工艺形成,以使晶种层120比填充材料122形成得更共形。
参考图1D,可以任选地执行退火工艺以使沉积的互连层回流。可以在退火工艺之后去除图1C中的接缝124,在电介质层102内的开口110和114内形成实体结构。退火工艺可以帮助在填充材料122内生长较大的晶粒结构,减小电阻并驱逐来自不良晶粒结构的杂质。在一个实施例中,退火工艺使用形成气体,例如包括但不限于氮气、氢气和氩气的气体。此外,可以在低于后端结构的热预算的温度下执行退火工艺。例如,在一个实施例中,在300℃到400℃的温度下执行退火工艺。在另一个实施例中,在高于填充材料122的熔点但低于后端结构的热预算的温度下执行退火工艺。
在又一个实施例中,可以任选地利用循环技术来在开口114和110内沉积互连层122,而不形成接缝124。一次循环可以包含填充材料122的一次沉积和一次退火工艺。可以将一次循环的退火操作设定在使填充材料短暂回流的温度和持续时间下,以改善阶梯覆盖。一次循环的沉积操作可以为沉积较少填充材料的短沉积,从而需要几次操作来完全填充通孔和线路开口114和110。在一个实施例中,需要小于5次循环来沉积填充材料122而不形成接缝124。
参考图1E,可以执行化学机械平面化(CMP)工艺来去除设置在电介质层102的顶表面108上方的填充材料122和晶种层120。在一个实施例中,CMP工艺可以是定时CMP工艺,其被定时以在线路电介质层的顶表面108处停止。在另一个实施例中,CMP工艺可以利用线路电介质层的顶表面108作为停止层。由于沉积在线路电介质层的顶表面上方的填充材料的厚度可以发生变化,所以利用顶表面108作为停止层可能是较可靠的方法。在替代的实施例中,使用蚀刻工艺来去除设置在电介质层102的顶表面108上方的填充材料122和晶种层120。
图2示出了根据本发明的实施例的具有钴金属互连的IC结构的一部分的截面200。电介质层102的堆叠体包括具有晶种层120和金属填充层124的金属互连124。图2中所示的IC结构的部分可以是例如在微处理器管芯或存储器管芯中发现的线路后端(BEOL)金属化结构的部分。
图3是示出根据本发明的实施例的形成钴金属互连的方法的流程图300。在302,在电介质层中形成开口以使衬底中的导电区露出。在304,与导电区接触的钴晶种层形成在衬底之上、以及电介质层之上和开口的侧壁上。在306,填充材料形成在晶种层上并且填充开口。填充材料由基于钴的材料构成。在308,在任选的实施例中,施加热量以使填充材料回流。在310,去除设置在电介质层的上表面之上的填充材料和晶种层材料。在一个这种实施例中,填充材料和晶种层都包括钴,但具有不同的材料成分。在另一个这种实施例中,填充材料和晶种层都包括钴,但由不同的沉积或生长技术形成。在又一个这种实施例中,填充材料和晶种层都包括钴,但具有不同的材料成分并且由不同的沉积或生长技术形成。
在第二方面中,基于钴的插塞形成在电介质层中的开口的上部(例如,通路孔或狭缝)中。然后基于钴的导电线路在开口的上部(例如,金属线路沟槽)中形成在基于钴的插塞上,以形成钴互连。
例如,图4A-4F示出了根据本发明的实施例的形成钴金属互连的另一种方法。如图4A所示,方法从与结合图1A所示出并描述的结构相同的结构开始。
参考图4B,插塞420形成在衬底106的导电区150上。在实施例中,如图所示,插塞420仅形成在电介质层102的上部开口114内,以使上部开口114完全被插塞材料420填充。然而,在另一个实施例中,插塞420的顶表面可以不与上部开口114的顶表面成一平面。例如,插塞420的顶表面可以比上部开口的顶表面高或低。在另一个实施例中,由于插塞420的形成期间的生长曲线分布,插塞420的顶表面可以形成蘑菇状圆顶。
在实施例中,以由下向上的方式形成插塞420。即,插塞420不是通过共形沉积而形成在图4A的结构之上的。例如,在一个实施例中,通过在导电区150的表面上选择性沉积并且然后从导电区150的表面生长来执行插塞420的形成。在具体实施例中,通过将插塞材料化学镀到导电区150的露出且兼容的表面上来形成插塞420。作为示例,导电区150具有上部金属化的或含金属的表面,例如钴(Co)、铜(Cu)或钨(W),并且通过化学镀沉积来形成基于钴的插塞420,化学镀沉积包含从导电区150的金属化的或含金属的表面开始由下向上生长。在这种工艺中,导电区150的金属化的或含金属的表面用作插塞420的材料的成核位置。在其它实施例中,可以使用其它适当的由下向上填充和生长沉积方法,例如但不限于电镀。由下向上填充方法是沉积速率在平面或平坦表面上比在垂直侧壁表面上快的方法。
插塞420可以是包括至少50%的钴的基于钴的插塞。如本文中所使用的,成分的%是指原子%。在具体实施例中,插塞420包括至少90%的钴。在任何这种情况下,如果有的话,插塞420成分的非钴剩余物可以包括Al、Ni、Cu、Ag、Au、Mn、Ti、V、Cr、Fe、Ta、W、Ru、P、B、C、N、Si、Ge、Mg、Zn、Rh、Pt、Cd、Hf、In、Sn、C、O、Be、Ca、Zr、Nb、Mo、Ir、Re或Pd中的一种或多种。在另一个实施例中,插塞420由基于钴的化合物或合金材料构成。例如,在一个实施例中,插塞420由低合金构成,低合金由大约0.25-5%的例如上文所列的那些的非钴元素构成,并且剩余物使用了大约95+%的钴。在沉积或沉积之后的处理期间,这种低合金可以包含非钴物质到钴的表面或界面的迁移。迁移可以为钴提供扩散阻挡和/或用于增强钴与其它层的粘附。钴内的晶粒边界也可以被非钴物质填充。然而,在其它实施例中,插塞420可以包括小于50%的钴,但仍然被称为基于钴的材料。基于钴的化合物插塞420材料的示例性实施例包括硅化钴或锗化钴插塞材料。在具体的这种实施例中,导电区150包括至少一些锗(例如,露出的掺杂的硅锗或掺杂的锗区、或金属锗化物区),并且插塞420材料是兼容的锗化钴层。在另一个具体的这种实施例中,导电区150包括至少一些硅(例如,露出的掺杂的硅区或金属硅化物区),并且插塞420材料是兼容的硅化钴层。基于钴的合金插塞420材料的示例性实施例包括与以下材料中的一种或多种形成合金的钴:Al、Ni、Cu、Ag、Au、Mn、Ti、V、Cr、Fe、Ta、W、Ru、P、B、C、N、Si、Ge、Mg、Zn、Rh、Pt、Cd、Hf、In、Sn、C、O、Be、Ca、Zr、Nb、Mo、Ir、Re或Pd。在上述实施例中,钴插塞420可以具有小晶粒结构。
参考图4C,可以在插塞420的露出的顶表面和电介质层102的顶表面108上任选地进行预处理422。可以通过等离子体工艺或离子轰击来执行预处理以增强稍后形成的化合物与被处理的表面的粘附。在一个实施例中,可以利用例如H2/He等离子体或Ar等离子体在从室温到高达大约300℃的温度下在等离子体腔中执行大约20到60秒的预处理。在另一个实施例中,预处理可以包括氩离子轰击。要理解,可以在工艺流程的其它阶段执行这种预处理,例如,在形成晶种层和/或插塞材料之前执行这种预处理。
参考图4D,填充材料424形成在插塞420上、上部开口110内、以及电介质层102的顶表面108上。在沉积填充材料424之后,可以在上部开口110内形成接缝426。在一个实施例中,填充材料424由包括钴的材料构成。例如,填充材料可以由以上针对填充材料122所描述的材料构成并且可以由以上针对填充材料122所描述的工艺来沉积。此外,填充材料424在组分和/或沉积技术方面可以与插塞420材料不同,其方式类似于以上针对晶种层120与填充材料122之间的任选差异所描述的那些。
参考图4E,可以任选地执行退火工艺以使沉积的填充材料424回流。可以在退火工艺之后从图4D去除接缝426,在电介质层102内的开口110和114内形成实体结构。退火工艺可以实现填充材料424内的较大晶粒结构的生长,减小电阻并驱逐来自其它情况下的不良晶粒结构的杂质。在一个实施例中,退火工艺包含例如但不限于氮气、氢气或氩气的形成气体的使用。此外,可以在低于后端结构的热预算的温度下执行退火工艺。例如,在一个实施例中,在300℃到400℃的温度下执行退火工艺。在另一个实施例中,在高于填充材料424的熔点但低于后端结构的热预算的温度下执行退火工艺。
在又一个实施例中,任选地利用循环技术来在开口110内沉积填充材料424,而不形成接缝426。一次循环可以包含填充材料424的单次沉积和单次退火工艺。可以将一次循环的退火操作设定在使填充材料424短暂回流的温度和持续时间下,以改善阶梯覆盖。一次循环的沉积操作可以是沉积较少的填充材料424的短沉积,从而需要几次操作来完全填充上部开口110。在一个实施例中,需要小于5次循环来沉积填充材料424,而不形成接缝426。
参考图4F,可以执行CMP工艺来去除电介质层102的顶表面108上方的填充材料424,以提供基于钴的结构428。在一个实施例中,CMP工艺可以是定时的CMP工艺,其被定时,以在线路电介质层的顶表面108处停止。在另一个实施例中,CMP工艺可以利用电介质层102的顶表面108作为停止层。由于沉积在电介质层102的顶表面108上方的填充材料的厚度可以变化,所以利用顶表面108作为停止层可能是更可靠的方法。在替代的实施例中,使用蚀刻工艺来去除设置在电介质层102的顶表面108上方的填充材料424。
在第三方面中,基于钴的插塞形成在电介质层中的开口的下部(例如,通路孔或狭缝)中。接下来基于钴的晶种层形成在开口的上部(例如,金属线沟槽)中,位于基于钴的插塞之上。然后基于钴的导电线路形成在基于钴的晶种层上,以填充上部开口,从而形成钴互连。
例如,图5A-5F示出了根据本发明的实施例的形成具有含钴晶种层和含钴填充层的钴互连的方法。如图5A所示,方法从与结合图1A所示出和描述的结构相同的结构开始。接下来,参考图5B,结合图4B描述的基于钴的插塞420形成在电介质层102的下部开口114中、衬底106的导电区150上。因此,图5B的所产生的结构可以如上文针对图4B的结构所描述的那样。
参考图5C,基于钴的晶种层524与图5B的结构共形沉积。例如,在一个实施例中,基于钴的晶种层524形成在电介质层102的上部开口114中和露出的插塞420上。晶种层524的成分和形成晶种层524的方法可以如针对图1B的晶种层120所描述的那样。此外,还如结合图1B所描述的那样,在沉积晶种层524之前,可以形成阻挡层。
参考图5D,填充材料526形成在晶种层524上、上部开口110内、以及电介质层102的顶表面108上。在沉积填充材料526之后,接缝528可以形成在开口110内。填充材料层526的成分和形成填充材料层526的方法可以如针对图1C的填充材料122所描述的那样。此外,填充材料526在成分和/或沉积技术方面可以与晶种层524材料不同,其方式类似于以上针对填充材料122与晶种层120之间的任选差异所描述的那样。此外,尽管在实施例中,插塞420、晶种层524和填充材料526全都是基于钴的,但它们都可以在成分和/或沉积技术方面彼此不同,如以上针对填充材料122与晶种层120之间以及填充材料424与插塞420之间的任选差异所描述的那样。
参考图5E,可以任选地执行退火工艺来使沉积的填充材料526回流。可以在退火工艺之后从图5D去除接缝528,在电介质层102的开口110内形成实体结构。退火工艺可以实现填充材料526内的较大晶粒结构的生长,减小电阻并驱逐来自不良晶粒结构的杂质。在一个实施例中,退火工艺包含例如但不限于氮气、氢气或氩气的形成气体的使用。此外,可以在低于后端结构的热预算的温度下执行退火工艺。例如,在一个实施例中,在300℃到400℃的温度下执行退火工艺。在另一个实施例中,在高于填充材料526的熔点但低于后端结构的热预算的温度下执行退火工艺。
在又一个实施例中,可以利用循环技术来在开口110内沉积填充材料526,而不形成接缝528。一次循环可以包含填充材料526的一次沉积和一次退火工艺。可以将一次循环的退火操作设定在使填充材料短暂回流的温度和持续时间下,以改善阶梯覆盖。一次循环的沉积操作可以是沉积较少填充材料的短沉积,从而需要几次操作来完全填充开口510。在一个实施例中,需要小于5次循环来沉积填充材料526,而不形成接缝528。
参考图5F,可以执行CMP工艺来去除设置在电介质层102的顶表面108上方的填充材料526和晶种层524,以提供基于钴的结构530。在一个实施例中,CMP工艺可以是定时的CMP工艺,其被定时,以在电介质层102的顶表面108处停止。在另一个实施例中,CMP工艺可以利用电介质层102的顶表面108作为停止层。由于沉积在电介质层102的顶表面108上方的填充材料的厚度可以变化,所以利用顶表面108作为停止层可能是更可靠的方法。在替代的实施例中,使用蚀刻工艺来去除设置在电介质层102的顶表面108上方的填充材料526和晶种层524。
图6是示出根据本发明的实施例的形成钴金属互连的方法的流程图600。在602,在电介质层中形成开口以使衬底中的导电区露出。在604,在开口的下部中形成与导电区接触的钴插塞。在606,填充材料形成在插塞上并且填充开口。填充材料由基于钴的材料构成。在608,在任选的实施例中,施加热量以使填充材料回流。在610,去除设置在电介质层的上表面上方的填充材料。在一个这种实施例中,填充材料和插塞都包括钴,但具有不同的材料成分。在另一个这种实施例中,填充材料和插塞都包括钴,但由不同的沉积或生长技术来形成。在又一个这种实施例中,填充材料和插塞都包括钴,但具有不同的材料成分并且由不同的沉积或生长技术形成。在另一个实施例中,晶种层形成在插塞与填充材料之间。晶种层也可以是基于钴的层。
在第四方面中,半导体器件的金属栅极电极至少部分地由钴构成。即,本发明的实施例不必限制于形成基于钴的互连。
在示例中,图7描绘了根据本发明的实施例的在衬底702上制造的金属氧化物半导体场效应晶体管(MOS-FET)700。栅极电介质层704设置在沟道区706上方,并且栅极电极708设置在栅极电介质层704上方。栅极电介质层704和栅极电极708可以被栅极隔离间隔体710隔离。可以通过向衬底702中注入掺杂原子来形成尖端扩展712。可以通过在衬底702的蚀刻掉的部分中有选择地生长外延膜来形成例如应变感生的源极/漏极区720的源极和漏极区,并且源极和漏极区被原位掺杂或在外延膜生长之后被掺杂或二者。在实施例中,尖端扩展712可以与源极和漏极区同时形成,以创建“外延”尖端扩展。在典型的MOS-FET中,沟道区706由诸如单晶硅的半导体材料构成。在实施例中,栅极电极708为金属栅极电极,例如,栅极电极700的功函数基于金属层或含金属层。在一个这种实施例中,金属栅极电极包括至少一些钴。例如,在具体实施例中,金属栅极电极708包括基于钴的功函数设定层700A和其上的附加填充金属700B,如图7所示。在另一个具体实施例中,整个栅极电极708由一种基于钴的材料构成。在又一个具体实施例中,仅栅极电极708的填充材料770B是基于钴的,而功函数设定层700A不包括钴。在任何这些情况下,基于钴的材料或膜由上述方法形成。例如,基于钴的材料或膜包括至少90%的钴。在具体的这种实施例中,基于钴的材料或膜由低合金构成,低合金具有大约0.25-5%的非钴元素、以及大约95+%的钴的剩余物。
同样,要理解,MOS-FET 700可以是平面器件,或包括三维主体,例如,如在双栅极、鳍状物FET、三栅极或栅极全包围晶体管中那样。这样一来,衬底702可以是平面衬底或者可以描绘三维主体的截面图。此外,在一个实施例中,可以使用替换栅极工艺来制造栅极电极708,并且尤其是金属栅极电极,在替换栅极工艺中,通过平面化工艺露出虚设栅极(例如多晶硅或电介质虚设栅极),并且然后去除虚设栅极以形成开口。然后利用金属栅极(例如,包括钴的金属栅极电极)填充开口。随后可以通过化学机械抛光(CMP)来去除在这种填充期间形成在层间电介质层740上方的任何材料。在这种替换栅极工艺流程中,要理解,也可以替换栅极电介质层,产生具有形成在栅极电极708与间隔体710之间的侧壁部分的栅极电介质层,如图7中所示。最后,要理解,为了清晰起见,仅描绘了MOS-FET700的几个特征。要理解,如现有技术中公知的那样,还可以包括例如层间电介质层740的隔离层、以及用于将MOS-FET 700集成到例如集成电路中的金属化布线层。
图8示出了根据本发明的一种实施方式的计算设备800。计算设备800容纳板802。板802可以包括若干部件,包括但不限于处理器804和至少一个通信芯片806。处理器804物理和电耦合到板802。在一些实施方式中,至少一个通信芯片806也物理和电耦合到板802。在其它实施方式中,通信芯片806是处理器804的部分。
根据其应用,计算设备800可以包括其它部件,所述其它部件可以或可以不与板802物理和电耦合。这些其它部件包括但不限于易失性存储器(例如DRAM)、非易失性存储器(例如,ROM)、闪速存储器、图形处理器、数字信号处理器、密码处理器、芯片集、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编解码器、视频编解码器、功率放大器、全球定位系统(GPS)设备、罗盘、加速度计、陀螺仪、扬声器、照相机和大容量存储设备(例如硬盘驱动器、光盘(CD)、数字多功能盘(DVD)等)。
通信芯片806可以实现用于来往于计算设备800的数据传输的无线通信。术语“无线”及其衍生词可以用于描述电路、设备、系统、方法、技术、通信信道等,其可以通过使用调制的电磁辐射而经由非固态介质传送数据。术语并不暗示相关联的设备不包含任何线路,尽管在一些实施例中相关联的设备可能不包含任何线路。通信芯片806可以实施多种无线标准或协议中的任何一种,所述多种无线标准或协议包括但不限于Wi-Fi(IEEE802.11族)、WiMAX(IEEE 802.16族)、IEEE 802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、及其衍生物、以及被指定为3G,4G,5G和更高代的任何其它无线协议。计算设备800可以包括多个通信芯片806。例如,第一通信芯片806可以专用于较短范围的无线通信,例如Wi-Fi和蓝牙,并且第二通信芯片806可以专用于较长范围的无线通信,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等。
计算设备800的处理器804包括封装在处理器804内的集成电路管芯。在本发明的一些实施方式中,处理器的集成电路管芯包括根据本发明的实施方式构建的一个或多个钴互连。术语“处理器”可以指处理来自寄存器和/或存储器的电子数据以将这些电子数据转换成可以存储在寄存器和/或存储器中的其它电子数据的任何设备或设备的一部分。
通信芯片806也包括封装在通信芯片806内的集成电路管芯。根据本发明的另一种实施方式,通信芯片的集成电路管芯包括根据本发明的实施方式构建的一个或多个钴互连。
在其它实施方式中,计算设备800内容纳的另一个部件可以包含集成电路管芯,集成电路管芯包括根据本发明的实施方式构建的一个或多个钴互连。
在各种实施方式中,计算设备800可以是膝上型电脑、上网本、笔记本、超级本、智能电话、平板电脑、个人数字助理(PDA)、超级移动PC、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数字照相机、便携式音乐播放器或数字视频记录仪。在其它实施方式中,计算设备800可以是处理数据的任何其它电子设备。
在利用本发明的各方面时,对本领域技术人员而言将变得显而易见的是,以上实施例的组合或变化都可能用于形成包括钴的金属互连、以及形成包括钴的金属互连的方法。尽管已经采用具体到结构特征和/或方法动作的语言描述了本发明,但是要理解,所附权利要求中限定的本发明不必限于所述的具体特征或动作。相反,所公开的具体特征和动作要被理解为所要求保护的发明的用于示出本发明的特别得体的实施方式。

Claims (30)

1.一种金属互连结构,包括:
设置在衬底上的电介质层;
所述电介质层中的开口,其中,所述开口具有侧壁并且使所述衬底的导电区露出;
设置在所述衬底的所述导电区之上和所述开口的所述侧壁上的晶种层,所述晶种层包括钴;以及
位于所述开口内和所述晶种层的表面上的填充材料,所述填充材料包括钴并且具有与所述晶种层不同的晶粒结构或成分。
2.根据权利要求1所述的金属互连结构,其中,所述填充材料包括至少50原子%的钴。
3.根据权利要求1所述的金属互连结构,还包括:形成在所述晶种层与所述衬底的所述导电区和所述开口的所述侧壁之间的阻挡层,所述阻挡层包括从由钛、钽和氮构成的组中选择的至少一种元素。
4.根据权利要求3所述的金属互连结构,其中,所述晶种层还包括从由硅和锗构成的组中选择的至少一种元素。
5.根据权利要求3所述的金属互连结构,其中,所述晶种层和所述填充材料具有不同的晶粒结构。
6.根据权利要求3所述的金属互连结构,其中,所述晶种层和所述填充材料是不同的材料。
7.根据权利要求2所述的金属互连结构,其中,所述晶种层包括位于阻挡层的顶部的晶种层,并且其中,所述晶种层、所述阻挡层和所述填充材料是不同的材料。
8.根据权利要求4所述的金属互连结构,其中,所述填充材料本质上由钴构成。
9.一种形成金属互连结构的方法,包括:
在设置在衬底上的电介质层中形成开口,其中,所述开口使所述衬底的导电区露出;
通过包括第一参数组的第一方法来在所述衬底的所述导电区之上的所述开口中形成包括钴的晶种层;
通过包括第二参数组的第二方法来在所述晶种层的表面上形成包括钴的填充材料,其中,所述第一参数组与所述第二参数组不同,并且其中,所述填充材料具有与所述晶种层不同的晶粒结构或成分;以及
去除设置在所述电介质层的上表面上方的所述填充材料和所述晶种层的部分。
10.根据权利要求9所述的方法,其中,所述第一方法和所述第二方法是相同的方法。
11.根据权利要求9所述的方法,其中,所述第一方法和所述第二方法是不同的方法。
12.根据权利要求9所述的方法,其中,所述第一方法包括从由化学气相沉积(CVD)、原子层沉积(ALD)和物理气相沉积(PVD)构成的组中选择的方法。
13.根据权利要求9所述的方法,其中,所述第二方法包括从由CVD、ALD、PVD、电镀和化学镀构成的组中选择的方法。
14.根据权利要求9所述的方法,其中,所述去除包括化学机械抛光(CMP)。
15.根据权利要求9所述的方法,还包括:在所述晶种层与所述衬底的所述导电区和所述开口的所述侧壁之间形成阻挡层,所述阻挡层包括从由钛、钽和氮构成的组中选择的至少一种元素。
16.根据权利要求9所述的方法,其中,所述晶种层还包括从由硅和锗构成的组中选择的至少一种元素。
17.根据权利要求9所述的方法,其中,所述第一方法是共形的,并且所述第二方法是非共形的。
18.根据权利要求9所述的方法,其中,所述第一方法是慢沉积,并且所述第二方法是快沉积。
19.根据权利要求9所述的方法,还包括:对所述填充材料的回流、以及形成所述填充材料的步骤进行重复,直到所述开口被完全填充。
20.根据权利要求19所述的方法,其中,执行至少三次所述重复。
21.一种金属互连结构,包括:
设置在衬底上的电介质层;
设置在所述电介质层中并且使所述衬底中的导电区露出的开口,所述开口具有下部和上部;
设置在所述开口的所述下部中的包括钴的插塞;以及
设置在所述插塞上和所述开口的所述上部中的包括钴的填充材料,所述填充材料具有与所述晶种层不同的晶粒结构或成分。
22.根据权利要求21所述的金属互连结构,其中,所述插塞还包括从由磷和硼构成的组中选择的至少一种元素。
23.根据权利要求21所述的金属互连结构,其中,所述插塞和所述填充材料具有不同的晶粒结构。
24.根据权利要求21所述的金属互连结构,其中,所述插塞和所述填充材料具有不同的成分。
25.根据权利要求21所述的金属互连结构,还包括:设置在所述插塞之上和所述开口的至少所述上部的表面上的包括钴的晶种层。
26.根据权利要求25所述的金属互连结构,其中,所述晶种层、所述插塞和所述填充材料具有不同的晶粒结构或成分。
27.一种形成金属互连结构的方法,包括:
在设置在衬底上的电介质层中形成开口,使所述衬底中的导电区露出,所述开口具有上部和下部;
通过包括第一参数组的第一方法来在所述衬底的所述导电区之上和所述开口的至少所述下部内形成包括钴的插塞;
通过包括第二参数组的第二方法来在所述插塞、所述电介质层之上和所述开口的至少所述上部内形成包括钴的填充材料,其中,所述第一参数组与所述第二参数组不同,并且其中,所述填充材料具有与所述插塞不同的晶粒结构或成分;以及
去除设置在所述电介质层的上表面上方的所述填充材料的部分。
28.根据权利要求27所述的方法,其中,所述第一方法包括从由化学气相沉积(CVD)、原子层沉积(ALD)和物理气相沉积(PVD)构成的组中选择的方法,并且所述第二方法包括从由电镀和化学镀构成的组中选择的方法。
29.根据权利要求27所述的方法,其中,所述第一方法和所述第二方法是相同的方法。
30.根据权利要求27所述的方法,其中,所述第一方法和所述第二方法是不同的方法。
CN201380062154.7A 2012-12-28 2013-12-16 基于钴的互连及其制造方法 Active CN104813446B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710556412.7A CN107452711B (zh) 2012-12-28 2013-12-16 基于钴的互连及其制造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/730,184 US9514983B2 (en) 2012-12-28 2012-12-28 Cobalt based interconnects and methods of fabrication thereof
US13/730,184 2012-12-28
PCT/US2013/075336 WO2014105477A1 (en) 2012-12-28 2013-12-16 Cobalt based interconnects and methods of fabrication thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710556412.7A Division CN107452711B (zh) 2012-12-28 2013-12-16 基于钴的互连及其制造方法

Publications (2)

Publication Number Publication Date
CN104813446A true CN104813446A (zh) 2015-07-29
CN104813446B CN104813446B (zh) 2017-08-08

Family

ID=51016246

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201380062154.7A Active CN104813446B (zh) 2012-12-28 2013-12-16 基于钴的互连及其制造方法
CN201710556412.7A Active CN107452711B (zh) 2012-12-28 2013-12-16 基于钴的互连及其制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710556412.7A Active CN107452711B (zh) 2012-12-28 2013-12-16 基于钴的互连及其制造方法

Country Status (7)

Country Link
US (1) US9514983B2 (zh)
KR (1) KR101670620B1 (zh)
CN (2) CN104813446B (zh)
DE (1) DE112013005829T5 (zh)
GB (2) GB2562626B (zh)
TW (1) TWI538144B (zh)
WO (1) WO2014105477A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109216262A (zh) * 2017-06-29 2019-01-15 台湾积体电路制造股份有限公司 半导体装置的内连结构
CN109427734A (zh) * 2017-08-31 2019-03-05 台湾积体电路制造股份有限公司 互连结构及其制造方法
CN110176443A (zh) * 2018-02-19 2019-08-27 台湾积体电路制造股份有限公司 用于减小接触电阻的双金属通孔
CN110914972A (zh) * 2017-09-01 2020-03-24 英特尔公司 金属互连、装置、以及方法
CN112309964A (zh) * 2019-07-31 2021-02-02 台湾积体电路制造股份有限公司 半导体器件结构及其形成方法
CN112599472A (zh) * 2019-10-01 2021-04-02 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP6273257B2 (ja) 2012-03-27 2018-01-31 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステンによるフィーチャ充填
US9633861B2 (en) * 2013-03-12 2017-04-25 Applied Materials, Inc. Cu/barrier interface enhancement
US9953975B2 (en) * 2013-07-19 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming STI regions in integrated circuits
US9287170B2 (en) * 2013-11-27 2016-03-15 Taiwan Semiconductor Manufacturing Company Limited Contact structure and formation thereof
US9997457B2 (en) 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9275894B2 (en) * 2014-01-22 2016-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9601430B2 (en) * 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9397045B2 (en) 2014-10-16 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of damascene structure
US9659856B2 (en) * 2014-10-24 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Two step metallization formation
US10727122B2 (en) * 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9758896B2 (en) * 2015-02-12 2017-09-12 Applied Materials, Inc. Forming cobalt interconnections on a substrate
CN107251216B (zh) * 2015-02-25 2021-03-23 英特尔公司 用于微电子结构中的互连垫的表面末道层
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
CN107889539A (zh) 2015-06-03 2018-04-06 英特尔公司 贵金属在导电连接器的形成中的使用
US9786550B2 (en) 2015-06-25 2017-10-10 International Business Machines Corporation Low resistance metal contacts to interconnects
CN113215626A (zh) * 2015-06-30 2021-08-06 麦德美乐思公司 微电子电路中的互连部的钴填充
KR102392685B1 (ko) * 2015-07-06 2022-04-29 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
US9472502B1 (en) * 2015-07-14 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt interconnect techniques
US9722038B2 (en) * 2015-09-11 2017-08-01 International Business Machines Corporation Metal cap protection layer for gate and contact metallization
KR102310404B1 (ko) 2015-11-05 2021-10-07 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9805976B2 (en) * 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US10446496B2 (en) * 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US9576901B1 (en) 2016-02-25 2017-02-21 International Business Machines Corporation Contact area structure and method for manufacturing the same
US10438847B2 (en) 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
US9824970B1 (en) * 2016-06-27 2017-11-21 Globalfoundries Inc. Methods that use at least a dual damascene process and, optionally, a single damascene process to form interconnects with hybrid metallization and the resulting structures
US9780035B1 (en) 2016-06-30 2017-10-03 International Business Machines Corporation Structure and method for improved stabilization of cobalt cap and/or cobalt liner in interconnects
US9768063B1 (en) * 2016-06-30 2017-09-19 Lam Research Corporation Dual damascene fill
CN109477234B (zh) * 2016-07-18 2021-12-10 巴斯夫欧洲公司 包含用于无空隙亚微米结构填充的添加剂的钴镀覆用组合物
US10793947B2 (en) * 2016-08-14 2020-10-06 Entegris, Inc. Alloys of Co to reduce stress
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US9716063B1 (en) 2016-08-17 2017-07-25 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US9852990B1 (en) 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US9859215B1 (en) 2016-08-17 2018-01-02 International Business Machines Corporation Formation of advanced interconnects
US9941212B2 (en) 2016-08-17 2018-04-10 International Business Machines Corporation Nitridized ruthenium layer for formation of cobalt interconnects
US9831124B1 (en) * 2016-10-28 2017-11-28 Globalfoundries Inc. Interconnect structures
US9741609B1 (en) 2016-11-01 2017-08-22 International Business Machines Corporation Middle of line cobalt interconnection
US10329683B2 (en) 2016-11-03 2019-06-25 Lam Research Corporation Process for optimizing cobalt electrofill using sacrificial oxidants
US10043708B2 (en) * 2016-11-09 2018-08-07 Globalfoundries Inc. Structure and method for capping cobalt contacts
US20180138123A1 (en) 2016-11-15 2018-05-17 Globalfoundries Inc. Interconnect structure and method of forming the same
WO2018094329A1 (en) * 2016-11-20 2018-05-24 Applied Materials, Inc. Methods to selectively deposit corrosion-free metal contacts
KR20180068595A (ko) 2016-12-14 2018-06-22 삼성전자주식회사 반도체 장치
US10128151B2 (en) * 2016-12-16 2018-11-13 Globalfoundries Inc. Devices and methods of cobalt fill metallization
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
TWI809712B (zh) * 2017-01-24 2023-07-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10546785B2 (en) 2017-03-09 2020-01-28 International Business Machines Corporation Method to recess cobalt for gate metal application
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
US10186456B2 (en) 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10304735B2 (en) 2017-06-22 2019-05-28 Globalfoundries Inc. Mechanically stable cobalt contacts
US10566519B2 (en) * 2017-08-18 2020-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a flat bottom electrode via (BEVA) top surface for memory
US10074558B1 (en) * 2017-09-28 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with controlled air gaps
US10347529B2 (en) 2017-10-04 2019-07-09 Globalfoundries Inc. Interconnect structures
KR102376508B1 (ko) 2017-11-16 2022-03-18 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US11377748B2 (en) 2017-11-20 2022-07-05 Basf Se Composition for cobalt electroplating comprising leveling agent
US10796995B2 (en) * 2017-11-29 2020-10-06 Tohoku University Semiconductor devices including a first cobalt alloy in a first barrier layer and a second cobalt alloy in a second barrier layer
US10541199B2 (en) 2017-11-29 2020-01-21 International Business Machines Corporation BEOL integration with advanced interconnects
KR20230006054A (ko) 2017-11-30 2023-01-10 인텔 코포레이션 진보된 집적 회로 구조체 제조를 위한 핀 패터닝
US10340183B1 (en) 2018-01-02 2019-07-02 Globalfoundries Inc. Cobalt plated via integration scheme
US10204828B1 (en) 2018-02-09 2019-02-12 International Business Machines Corporation Enabling low resistance gates and contacts integrated with bilayer dielectrics
US10741497B2 (en) * 2018-02-15 2020-08-11 Globalfoundries Inc. Contact and interconnect structures
CN111902912A (zh) * 2018-03-26 2020-11-06 朗姆研究公司 用于金属互连层的中间层
US10431500B1 (en) 2018-03-27 2019-10-01 Globalfoundries Inc. Multi-step insulator formation in trenches to avoid seams in insulators
WO2019201623A2 (en) 2018-04-19 2019-10-24 Basf Se Composition for cobalt or cobalt alloy electroplating
US11004794B2 (en) 2018-06-27 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Partial barrier free vias for cobalt-based interconnects and methods of fabrication thereof
US11133247B2 (en) 2018-09-28 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Vias with metal caps for underlying conductive lines
US10971398B2 (en) 2018-10-26 2021-04-06 International Business Machines Corporation Cobalt interconnect structure including noble metal layer
US11424132B2 (en) * 2018-11-03 2022-08-23 Applied Materials, Inc. Methods and apparatus for controlling contact resistance in cobalt-titanium structures
US11380581B2 (en) * 2018-11-09 2022-07-05 Globalfoundries U.S. Inc. Interconnect structures of semiconductor devices having a via structure through an upper conductive line
JP2022510428A (ja) 2018-12-05 2022-01-26 ラム リサーチ コーポレーション ボイドフリーの低応力充填
US20220018035A1 (en) 2018-12-21 2022-01-20 Basf Se Composition for cobalt plating comprising additive for void-free submicron feature filling
US10818589B2 (en) 2019-03-13 2020-10-27 International Business Machines Corporation Metal interconnect structures with self-forming sidewall barrier layer
US11177162B2 (en) 2019-09-17 2021-11-16 International Business Machines Corporation Trapezoidal interconnect at tight BEOL pitch
US20210090991A1 (en) 2019-09-24 2021-03-25 Intel Corporation Integrated circuit structures having linerless self-forming barriers
US11462471B2 (en) * 2019-09-30 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Middle-of-line interconnect structure and manufacturing method
KR20210047405A (ko) * 2019-10-21 2021-04-30 삼성전자주식회사 반도체 소자
CN111211110A (zh) * 2020-01-14 2020-05-29 中国科学院微电子研究所 一种电子器件及其制作方法、集成电路和电子设备
US11929327B2 (en) 2020-01-29 2024-03-12 Taiwan Semiconductor Manufacturing Co., Inc. Liner-free conductive structures with anchor points
CN113299598A (zh) * 2020-02-24 2021-08-24 长鑫存储技术有限公司 一种半导体结构制造方法
US11615985B2 (en) * 2021-01-04 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with low-galvanic corrosion structures, and method of making same
US11944013B2 (en) 2021-09-17 2024-03-26 International Business Machines Corporation Magnetic tunnel junction device with minimum stray field
WO2023126259A1 (en) 2021-12-29 2023-07-06 Basf Se Alkaline composition for copper electroplating comprising a defect reduction agent
US20230223341A1 (en) * 2022-01-11 2023-07-13 Qualcomm Incorporated Low via resistance interconnect structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200945493A (en) * 2008-02-14 2009-11-01 Ibm Microstructure modification in copper interconnect structure
CN101687896A (zh) * 2007-04-09 2010-03-31 哈佛学院院长等 用于铜互连的氮化钴层及它们的形成方法
US20100164119A1 (en) * 2008-12-26 2010-07-01 Fujitsu Microelectronics Limited Semiconductor device and method of manufacturing the same
US20110163449A1 (en) * 2010-01-07 2011-07-07 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
KR20000041873A (ko) * 1998-12-23 2000-07-15 김영환 금속배선 형성방법
EP1087432A1 (en) * 1999-09-24 2001-03-28 Interuniversitair Micro-Elektronica Centrum Vzw A method for improving the quality of a metal layer deposited from a plating bath
US6440867B1 (en) * 2000-10-19 2002-08-27 Advanced Micro Devices, Inc. Metal gate with PVD amorphous silicon and silicide for CMOS devices and method of making the same with a replacement gate process
US6977224B2 (en) * 2000-12-28 2005-12-20 Intel Corporation Method of electroless introduction of interconnect structures
US7071086B2 (en) * 2003-04-23 2006-07-04 Advanced Micro Devices, Inc. Method of forming a metal gate structure with tuning of work function by silicon incorporation
JP4445213B2 (ja) * 2003-05-12 2010-04-07 株式会社日立製作所 半導体装置
US7304388B2 (en) 2003-06-26 2007-12-04 Intel Corporation Method and apparatus for an improved air gap interconnect structure
US7192495B1 (en) * 2003-08-29 2007-03-20 Micron Technology, Inc. Intermediate anneal for metal deposition
US6921711B2 (en) * 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
US7972970B2 (en) * 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US6967131B2 (en) * 2003-10-29 2005-11-22 International Business Machines Corp. Field effect transistor with electroplated metal gate
US7432200B2 (en) * 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
US8193641B2 (en) * 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates
KR20080049163A (ko) * 2006-11-30 2008-06-04 삼성전자주식회사 도전막 형성 방법, 이를 이용한 콘택 및 배선 형성 방법
US8039381B2 (en) * 2008-09-12 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist etch back method for gate last process
US7867891B2 (en) 2008-12-10 2011-01-11 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
JP5432621B2 (ja) * 2009-07-23 2014-03-05 富士通セミコンダクター株式会社 半導体装置の製造方法
US7956463B2 (en) * 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
US8637390B2 (en) * 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
US20120070981A1 (en) 2010-09-17 2012-03-22 Clendenning Scott B Atomic layer deposition of a copper-containing seed layer
US20120153483A1 (en) 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101687896A (zh) * 2007-04-09 2010-03-31 哈佛学院院长等 用于铜互连的氮化钴层及它们的形成方法
TW200945493A (en) * 2008-02-14 2009-11-01 Ibm Microstructure modification in copper interconnect structure
US20100164119A1 (en) * 2008-12-26 2010-07-01 Fujitsu Microelectronics Limited Semiconductor device and method of manufacturing the same
US20110163449A1 (en) * 2010-01-07 2011-07-07 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109216262A (zh) * 2017-06-29 2019-01-15 台湾积体电路制造股份有限公司 半导体装置的内连结构
CN109216262B (zh) * 2017-06-29 2023-07-14 台湾积体电路制造股份有限公司 半导体装置的内连结构与其形成方法
CN109427734A (zh) * 2017-08-31 2019-03-05 台湾积体电路制造股份有限公司 互连结构及其制造方法
CN109427734B (zh) * 2017-08-31 2021-07-23 台湾积体电路制造股份有限公司 互连结构及其制造方法
US11404309B2 (en) 2017-08-31 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Vias for cobalt-based interconnects and methods of fabrication thereof
US11908735B2 (en) 2017-08-31 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Vias for cobalt-based interconnects and methods of fabrication thereof
CN110914972A (zh) * 2017-09-01 2020-03-24 英特尔公司 金属互连、装置、以及方法
CN110176443A (zh) * 2018-02-19 2019-08-27 台湾积体电路制造股份有限公司 用于减小接触电阻的双金属通孔
CN110176443B (zh) * 2018-02-19 2023-08-22 台湾积体电路制造股份有限公司 用于减小接触电阻的双金属通孔
CN112309964A (zh) * 2019-07-31 2021-02-02 台湾积体电路制造股份有限公司 半导体器件结构及其形成方法
CN112599472A (zh) * 2019-10-01 2021-04-02 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
KR20150070339A (ko) 2015-06-24
GB201509998D0 (en) 2015-07-22
CN107452711B (zh) 2022-08-30
GB2522825B (en) 2018-12-12
GB201808072D0 (en) 2018-07-04
TWI538144B (zh) 2016-06-11
GB2522825A (en) 2015-08-05
DE112013005829T5 (de) 2015-09-24
GB2562626B (en) 2019-02-20
WO2014105477A1 (en) 2014-07-03
KR101670620B1 (ko) 2016-10-28
US20140183738A1 (en) 2014-07-03
CN107452711A (zh) 2017-12-08
US9514983B2 (en) 2016-12-06
CN104813446B (zh) 2017-08-08
GB2562626A (en) 2018-11-21
TW201442184A (zh) 2014-11-01

Similar Documents

Publication Publication Date Title
CN104813446A (zh) 基于钴的互连及其制造方法
CN111403413B (zh) 具有使用背面衬底减薄形成的半导体插塞的三维存储设备
JP6652245B2 (ja) コバルトベースの複数のインターコネクトおよびそれらの複数の製造方法
TWI578438B (zh) 無電式填充傳導結構
CN105529269B (zh) 减小接触电阻的技术
US7381989B2 (en) Semiconductor device including upper and lower transistors and interconnection between upper and lower transistors
CN107743653A (zh) 用于半导体结构的金属特征的自底向上填充(buf)
TW201110324A (en) Semiconductor device and CMOS device and integrated circuit
TW201545341A (zh) 場效電晶體裝置及形成電性接觸之方法
US20180076139A1 (en) Contact for semiconductor device
TW202145561A (zh) 電晶體
CN105575888A (zh) 金属互连结构的形成方法
CN106206406A (zh) 一种半导体器件及其制作方法和电子装置
TW202245260A (zh) 半導體裝置與其形成方法
TW202145365A (zh) 半導體元件及其製造方法
CN113366638B (zh) 三维存储器器件和用于形成其的方法
TWI773086B (zh) 用於形成立體(3d)記憶體元件的方法
CN115527991A (zh) 具有石墨烯帽的集成电路互连结构
CN110914972A (zh) 金属互连、装置、以及方法
US20200411644A1 (en) Amorphization and regrowth of source-drain regions from the bottom-side of a semiconductor assembly
JP2024516136A (ja) Dramコンタクト形成のためのシステムおよび方法
CN109950151A (zh) Pmos晶体管及其形成方法
CN106328578A (zh) 一种半导体器件及其制作方法和电子装置
KR20100121379A (ko) 반도체 소자 및 그 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant