CN111902912A - 用于金属互连层的中间层 - Google Patents

用于金属互连层的中间层 Download PDF

Info

Publication number
CN111902912A
CN111902912A CN201980022122.1A CN201980022122A CN111902912A CN 111902912 A CN111902912 A CN 111902912A CN 201980022122 A CN201980022122 A CN 201980022122A CN 111902912 A CN111902912 A CN 111902912A
Authority
CN
China
Prior art keywords
layer
metal
substrate
intermediate layer
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980022122.1A
Other languages
English (en)
Inventor
游正义
萨曼莎·西亚姆华·坦
鲍里斯·沃洛斯基
阿图尔·科利奇
潘阳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111902912A publication Critical patent/CN111902912A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种在衬底上沉积金属互连层的方法包括:将衬底布置在处理室中的衬底支撑件上;以及在所述衬底上沉积被配置成用作黏附层、扩散阻挡层和籽晶层中的至少一者的中间层。沉积所述中间层包括供应金属‑有机前体,所述金属‑有机前体包括具有金属‑硅化物(M‑Si)键的第一材料。所述方法还包括:在所述中间层上沉积所述金属互连层。

Description

用于金属互连层的中间层
相关申请的交叉引用
本申请要求于2018年3月26日提交的美国临时申请No.62/648,035的权益。以上申请的全部公开内容通过引用合并于此。
技术领域
本公开涉及在衬底处理系统中用于金属互连层的黏附层的沉积。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分以及在提交申请时不能确定为现有技术的描述的各方面中描述的范围内的当前指定的发明人的工作既不明确也不暗示地承认是针对本公开的现有技术。
在诸如半导体晶片之类的衬底的处理期间,执行各种衬底处理,包括沉积、图案化、蚀刻、光致抗蚀剂去除等。用于执行沉积的衬底处理系统通常包括具有衬底支撑件的处理室,该衬底支撑件例如是基座、静电卡盘(ESC)等。衬底被布置在衬底支撑件上,并且一种或多种工艺气体(例如,前体气体、载气等)可以使用气体输送系统引入处理室。
在一些示例中,工艺使用包括但不限于化学气相沉积(CVD)和/或原子层沉积(ALD)之类的沉积工艺来在衬底上沉积薄膜。在一些示例中,例如在等离子体增强CVD(PECVD)工艺中,等离子体可用于激活化学反应。
发明内容
一种在衬底上沉积金属互连层的方法包括:将衬底布置在处理室中的衬底支撑件上;以及在所述衬底上沉积被配置成用作黏附层、扩散阻挡层和籽晶层中的至少一者的中间层。沉积所述中间层包括供应金属-有机前体,所述金属-有机前体包括具有金属-硅化物(M-Si)键的第一材料。所述方法还包括:在所述中间层上沉积所述金属互连层。
在其他特征中,所述金属互连层包括与所述中间层的M-Si键相同的金属。所述衬底包括对应于二氧化硅(SiO2)层、低k介电层、超低k(ULK)介电层、热氧化物(TOx)层、氮化硅(SiN)层、硅层和金属氧化物(例如,MOx)介电层中的至少一者的下伏层,并且所述黏附中间物沉积在所述下伏层上。
在其他特征中,沉积所述中间层还包括与所述金属-有机前体一起供应硅烷气体、氨气、氢气、氯气、溴气、氟气、氩气和氦气中的一种或多种。沉积所述中间层还包括在将所述金属-有机前体供应至所述处理室的同时激活所述处理室中的等离子体。沉积所述中间层包括交替地供应所述金属-有机前体和激活所述处理室中的等离子体。在一些示例中,在所述处理室处于以下两者中的至少一者的同时,供应所述金属-有机前体:保持在大于或等于300℃和被加热到大于或等于300℃。沉积所述中间层包括交替地供应所述金属-有机前体和激活所述处理室内的光照射。
在其他特征中,所述中间层的厚度介于0.3nm和300nm之间。所述金属M包括钴(Co)、镍(Ni)、铁(Fe)、铜(Cu)、钨(W)、钽(Ta)、钛(Ti)、钼(Mo)、钌(Ru)、铝(Al)、铬(Cr)、镁(Mg)和铱(Ir)中的至少一种。所述金属-有机前体包括四羰基(三氯甲硅烷基)钴。所述中间层是MxSiy膜,并且其中,x和y是整数。所述MxSiy膜是CoxSiy膜。沉积所述金属互连层包括使用化学气相沉积、原子层沉积和无电沉积中的至少一种来沉积所述金属互连层。
一种用于在布置在处理室中的衬底支撑件上的衬底上沉积金属互连层的系统包括:气体输送系统,其被配置成将一种或多种工艺气体供应到处理室;和控制器,其被配置为控制所述气体输送系统以通过将包括具有金属-硅化物(M-Si)键的第一材料的金属-有机前体供应到所述处理室中,在所述衬底上沉积被配置为用作黏附层、扩散阻挡层和籽晶层中的至少一者的中间层,以及在所述中间层上沉积所述金属互连层。
在其他特征中,所述控制器被配置为控制所述气体输送系统以沉积包含与所述中间层的M-Si键相同的金属的所述金属互连层。所述衬底包括对应于二氧化硅(SiO2)层、低k介电层、超低k(ULK)介电层、热氧化物(TOx)层、氮化硅(SiN)层、硅层和金属氧化物(例如,MOx)介电层中的至少一者的下伏层,并且所述控制器被配置为控制所述气体输送系统以在所述下伏层上沉积所述中间层。
在其他特征中,所述控制器被配置为控制所述气体输送系统以进一步通过供给硅烷气体、氨气、氢气、氯气、溴气、氟气、氩气和氦气中的一种或多种与所述金属-有机前体来沉积所述中间层。所述控制器被配置为在将所述金属-有机前体供应至所述处理室的同时激活所述处理室中的等离子体以沉积所述中间层。所述控制器被配置为交替地控制所述气体输送系统以供应所述金属-有机前体和激活所述处理室中的等离子体以沉积所述中间层。在一些示例中,所述控制器被配置为控制所述气体输送系统以在所述处理室处于以下两者中的至少一者的同时,供应所述金属-有机前体:保持在大于或等于300℃和被加热到大于或等于300℃。所述控制器被配置为交替地控制所述气体输送系统以供应所述金属-有机前体和激活所述处理室内的光照射以沉积所述中间层。
在其他特征中,所述中间层的厚度介于0.3nm和300nm之间。所述金属M包括钴(Co)、镍(Ni)、铁(Fe)、铜(Cu)、钨(W)、钽(Ta)、钛(Ti)、钼(Mo)、钌(Ru)、铝(Al)、铬(Cr)、镁(Mg)和铱(Ir)中的至少一种。所述金属-有机前体包括四羰基(三氯甲硅烷基)钴。所述中间层是MxSiy膜,并且其中,x和y是整数。所述MxSiy膜是CoxSiy膜。所述控制器被配置为控制所述气体输送系统以使用化学气相沉积、原子层沉积和无电沉积中的至少一种以沉积所述金属互连层来沉积所述金属互连层。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1是根据本公开的衬底处理系统的一示例的功能框图;
图2是根据本公开的另一示例性衬底处理系统的功能框图;
图3是根据本公开的一示例性黏附层沉积工艺;
图4是根据本公开的用于沉积黏附层和金属互连层的一示例性方法;
图5是根据本公开的用于沉积黏附层和金属互连层的另一示例方法;
图6是根据本公开的用于沉积黏附层和金属互连层的另一示例性方法;以及
图7是根据本公开的用于沉积黏附层和金属互连层的另一示例性方法。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
沉积工艺包括但不限于原子层沉积(ALD)、化学气相沉积(CVD)和等离子体增强CVD(PECVD)工艺,其可用于在下伏层或衬底上沉积膜。例如,可以使用各种沉积工艺来沉积诸如金属薄膜(例如,金属互连层)之类的薄膜。
一些示例金属,例如钴(Co),可以具有对于金属互连层更合乎期望的性质。例如,与可用于金属互连层的其他金属相比,钴具有期望的性质,例如较低的电阻率、缩放系数和熔融温度。但是,金属互连层对下伏层的黏附性可能不令人满意。例如,钴层与下伏层(例如二氧化硅(SiO2)层或超低k(ULK)介电层)之间的黏附性可能不令人满意,导致钴层与下伏层脱层(即分离)。
因此,一些工艺可以在下伏层上沉积中间黏附层,以促进金属薄膜的黏附。黏附层沉积在下伏层上,而钴层沉积在黏附层上。黏附层必须对下伏层具有高黏附性,同时也必须非常薄,以限制电阻并最小化设备的整体厚度。在一些示例中,进一步期望黏附层充当用于随后沉积钴层的籽晶层。一些示例性黏附层包括但不限于氮化钽(TaN)、氮化钛(TiN)、碳氮化钨(WCN)等。然而,利用这些现有的黏附层材料,很难在获得足够的黏附性的同时还实现其他所需的性能。
根据本公开的原理的系统和方法实现了中间层(例如,黏附层),该中间层包含具有待沉积在金属互连层中的金属(例如,钴)的材料和硅。例如,黏附层的沉积包括提供包含金属-硅化物(MSi)键的金属-有机前体(例如,四羰基(三氯甲硅烷基)钴),以沉积MxSiy薄膜。换句话说,黏附层包括已经包含MSi键的分子。MxSiy膜用作金属层的黏附层和/或籽晶层。在一些示例中,金属-硅化物键可包括硅化钴(CoSi)键,薄膜包括CoxSiy膜,并且金属层包括钴层。
在一示例中,四羰基(三氯甲硅烷基)钴在交替沉积步骤(例如,交替时间段)与等离子体步骤(例如,氢(H2)或硅烷(SiH4)等离子体)中供应,以在下伏层上沉积CoxSiy薄膜。例如,可以在交替步骤之间激活等离子体以从CoxSiy混合物中去除配体。沉积的CoxSiy薄膜可以具有0.3-300nm的厚度。在一些示例中,CoxSiy薄膜可以具有小于10nm(例如0.3-5nm)的厚度。
然后,使用例如CVD、ALD、无电沉积(ELD)等将金属层(例如,钴层)沉积在MxSiy薄膜上。MxSiy用作黏附层和/或籽晶层以促进钴层的沉积。以这种方式,沉积包括已经包含M-Si键的分子的黏附层提供了具有改善的黏附性的非常薄(例如,几个单层)的膜。此外,非常薄的黏附层使电阻率和设备的整体厚度最小化。在一些示例中,沉积的MxSiy薄膜可以进一步用作扩散阻挡层。
在一些示例中,在不使用等离子体的情况下沉积MxSiy薄膜。例如,代替如上所述的交替沉积步骤与等离子体步骤,可以在处理室保持在高温(例如,大于300℃,或者在一些示例中,大于600℃)的同时供应四羰基(三氯甲硅烷基)钴,以从MxSiy(例如,CoxSiy)混合物中热去除配体。
现在参考图1,示出了被配置为执行根据本公开的原理的黏附层沉积工艺的衬底处理系统100的示例。尽管本公开描述了在同一处理室中执行黏附层和金属(例如,钴)层的沉积,但是可以使用两个或更多个分离的处理室。此外,如本文中为简单起见,作为黏附层所述,本公开的沉积工艺可以对应于中间层的沉积,该中间层可以被配置为充当黏附层、扩散阻挡层和籽晶层中的一种或多种。
衬底处理系统100包括处理室104,处理室104包围衬底处理系统100的其他部件并且在使用时容纳RF等离子体。衬底处理系统100包括上电极108和衬底支撑件,该衬底支撑件例如包括下电极116的基座112。衬底120被布置在基座112上、在上电极108和下电极116之间。如下面更详细描述的,衬底120可以包括AlN层。
仅作为示例,上电极108可以包括引入和分配工艺气体的喷头124。替代地,上电极108可以包括导电板,并且可以以另一种方式引入工艺气体。下电极116可以布置在不导电的基座中。替代地,基座112可以包括静电卡盘,该静电卡盘包括充当下电极116的导电板。
当使用等离子体时,RF产生系统128产生RF电压并将RF电压输出到上电极108和下电极116中的一个。上电极108和下电极116中的另一个可以是DC接地、AC接地或浮置的。仅作为示例,RF产生系统128可以包括RF电压产生器132,其产生由匹配和分配网络136馈送到上电极108或下电极116的RF电压。
示例性的气体输送系统140包括一个或多个气体源144-1、144-2、…和144-N(统称为气体源144),其中N是大于零的整数。气体源144供应一种或多种气体和/或流体(例如,前体、惰性气体等)及其混合物。也可以使用汽化的前体。气体源144中的至少一个可以包含在本公开的黏附层沉积工艺中使用的前体气体或流体(例如,包含CoSi键的金属-有机前体,诸如四羰基(三氯甲硅烷基)钴)。例如,金属-有机前体可以对应于溶解在溶剂中的固体前体、气体等。气体源144中的另一个可以包括载气,包括但不限于氢、氯、溴、氟、氩、氦等。气体源144通过阀148-1、148-2、…和148-N(统称为阀148)和质量流量控制器152-1、152-2、…和152-N(统称为质量流量控制器152)连接至歧管156。歧管156的输出供给至处理室104。仅作为示例,歧管156的输出供给至喷头124。
加热器160可以连接到布置在基座112中的加热器线圈(未示出)以加热基座112。加热器160可以用于控制基座112和衬底120的温度。阀164和泵168可以用于从处理室104排出反应物。控制器172可以用于控制衬底处理系统100的各个部件。仅举例来说,控制器172可以用于控制:工艺气体、载气和前体气体的流动,激励和熄灭等离子体,反应物的去除,室参数的监测等等。根据本发明的原理的控制器172还被配置为在衬底120的下伏层上沉积金属互连层(例如,钴)之前,实施黏附层沉积工艺,如下面更详细描述的。
现在参考图2,示出了被配置为执行根据本公开的原理的黏附层沉积工艺的衬底处理系统200的另一示例。在该示例中,衬底处理系统200可以被配置为在不使用等离子体的情况下实施黏附层沉积工艺。衬底支撑件204(例如,诸如ALD基座之类的基座)布置在处理室208内。衬底212在处理期间布置在衬底支撑件204上。
气体输送系统220包括与阀224-1、224-2、...224-N(统称为阀224)和质量流量控制器226-1、226-2,...和226-N(统称为MFC 226)连接的气体源222-1、222-2,...和222-N(统称为气体源222)。气体源222中的至少一个可以包含在本公开的黏附层沉积工艺中使用的前体气体或流体。气体源222中的另一个可以包含载气。MFC 226控制气体从气体源222到歧管228的流动,气体在歧管228中混合。歧管228的输出经由任选的压力调节器232被供应到歧管236。歧管236的输出物被输入到多喷射器喷头240。虽然示出了歧管228和236,但是可以使用单个歧管。
在一些示例中,衬底支撑件204可包括冷却剂通道264。冷却流体从流体贮存器268和泵270被供应到冷却剂通道264。压力传感器272、274可被分别布置在歧管228或歧管236中,以测量压力。阀278和泵280可用于从处理室208中排出反应物和/或控制处理室208内的压力。
控制器282包括剂量控制器284,该剂量控制器284控制由多喷射器喷头240提供的剂量。控制器282还控制来自气体输送系统220的气体输送。控制器282控制处理室中的压力和/或使用阀278和泵280对反应物进行的排放。控制器282可以被配置为控制衬底支撑件204和衬底212的温度。根据本公开的原理的控制器282还被配置为在将金属互连层沉积在衬底212的下伏层上之前实施黏附层沉积工艺,如下面更详细描述的。
现在参考图3,示出了黏附层沉积工艺的一个示例。在300处,提供了下伏层304(例如,衬底)。例如,下伏层304可以包括但不限于二氧化硅(SiO2)层、低k或超低k(ULK)介电层、热氧化物(TOx)层、氮化硅(SiN)层、硅层、金属氧化物(例如,MOx)介电层等。下伏层304可以包括一个或多个特征,例如沟槽308。在312处,将黏附层316沉积在下伏层304上。在一些示例中,黏附层316可以包含硅和要沉积在金属互连层中的金属(例如,钴)。例如,黏附层316包括CoxSiy薄膜。在该示例中,沉积黏附层316包括供应金属-有机前体,例如四羰基(三氯甲硅烷基)钴。沉积黏附层316可以包括交替地供应金属-有机前体和产生等离子体和/或供应金属-有机前体而没有等离子体,如下文更详细地描述。金属-有机前体可以与载气以液体或气体、溶解在溶剂中的固体等形式提供。
在320处,金属互连层(例如,钴层,诸如钴薄膜)324沉积在黏附层316上。金属互连层324可以对应于镀敷层和/或镀敷和填充层。例如,可以使用ELD工艺来沉积金属互连层324。黏附层316可以在金属互连层324的沉积期间进一步用作籽晶层。在一些示例中,黏附层316可以用作扩散阻挡层以防止金属从金属互连层324扩散到相邻的介电材料中(例如,下伏层304)。在其他示例中,黏附层316可以用作电分流层。
包含具有CoSi键的分子的各种金属-有机前体可以用于沉积黏附层316。在这些示例中,CoSi分子可以进一步包括钴和一氧化碳(CO)之间的键和/或硅与氯(Cl)之间的键。尽管被描述为CoSi键,但是在一些示例中,金属-有机前体可以包括M-Si键,其中M对应于合适的金属(例如,对应于待沉积在黏附层316上的金属互连层324的金属)。分子可能对应于M-Si键,其中金属M与Y键合,且硅与X键合,M对应于钴(Co)、镍(Ni)、铁(Fe)、铜(Cu)、钨(W)、钽(Ta)、钛(Ti)、钼(Mo)、钌(Ru)、铝(Al)、铬(Cr)、镁(Mg)或铱(Ir),X对应于氢(H)、氯(Cl)、一氧化碳(CO)、氟(F)、溴(Br)或甲烷(CH4)、且Y和X对应于H、Cl、CO、F、Br或CH4或一个或更多的有机配体(例如,R指示的有机配体)。
一种或多种共反应气体(也可以起载气的作用)可以与金属-有机前体一起提供。所供应的气体对应于氢气,但是可以使用其他气体,例如硅烷溴、氟气、氩气、氦气和/或它们的组合。在一些示例中,可以根据期望的挥发性来选择金属-有机前体和/或所供应的气体。
现在参照图4,根据本公开的原理的用于沉积黏附层和金属互连层的示例性方法400开始于404。在408处,将衬底或具有下伏层的衬底布置在处理室中(例如,在处理室104中)。衬底和/或下伏层可以对应于以上在图3中描述的下伏层304。
在412处,方法400可以任选地在衬底上执行预处理工艺。预处理工艺可以调节衬底的表面以促进与黏附层材料的反应。例如,预处理工艺可包括使一种或多种气体流动并激活等离子体以产生与衬底表面上的M-Si反应的羟基(例如,OH)。气体可以包括但不限于二氧化碳(CO2)、分子氢(H2)、氨气(例如,NH3)、四氯化硅(SiCl4)、SiH4等。在其他示例中,预处理工艺可以包括用其他材料处理衬底的表面,其他材料包括但不限于硅烷、氟化氢等。
在416处,方法400将金属-有机前体供应至处理室104。处理室104可维持在0-900℃且在介于5mTorr与760Torr之间的压强下。金属-有机前体可以包括包含M-Si(金属-硅键)的材料。金属-有机前体可以与一种或多种其他气体(例如载气和/或共反应气体)(例如H2、SiH4、NH3等)连续和/或交替循环供应。在一些示例中,金属-有机前体和其他气体可以在ALD工艺中以交替的步骤添加。在420处,方法400可以在衬底上执行后处理工艺(例如,等离子体处理、光辐射、退火等)。在一些示例中,后处理工艺可以从处理室104中的气体混合物中去除配体和/或将衬底表面上的金属-有机前体转化为黏附层(例如,MxSiy膜)。黏附层可以具有介于0.3nm和300nm之间的厚度。
在424,在黏附层上沉积金属薄膜(例如,金属互连层)。金属互连层可以包括Co、Ni、Fe、Cu、W、Ta、Ti、Mo、Ru、Al、Cr、Mg或Ir,如上文在图3中所述。可以使用液相沉积工艺(例如,电镀、ELD等)或气相沉积工艺(例如,CVD、ALD、PECVD、溅射等)来沉积金属互连层。方法400在428处结束。
现在参考图5,根据本公开的原理的用于沉积黏附层和金属互连层的示例性方法500开始于504。在该示例中,可以使用等离子体(例如,使用在图1中所描述的衬底处理系统100)来实现方法500。在508,将衬底或具有下伏层的衬底布置在处理室中(例如,在处理室104中)。衬底和/或下伏层可以对应于以上在图3中描述的下伏层304。
在512,方法500可以任选地在衬底上执行预处理工艺。预处理工艺可以调节衬底的表面以促进与黏附层材料的反应。例如,预处理工艺可包括使一种或多种气体流动并激活等离子体以产生与衬底表面上的Co-Si反应的羟基(例如,OH)。气体可以包括但不限于二氧化碳(CO2)、分子氢(H2)、氨气(例如,NH3)、四氯化硅(SiCl4)、SiH4等。在其他示例中,预处理工艺可以包括用其他材料处理衬底的表面,其他材料包括但不限于硅烷、氟化氢等。
在516,方法500将金属-有机前体供应至处理室104,金属-有机前体包含Co-Si键,例如四羰基(三氯甲硅烷基)钴。处理室104可维持在0-900℃且在介于5mTorr与760Torr之间的压强下。金属-有机前体可以与一种或多种其他气体(例如载气和/或共反应气体)(例如H2、SiH4、NH3等)连续和/或交替循环供应。在一些示例中,金属-有机前体和其他气体可以在ALD工艺中以交替的步骤添加。在520,在处理室104内激活等离子体,以将衬底表面上的金属-有机前体转换成黏附层(例如,Co-Si膜)。可以与金属-有机前体、载气等的供给在相同的时间段(例如,连续地)和/或交替地激活等离子体,以从处理室104中的气体混合物中去除配体。黏附层的厚度可以介于0.3和300nm之间。
在524,将金属薄膜(例如,金属互连层)沉积在黏附层上。金属互连层可以包括Co、Ni、Fe、Cu、W、Ta、Ti、Mo、Ru、Al、Cr、Mg或Ir,如上文图3中所述。可以使用液相沉积工艺(例如,电镀、ELD等)或气相沉积工艺(例如,CVD、ALD、PECVD、溅射等)来沉积金属互连层。方法500在528处结束。
现在参考图6,根据本公开的原理的用于沉积黏附层和金属互连层的示例性方法600开始于604。在该示例中,可以使用等离子体(例如,使用在图1中所描述的衬底处理系统100)来实现方法600。在608,将衬底或具有下伏层的衬底布置在处理室中(例如,在处理室104中)。衬底和/或下伏层可以对应于以上在图3中描述的下伏层304。
在612,方法600可以任选地在衬底上执行预处理工艺。预处理工艺可以调节衬底的表面以促进与黏附层材料的反应。例如,预处理工艺可包括使一种或多种气体流动并激活等离子体以产生与衬底表面上的Co-Si反应的羟基(例如,OH)。气体可以包括但不限于二氧化碳(CO2)、分子氢(H2)、氨气(例如,NH3)、四氯化硅(SiCl4)、SiH4等。在其他示例中,预处理工艺可以包括用其他材料处理衬底的表面,其他材料包括但不限于硅烷、氟化氢等。
在616,方法600将金属-有机前体供应至处理室104。处理室104可维持在0-900℃且在介于5mTorr与760Torr之间的压强下。金属-有机前体可以包括包含Co-Si或其他金属-硅键的材料,例如四羰基(三氯甲硅烷基)钴。金属-有机前体可以与一种或多种其他气体(例如载气和/或共反应气体)(例如H2、SiH4、NH3等)连续和/或交替循环供应。在一些示例中,金属-有机前体和其他气体可以在ALD工艺中以交替的步骤添加。在620,在处理室104内激活等离子体,以将衬底表面上的金属-有机前体转换成黏附层(例如,Co-Si或其他MxSiy膜)。可以在与金属-有机前体、载气等的供给相同的时间段中(例如,连续地)和/或交替地激活等离子体,以从处理室104中的气体混合物中去除配体。黏附层的厚度可以介于0.3和300nm之间。
在624,将金属薄膜(例如,金属互连层)沉积在黏附层上。金属互连层可以包括Co、Ni、Fe、Cu、W、Ta、Ti、Mo、Ru、Al、Cr、Mg或Ir,如上文图3中所述。可以使用液相沉积工艺(例如,电镀、ELD等)或气相沉积工艺(例如,CVD、ALD、PECVD、溅射等)来沉积金属互连层。方法600在628处结束。
现在参考图7,根据本公开的原理的用于沉积黏附层和金属互连层的另一示例性方法700在704处开始。在该示例中,可以在没有使用等离子体(例如,使用图1所示的衬底处理系统100、图2所示的衬底处理系统200等)的情况下来实现方法700。在708,将衬底或具有下伏层的衬底布置在处理室中(例如,在处理室208中)。在712处,方法700可以任选地在衬底上执行预处理工艺,如上文图6中所述。
在716,方法700将金属-有机前体供应至处理室208以在衬底上沉积黏附层。处理室208可以保持在300℃或更高(例如,在一些示例中为600℃或更高)并且在介于5mTorr和760Torr之间的压强下。金属-有机前体可以与一种或多种其他气体(例如,载气和/或共反应气体)连续地和/或交替地循环供应,如上文在图6中所述的。在该示例中,等离子体不在处理室208内被激活。而是,使用非等离子体(例如,ALD(例如,在ALD工艺中的交替步骤中)、CVD等)工艺来沉积黏附层。在一示例中,将处理室208保持在大于或等于300℃(例如600℃或更高)的温度以从处理室208中的气体混合物中热去除配体。在另一示例中,处理室208可以在较高温度(例如300℃、600℃等)和较低温度(例如小于300℃)之间循环。黏附层可以具有介于0.3nm和300nm之间的厚度。在720,将金属薄膜(例如,金属互连层)沉积在黏附层上。方法700在724处结束。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个,可以在任何其它实施方式的特征中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括工艺气体的输送、温度设置(例如加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的加载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (28)

1.一种在衬底上沉积金属互连层的方法,所述方法包括:
将衬底布置在处理室中的衬底支撑件上;
在所述衬底上沉积被配置成用作黏附层、扩散阻挡层和籽晶层中的至少一者的中间层,其中沉积所述中间层包括供应金属-有机前体,所述金属-有机前体包括具有金属-硅化物(M-Si)键的第一材料;以及
在所述中间层上沉积所述金属互连层。
2.根据权利要求1所述的方法,其中,所述金属互连层包括与所述中间层的M-Si键相同的金属。
3.根据权利要求1所述的方法,其中所述衬底包括对应于二氧化硅(SiO2)层、低k介电层、超低k(ULK)介电层、热氧化物(TOx)层、氮化硅(SiN)层、硅层或金属氧化物(例如,MOx)介电层中的至少一者的下伏层,并且所述中间层沉积在所述下伏层上。
4.根据权利要求1所述的方法,其中沉积所述中间层还包括与所述金属-有机前体一起供应硅烷、氨气、氢气、氯气、溴气、氟气、氩气和氦气中的一种或多种。
5.根据权利要求1所述的方法,其中,沉积所述中间层还包括在将所述金属-有机前体供应至所述处理室的同时激活所述处理室中的等离子体。
6.根据权利要求1所述的方法,其中,沉积所述中间层包括交替地供应所述金属-有机前体和激活所述处理室中的等离子体。
7.根据权利要求1所述的方法,其中,在所述处理室处于以下两者中的至少一者的同时,供应所述金属-有机前体:保持在大于或等于300℃和被加热到大于或等于300℃。
8.根据权利要求1所述的方法,其中,沉积所述中间层包括交替地供应所述金属-有机前体和激活所述处理室内的光照射。
9.根据权利要求1所述的方法,其中,所述中间层的厚度介于0.3nm和300nm之间。
10.根据权利要求1所述的方法,其中,所述金属M包括钴(Co)、镍(Ni)、铁(Fe)、铜(Cu)、钨(W)、钽(Ta)、钛(Ti)、钼(Mo)、钌(Ru)、铝(Al)、铬(Cr)、镁(Mg)和铱(Ir)中的至少一种。
11.根据权利要求10所述的方法,其中所述金属-有机前体包括四羰基(三氯甲硅烷基)钴。
12.根据权利要求10所述的方法,其中,所述中间层是MxSiy膜,并且其中,x和y是整数。
13.根据权利要求12所述的方法,其中,所述MxSiy膜是CoxSiy膜。
14.根据权利要求1所述的方法,其中沉积所述金属互连层包括使用化学气相沉积、原子层沉积和无电沉积中的至少一种来沉积所述金属互连层。
15.一种用于在布置在处理室中的衬底支撑件上的衬底上沉积金属互连层的系统,该系统包括:
气体输送系统,其被配置成将一种或多种工艺气体供应到处理室;和
控制器,其被配置为控制所述气体输送系统以:
通过将包括具有金属-硅化物(M-Si)键的第一材料的金属-有机前体供应到所述处理室中,在所述衬底上沉积被配置为用作黏附层、扩散阻挡层和籽晶层中的至少一者的中间层,以及
在所述中间层上沉积所述金属互连层。
16.根据权利要求15所述的系统,其中,所述控制器被配置为控制所述气体输送系统以沉积包含与所述中间层的M-Si键相同的金属的所述金属互连层。
17.根据权利要求15所述的系统,其中所述衬底包括对应于二氧化硅(SiO2)层、低k介电层、超低k(ULK)介电层、热氧化物(TOx)层、氮化硅(SiN)层、硅层和金属氧化物(例如,MOx)介电层中的至少一者的下伏层,并且所述控制器被配置为控制所述气体输送系统以在所述下伏层上沉积所述中间层。
18.根据权利要求15所述的系统,其中所述控制器被配置为控制所述气体输送系统以进一步通过供给硅烷气体、氨气、氢气、氯气、溴气、氟气、氩气和氦气中的一种或多种与所述金属-有机前体来沉积所述中间层。
19.根据权利要求15所述的系统,其中所述控制器被配置为在将所述金属-有机前体供应至所述处理室的同时激活所述处理室中的等离子体以沉积所述中间层。
20.根据权利要求15所述的系统,其中所述控制器被配置为交替地控制所述气体输送系统以供应所述金属-有机前体和激活所述处理室中的等离子体以沉积所述中间层。
21.根据权利要求15所述的系统,其中所述控制器被配置为控制所述气体输送系统以在所述处理室处于以下两者中的至少一者的同时,供应所述金属-有机前体:保持在大于或等于300℃和被加热到大于或等于300℃。
22.根据权利要求15所述的系统,其中所述控制器被配置为交替地控制所述气体输送系统以供应所述金属-有机前体和激活所述处理室内的光照射以沉积所述中间层。
23.根据权利要求15所述的系统,其中,所述中间层的厚度介于0.3nm和300nm之间。
24.根据权利要求15所述的系统,其中,所述金属M包括钴(Co)、镍(Ni)、铁(Fe)、铜(Cu)、钨(W)、钽(Ta)、钛(Ti)、钼(Mo)、钌(Ru)、铝(Al)、铬(Cr)、镁(Mg)和铱(Ir)中的至少一种。
25.根据权利要求24所述的系统,其中所述金属-有机前体包括四羰基(三氯甲硅烷基)钴。
26.根据权利要求24所述的系统,其中,所述中间层是MxSiy膜,并且其中,x和y是整数。
27.根据权利要求26所述的系统,其中,所述MxSiy膜是CoxSiy膜。
28.根据权利要求15所述的系统,其中所述控制器被配置为控制所述气体输送系统以使用化学气相沉积、原子层沉积和无电沉积中的至少一种以沉积所述金属互连层来沉积所述金属互连层。
CN201980022122.1A 2018-03-26 2019-03-18 用于金属互连层的中间层 Pending CN111902912A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862648035P 2018-03-26 2018-03-26
US62/648,035 2018-03-26
PCT/US2019/022696 WO2019190795A1 (en) 2018-03-26 2019-03-18 Intermediate layer for metal interconnect layer

Publications (1)

Publication Number Publication Date
CN111902912A true CN111902912A (zh) 2020-11-06

Family

ID=68060388

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980022122.1A Pending CN111902912A (zh) 2018-03-26 2019-03-18 用于金属互连层的中间层

Country Status (3)

Country Link
KR (1) KR20200126011A (zh)
CN (1) CN111902912A (zh)
WO (1) WO2019190795A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230377997A1 (en) * 2022-04-19 2023-11-23 Applied Materials, Inc. Contact formation process for cmos devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101658804A (zh) * 2009-09-17 2010-03-03 大连理工大学 一种高性能负载型金属硅化物催化剂的制备方法及其应用
US20110086509A1 (en) * 2001-07-25 2011-04-14 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20160204027A1 (en) * 2015-01-09 2016-07-14 Applied Materials, Inc. Direct deposition of nickel silicide nanowire

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5725739A (en) * 1996-07-08 1998-03-10 Micron Technology, Inc. Low angle, low energy physical vapor deposition of alloys
JP3175721B2 (ja) * 1999-02-05 2001-06-11 日本電気株式会社 半導体装置の製造方法
US9514983B2 (en) * 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9790247B2 (en) * 2013-01-31 2017-10-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110086509A1 (en) * 2001-07-25 2011-04-14 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
CN101658804A (zh) * 2009-09-17 2010-03-03 大连理工大学 一种高性能负载型金属硅化物催化剂的制备方法及其应用
US20160204027A1 (en) * 2015-01-09 2016-07-14 Applied Materials, Inc. Direct deposition of nickel silicide nanowire

Also Published As

Publication number Publication date
KR20200126011A (ko) 2020-11-05
WO2019190795A1 (en) 2019-10-03

Similar Documents

Publication Publication Date Title
JP6749764B2 (ja) 非晶質炭素ハードマスク膜の炭素‐水素含有量を減少させるシステムおよび方法
CN105845551B (zh) 衬底处理系统中用作硬掩模的无定形碳和硅膜的金属掺杂
US7407876B2 (en) Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
KR102632800B1 (ko) 금속 옥사이드를 환원시키고 제거함으로써 저 저항률 금속 콘택트들 및 상호접속부들을 형성하는 시스템들 및 방법들
JP7296378B2 (ja) Pecvd金属ドープ炭素ハードマスクのための同質界面層を蒸着するためのシステムおよび方法
TW201428853A (zh) 用以沉積具有低表面粗糙度及低電阻率之鎢膜的方法
WO2007111779A2 (en) Method of integrating peald ta-containing films into cu metallization
TWI717336B (zh) 硬遮罩用金屬介電膜之沉積
JP2021522407A (ja) エッジエクスクルージョン制御
CN113169056A (zh) 用于钨的钼模板
TW201819664A (zh) 用於增強的填充與減小的基板攻擊之鎢的原子層沉積
US20240084443A1 (en) Systems and methods for homogenous intermixing of precursors in alloy atomic layer deposition
TW202240664A (zh) 特徵部中的鉬沉積
CN111902912A (zh) 用于金属互连层的中间层
CN115943487A (zh) 低电阻率触点和互连件
CN114270476A (zh) 选择性碳沉积
CN112753091A (zh) 双频硅烷基二氧化硅沉积以最小化膜的不稳定性

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination