CN104115270A - 具有包含多个金属氧化物层的绝缘体堆叠体的金属-绝缘体-金属(mim)电容器 - Google Patents

具有包含多个金属氧化物层的绝缘体堆叠体的金属-绝缘体-金属(mim)电容器 Download PDF

Info

Publication number
CN104115270A
CN104115270A CN201180076377.XA CN201180076377A CN104115270A CN 104115270 A CN104115270 A CN 104115270A CN 201180076377 A CN201180076377 A CN 201180076377A CN 104115270 A CN104115270 A CN 104115270A
Authority
CN
China
Prior art keywords
metal oxide
oxide layer
layer
metal
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180076377.XA
Other languages
English (en)
Other versions
CN104115270B (zh
Inventor
N·林德特
T·E·格拉斯曼
A·巴兰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN104115270A publication Critical patent/CN104115270A/zh
Application granted granted Critical
Publication of CN104115270B publication Critical patent/CN104115270B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

本发明描述了一种具有包含多个金属氧化物层的绝缘体堆叠体的金属-绝缘体-金属(MIM)电容器。例如,用于半导体器件的MIM电容器包括设置于电介质层中的沟槽,所述电介质层设置于衬底上方。沿着沟槽的底部和侧壁设置第一金属板。绝缘体堆叠体设置于第一金属板上方并与第一金属板共形。绝缘体堆叠体包括具有第一介电常数的第一金属氧化物层、和具有第二介电常数的第二金属氧化物层。第一介电常数大于第二介电常数。MIM电容器还包括设置于绝缘体堆叠体上方并与绝缘体堆叠体共形的第二金属板。

Description

具有包含多个金属氧化物层的绝缘体堆叠体的金属-绝缘体-金属(MIM)电容器
技术领域
本发明的实施例属于动态随机存取存储器的领域,并且具体而言,属于具有包含多个金属氧化物层的绝缘体堆叠体的金属-绝缘体-金属(MIM)电容器的领域。
背景技术
在过去几十年中,集成电路中的特征的缩放已经成为不断发展的半导体工业背后的驱动力。缩放到越来越小的特征使得能够增大半导体芯片的有限基板面上的功能单元的密度。例如,缩小晶体管尺寸允许芯片上包含的存储器设备的数量增加,从而制造出具有更大的容量的产品。然而,对于越来越大容量的追求并不是没有问题。对每个器件的性能进行最优化的必要性变得越发显著。
在诸如DRAM(动态随机存取存储器)之类的半导体器件中,每个单元由一个晶体管和一个电容器构成。在DRAM中,单元要求定期进行读和刷新。归功于较低的每单元比特的价格、高集成度、以及同时执行读和写操作的能力的优势,DRAM已经在商业应用中得到了广泛的使用。同时,由于外部因素造成的电容器中所存储的电荷的丢失可能在DRAM器件中产生被称为“软错误(soft error)”的现象,因此导致DRAM的故障。为了防止软错误的发生,已经提出了提高电容器的电容的方法。然而,由于半导体器件的不断提高的高水平的集成度,在制定实际制造工艺方面存在挑战。
此外,金属线通常集成在与电容器层分开的层中。在示例中,铜金属层形成在一组电容器上方,并且与电容器不在同一层中。图1表示这种示例,其中穿过电容器电介质层而形成金属线的过孔,以将上部金属线层连接到下部器件层。具体地,图1是形成于电介质层中的电容器的截面视图,所述电介质层与根据现有技术的用于容纳金属布线的电介质层不同。
参考图1,第一层间绝缘层103形成于具有单元阵列区102的半导体衬底101上。对第一层间绝缘层103进行构图以形成暴露单元阵列区102上的半导体衬底101的接触孔,并且所述接触孔填充有导电材料以形成下部的电极接触插头105A。蚀刻停止层107和第二层间绝缘层109依次形成于所产生的结构上。
在单元阵列区102中依次对第二层间绝缘层109和蚀刻停止层107进行蚀刻,以形成下部的电极接触插头105A和存储节点孔111,该存储节点孔111暴露了围绕下部电极接触插头的第一层间绝缘层103。在用于下部电极的材料层共形堆叠在所产生的结构上之后,实施平面化工艺以形成覆盖存储节点孔111的底部和内侧壁的下部电极113。依次将电介质层115和上部电极层117堆叠在半导体衬底101上,并进行构图。穿过电容器电介质层(例如,电介质层109、以及甚至层间电介质层120)而形成金属线122的过孔124,以将上部金属线122层连接到具有单元阵列区102的半导体衬底101。
发明内容
本发明的实施例包括具有包含多个金属氧化物层的绝缘体堆叠体的金属-绝缘体-金属(MIM)电容器。
在实施例中,用于半导体器件的MIM电容器包括设置于电介质层中的沟槽,所述电介质层设置于衬底上方。沿着沟槽的底部和侧壁设置第一金属板。绝缘体堆叠体设置于第一金属板上方,并且与第一金属板共形。绝缘体堆叠体包括具有第一介电常数的第一金属氧化物层和具有第二介电常数的第二金属氧化物层。第一介电常数大于第二介电常数。MIM电容器还包括设置于绝缘体堆叠体上方并与绝缘体堆叠体共形的第二金属板。
在另一个实施例中,半导体结构包括设置于衬底中或上方的多个半导体器件。一个或多个电介质层设置于多个半导体器件上方。金属布线设置于电介质层中的每个层中,并且电耦合到一个或多个半导体器件。半导体结构还包括设置于沟槽中的金属-绝缘体-金属(MIM)电容器,该沟槽设置于电介质层中的至少一层中,所述金属-绝缘体-金属(MIM)电容器与电介质层中的至少一层的金属布线相邻。MIM电容器与一个或多个半导体器件电耦合,并且包括沿着沟槽的底部和侧壁设置的第一金属板。绝缘体堆叠体设置于第一金属板的上方,并与第一金属板共形。绝缘体堆叠体包括具有第一介电常数的第一金属氧化物层、具有第二介电常数的第二金属氧化物层、和具有第三介电常数的第三金属氧化物层。第一和第三介电常数大于第二介电常数。MIM电容器还包括设置于绝缘体堆叠体上方并与绝缘体堆叠体共形的第二金属板。
在另一个实施例中,制造嵌入式金属-绝缘体-金属(MIM)电容器的方法包括在设置于衬底上方的电介质层中形成沟槽。沿着沟槽的底部和侧壁形成第一金属板。在第一金属板上方形成第一金属氧化物层,所述第一金属氧化物层与第一金属板共形。在第一金属氧化物层上方形成不同的第二金属氧化物层,所述第二金属氧化物层与第一金属氧化物层共形。在第二金属氧化物层上方形成第三金属氧化物层,所述第三金属氧化物层与第二金属氧化物层共形。在第三金属氧化物层上方形成第二金属板,所述第二金属板与第三金属氧化物层共形。
附图说明
图1是根据现有技术的形成于电介质层中的电容器的截面视图,所述电介质层与用于容纳金属布线的电介质层不同。
图2A示出根据本发明的实施例的形成于容纳金属布线的单个电介质层中的电容器的截面视图,所述电容器具有包含多个金属氧化物层的绝缘体堆叠体。
图2B示出根据本发明的实施例的两个电介质层中的电容器的截面视图,每个电介质层容纳金属布线,并且所述电容器具有包含多个金属氧化物层的绝缘体堆叠体。
图2C示出根据本发明的实施例的具有包含多个金属氧化物层的绝缘体堆叠体的电容器的截面视图。
图3示出根据本发明的实施例的形成于容纳四级金属布线的单个电介质层中的电容器的截面视图。
图4示出根据本发明的实施例的形成于容纳三级和四级金属布线的两个电介质层中的电容器的截面视图。
图5是表示根据本发明的实施例的形成MIM电容器的方法中的操作的流程图,所述MIM电容器具有包含多个金属氧化物层的绝缘体堆叠体。
图6示出根据本发明的一种实施方式的计算设备。
具体实施方式
描述了一种具有包含多个金属氧化物层的绝缘体堆叠体的金属-绝缘体-金属(MIM)电容器。在下文的说明书中,阐述了大量的具体细节,例如具体金属布线层计数和材料方案(regime),以提供对本发明的实施例的深入理解。对本领域技术人员来说将显而易见的是,可以在没有这些具体细节的情况下实践本发明的实施例。在其它实例中,为了不非必要地使本发明的实施例难以理解,没有详细描述诸如集成电路设计布局之类的公知的特征。此外,应该理解的是,附图中所示的各种实施例是说明性的表示,并且未必是按比例绘制的。
在实施例中,MIM电容器的绝缘体堆叠体中包括氧化钛层(TiOx,其中x大约在1-2的范围内)。TiOx层可以是若干金属氧化物层中的一层,并且可以包含在用于改进DRAM容量的绝缘体堆叠体中。在一个实施例中,通过原子层沉积(ALD)来形成TiOx层。除了其它金属氧化物层,在MIM电容器的绝缘体堆叠体中使用TiOx层可以在保持极低的泄漏的同时提高DRAM电容器的电容,这对于改进的存储器保持时间(或减小的功率)来说可能是需要的。
在实施例中,在绝缘体堆叠体的主要或中央高K电介质膜之前和/或之后并入ALD TiOx层。在适合于eDRAM应用的电容器中,在主要或中央高K电介质膜之前和/或之后加入这种TiOx层可以在保持极低的泄漏的同时提供电容的大幅提升。好处可能是由于:TiOx层的介电常数大于主要或中央高K电介质膜的介电常数,所述主要或中央高K电介质膜例如是通常用于电容器的真正的高K电介质的ZrO2或HfO2层。替代地,TiOx层可以改变其上生长的主要或中央高K层的介电常数。利用TiOx层的较高的k还带来较小的带隙,该较小的带隙可能另外导致增大的电容器泄漏。然而,在实施例中,通过仍然包含适当厚度的宽带隙材料作为主要或中央高K层(例如,ZrO2或HfO2),可以在仍然受益于由TiOx层所提供的改进的电容的同时,避免增大的泄漏的副作用。在实施例中,通过在ZrO2或HfO2层之前和之后插入TiOx层来实现MIM电容器的电容的急剧增加(例如,加倍)。
因此,在本发明的一个或多个实施例中,嵌入式MIM电容器具有包含多个高k电介质层的绝缘体堆叠体。例如,图2A示出根据本发明的实施例的形成于容纳金属布线的单个电介质层中的电容器的截面视图,所述电容器具有包含多个金属氧化物层的绝缘体堆叠体。在另一个示例中,图2B示出根据本发明的实施例的形成在两个电介质层中的电容器的截面视图,每个电介质层容纳金属布线,并且所述电容器具有包含多个金属氧化物层的绝缘体堆叠体。
参考图2A和图2B,半导体结构200A或200B分别包括设置于衬底202中或上方的多个半导体器件。一个或多个电介质层204设置于衬底202中或上方的多个半导体器件上方。诸如铜金属布线之类的金属布线206设置于电介质层204中的每个层中。金属布线206电耦合到衬底202中或上方的一个或多个半导体器件。金属-绝缘体-金属(MIM)电容器208A或208B分别设置在电介质层204中的至少一层中。MIM电容器208A或208B与电介质层204中的至少一层的金属布线206相邻,并且电耦合到衬底202中或上方的一个或多个半导体器件。
MIM电容器包括沿着沟槽216A或216B的底部和侧壁设置的杯形金属板218。绝缘体堆叠体220设置于杯形金属板218上,并与杯形金属板218共形。沟槽填充金属板222设置于绝缘体堆叠体220上。绝缘体堆叠体220将沟槽填充金属板222与杯形金属板218隔离。
在实施例中,绝缘体堆叠体220包括一个或多个高K电介质层(介电常数大于二氧化硅的介电常数4的层),例如金属氧化物层。例如,在一个实施例中,绝缘体堆叠体220包括两个或更多不同的金属氧化物层,例如图2A和图2B中所描述的三个金属氧化物层220-1、220-2、220-3。
在实施例中,再次参考图2A和图2B,第一金属氧化物层220-1具有第一带隙,第二金属氧化物层220-2具有第二带隙,并且第一带隙比第二带隙窄。在一个这种实施例中,绝缘体堆叠体220还包括具有第三介电常数和第三带隙的第三金属氧化物层220-3,第三介电常数大于第二介电常数,并且第三带隙比第二带隙窄。在具体的这种实施例中,第一金属氧化物层220-1设置于杯形金属板218上方,第二金属氧化物层220-2设置于第一金属氧化物层220-1上方,并且第三金属氧化物层220-3设置于第二金属氧化物层220-2上方。在特定的这种实施例中,第一金属氧化物层220-1和第三金属氧化物层220-3由相同的材料构成,二者具有相同的带隙,并且具有相同的介电常数。
在实施例中,第一金属氧化物层220-1(以及,如果适用,第三金属氧化物层220-3)由氧化钛(TiOx,其中x大约在1-2的范围内)构成。然而其它适合的金属氧化物可以包括诸如氧化钽(Ta2O5)之类的种类。在实施例中,第二金属氧化物层220-2由氧化铪(HfO2)、氧化锆(ZrO2)、或它们的组合构成。在实施例中,第一金属氧化物层220-1具有大约在0.5-20纳米的范围内的厚度。在实施例中,第二金属氧化物层220-2的厚度大约为第一金属氧化物层220-1的厚度的三倍。
在实施例中,沟槽填充金属板222主要由铜构成。在实施例中,杯形金属板218由接近沟槽216A或216B的底部并远离绝缘体堆叠体220的铜层构成,并且还由接近绝缘体堆叠体220并远离沟槽216A或216B的底部的金属氮化物层构成。在一个实施例中,金属氮化物层是氮化钽层或氮化钛层。在实施例中,形成杯形金属板218的一个或多个铜层或金属氮化物层、或沟槽填充金属板222的铜的技术例如但不限于:电化学沉积工艺、无电沉积工艺、化学气相沉积工艺、原子层沉积(ALD)工艺、或回流工艺。应该理解的是,银、铝、或铜、银或铝的合金可以用于替换以上所描述的铜。同样,杯形金属板218可以是由铜、银、铝、或它们的合金形成的单层特征。在替代的实施例中,沟槽填充金属板222包括多层结构。在实施例中,杯形金属板218通过基底金属层而与下面的半导体器件电耦合,所述基底金属层可以是接触部或附加的金属布线层。
应该理解的是,金属布线206指的是例如用作互连线的金属线。金属布线206要与例如过孔207的过孔区分开,过孔207也可以容纳在电介质层204中,并且用于耦合不同电介质层204中的金属布线206,或用于利用一些其它的电接触部(例如,接触部210)来耦合金属布线。接触部210可以表示过孔207与半导体器件之间形成的实际接触结构、另一个过孔或另一个金属布线。MIM电容器208A或208B可以通过利用一些电接触部(例如,接触部212)来电耦合到衬底202中或上方的一个或多个半导体器件。接触部212可以表示MIM电容器208A或208B的底部与半导体器件之间形成的实际接触结构、另一个过孔或另一个金属布线。在实施例中,金属布线206的至少一部分电耦合到逻辑电路中包含的一个或多个半导体器件,并且MIM电容器208A或208B是嵌入式动态随机存取存储器(eDRAM)电容器。MIM电容器的顶部电极可以通过过孔来与MIM电容器上方的互连或金属布线层连接。在一个实施例中,这种连接提供了eDRAM的公共或地连接。
参考图2A,在一个实施例中,MIM电容器208A设置于电介质层204中的仅一层中。参考图2B,MIM电容器208B设置于电介质层204中的仅两层中。在该实施例中,MIM电容器208B与两个电介质层204中的每个层的金属布线206相邻,并且也与过孔207相邻,过孔207将两个电介质层204中的每层的金属布线206耦合。在其它实施例中,MIM电容器设置于电介质层中的多于两层中,并且与多于两个电介质层中的所有层的金属布线相邻。
再次参考图2A和图2B,半导体结构200A和200B分别还包括一个或多个蚀刻停止层214,例如氮化硅、氧化硅、或氮氧化硅蚀刻停止层。例如,蚀刻停止层可以设置于电介质层204中的每个层之间,以及最接近衬底202的电介质层正下方,如图2A和图2B中所示出的那样。在实施例中,MIM电容器208A或208B分别设置于沟槽216A或216B中,沟槽216A或216B设置于电介质层204中的至少一层中。
在实施例中,沟槽的侧壁包括垂直或近似垂直的轮廓,例如,图2B中所示出的沟槽216B的垂直或近似垂直的轮廓。在另一个实施例中,沟槽的侧壁从电介质层204中的至少一层的底部到电介质层204中的至少一层的顶部向外逐渐锥化(taper),例如,图2A中所示出的沟槽216A的锥形轮廓。与所示的两个实施例相反,其它实施例包括用于形成在单个电介质层204中的沟槽的垂直轮廓、或用于形成在两个或更多电介质层204中的沟槽的锥形轮廓。
在实施例中,电介质层204中的至少一层是低K电介质层(介电常数小于二氧化硅的介电常数4的层)。在一个实施例中,形成电介质层204中的至少一层的工艺例如但不限于:旋涂工艺、化学气相沉积工艺、或基于聚合物的化学气相沉积工艺。在特定实施例中,电介质层204中的至少一层由采用硅烷或有机硅烷作为前驱物气体的化学气相沉积工艺形成。在实施例中,电介质层204中的至少一层由如下材料构成:在依次形成于电介质层204中的至少一层中或上的一系列金属互连之间,对泄漏电流没有显著贡献的材料。在一个实施例中,电介质层204中的至少一层由在2.5到小于4的范围内的材料构成。在特定实施例中,构成电介质层204中的至少一层的材料例如但不限于:具有0-10%的孔隙率的硅酸盐或碳掺杂的氧化物。然而,在另一个实施例中,电介质层204中的至少一层由二氧化硅构成。
在实施例中,衬底202由适合于半导体器件制造的材料构成。在一个实施例中,衬底202是由可以包括但不限于如下材料的材料的单晶构成的块体衬底:硅、锗、硅-锗或Ⅲ-Ⅴ化合物半导体材料。在另一个实施例中,衬底202包括具有顶部外延层的块体层。在具体实施例中,块体层由可以包括但不限于如下材料的材料的单晶构成:硅、锗、硅-锗、Ⅲ-Ⅴ化合物半导体材料或石英,而顶部外延层由单晶层构成,所述单晶层可以包括但不限于:硅、锗、硅-锗或Ⅲ-Ⅴ化合物半导体材料。在另一个实施例中,衬底202包括中间绝缘层上的顶部外延层,所述中间绝缘层在下部块体层的上方。顶部外延层由单晶层构成,所述单晶层可以包括但不限于:硅(例如,以便形成绝缘体上硅(SOI)半导体衬底)、锗、硅-锗或Ⅲ-Ⅴ化合物半导体材料。构成绝缘层的材料可以包括但不限于:二氧化硅、氮化硅或氮氧化硅。下部块体层由单晶构成,所述单晶可以包括但不限于:硅、锗、硅-锗、Ⅲ-Ⅴ化合物半导体材料或石英。衬底202还可以包括掺杂剂杂质原子。
根据本发明的实施例,衬底202上或中具有制造在硅衬底中并包封在电介质层中的互补金属氧化物半导体(CMOS)晶体管的阵列。多个金属互连可以形成于晶体管上方,以及围绕的电介质层上,并且多个金属互连用于将晶体管电连接以形成集成电路。在一个实施例中,集成电路用于DRAM。
用于MIM电容器的金属板和绝缘体堆叠体的材料层的可能组合可以产生复杂堆叠体。在具体示例中,图2C示出根据本发明的实施例的具有包含多个金属氧化物层的绝缘体堆叠体的电容器的截面视图。
参考图2C,MIM电容器200C设置于电介质层204的沟槽216C中。MIM电容器包括第一金属板218C,第一金属板218C由设置于第一外部杯形导电层218C-1上的第一内部杯形导电层218C-2构成。绝缘体堆叠体220的第一金属氧化物层220-1设置于第一内部杯形导电层218C-2上。第二金属板222C由设置于第二内部杯形导电层222C-1上的第二外部杯形导电层222C-2构成。第二内部杯形导电层222C-1设置于绝缘体堆叠体220的第三金属氧化物层220-3上。第二金属氧化物层220-2设置于绝缘体堆叠体220的第一金属氧化物层22-10与第三金属氧化物层220-3之间。
在一个这种实施例中,第一外部杯形导电层218C-1由钽(Ta)构成,第一内部杯形导电层218C-2由氮化钛(TiN)构成,第一金属氧化物层220-1和第三金属氧化物层220-3中的每一者分别由氧化钛(TiOx,其中x大约在1-2的范围内)构成,第二金属氧化物层220-2由氧化铪(HfO2)、氧化锆(ZrO2)、或它们的组合构成,第二内部杯形导电层222C-1由碳化钽铝(TaAlC)构成,以及第二外部杯形导电层222C-2由钽(Ta)构成。在特定实施例中,嵌入式MIM电容器200C还包括导电的沟槽填充层260,例如铜或铝填充层。
用于将电容器结构与金属布线层合并的常规方法仅在电容器层之后和之上引入金属布线,例如铜线。在这种布置中,金属布线层并不与用于容纳电容器结构的电介质层共享电介质层。此外,在常规结构中,增加下部电极的高度的方法如同增加下部电极的表面积的方法一样是可用的,以提高电容。在一个这种方法中,增加了设置了下部电极的电介质层的厚度。然而,如果增加了厚度,则同样增加了工艺负担,因为当形成金属接触孔时需要大量的蚀刻。此外,由于金属布线不容纳在电介质层中,因而这种方法在金属布线层与相应的器件层之间产生了甚至更大的距离。
根据本发明的实施例,例如用于嵌入式动态随机存取存储器(DRAM)产品的电容器结构与金属布线层结合,以共享一个或多个容纳金属布线层的电介质层。例如,在一个实施例中,电容器结构的高度基本上是两个金属布线电介质层的高度,并且电容器结构形成于邻近两个金属布线层处。在另一个实施例中,电容器结构的高度基本上是仅一个金属布线电介质层的高度,并且电容器结构形成于邻近所述一个金属布线层处。然而,电容器高度可能需要是两个或更多个电介质层的高度,以提供足够的电容。电容器结构可以在设计金属布线层的版式之后形成于(多个)金属布线电介质层中。这种方法允许将DRAM电容器嵌入到逻辑(CPU)过程中。相反,常规方法以DRAM工艺开始,并且随后增加逻辑能力以制造嵌入式DRAM。
在本发明的一个或多个实施例中,诸如以上所述的电容器之类的嵌入式金属-绝缘体-金属(MIM)电容器包括在第四金属布线的电介质层中。例如,图3示出根据本发明的实施例的形成于容纳第四级金属布线的单个电介质层中的电容器的截面视图。
参考图3,半导体结构300包括设置于衬底302中或上方的多个半导体器件304。第一电介质层306设置于多个半导体器件304上方,并且具有设置于其中的接触部308,接触部308电耦合到多个半导体器件304。
第二电介质层310设置于第一电介质层306上方,并且具有设置于其中的第一金属布线314和一个或多个过孔312,一个或多个过孔312将第一金属布线314耦合到接触部308。第三电介质层316设置于第二电介质层310上方,并且具有设置于其中的第二金属布线320和一个或多个过孔318,一个或多个过孔318将第二金属布线320耦合到第一金属布线314。第四电介质层322设置于第三电介质层316上方,并且具有设置于其中的第三金属布线326和一个或多个过孔324,一个或多个过孔324将第三金属布线326耦合到第二金属布线320。第五电介质层328设置于第四电介质层322上方,并且具有设置于其中的第四金属布线332和一个或多个过孔330,一个或多个过孔330将第四金属布线332耦合到第三金属布线326。
第五电介质层328还具有设置于其中的金属-绝缘体-金属(MIM)电容器334的至少一部分。MIM电容器334与第四金属布线332相邻。MIM电容器例如通过金属布线与过孔的堆叠体342并且贯穿到接触部308而电耦合到一个或多个半导体器件304。第六电介质层336设置于第五电介质层328上方,并且具有设置于其中的第五金属布线340和一个或多个过孔338,一个或多个过孔338将第五金属布线340耦合到第四金属布线332。在实施例中,MIM电容器334设置于第五电介质层328中,而不是分别在第四或第六电介质层322或336中,如图3中所示出的那样。同样如图3中所描述的那样,金属布线344可以设置于MIM电容器334上方,但是无需与MIM电容器334耦合。
在另一个示例中,图4示出根据本发明的实施例的形成于容纳第三级和第四级金属布线的两个电介质层中的电容器的截面视图。
参考图4,半导体结构400包括设置于衬底402中或上方的多个半导体器件404。第一电介质层406设置于多个半导体器件404上方,并且具有设置于其中的接触部408,接触部408电耦合到多个半导体器件404。
第二电介质层410设置于第一电介质层406上方,并且具有设置于其中的第一金属布线414和一个或多个过孔412,一个或多个过孔412将第一金属布线414耦合到接触部408。第三电介质层416设置于第二电介质层410上方,并且具有设置于其中的第二金属布线420和一个或多个过孔418,一个或多个过孔418将第二金属布线420耦合到第一金属布线414。第四电介质层422设置于第三电介质层416上方,并且具有设置于其中的第三金属布线426和一个或多个过孔424,一个或多个过孔424将第三金属布线426耦合到第二金属布线420。第五电介质层428设置于第四电介质层422上方,并且具有设置于其中的第四金属布线432和一个或多个过孔430,一个或多个过孔430将第四金属布线432耦合到第三金属布线426。
第五电介质层428还具有设置于其中的金属-绝缘体-金属(MIM)电容器434的至少一部分。MIM电容器434与第四金属布线432相邻。MIM电容器例如通过金属布线与过孔的堆叠体442并且贯穿到接触部408而电耦合到一个或多个半导体器件404。第六电介质层436设置于第五电介质层428上方,并且具有设置于其中的第五金属布线440和一个或多个过孔438,一个或多个过孔438将第五金属布线440耦合到第四金属布线432。在实施例中,MIM电容器434的另一部分设置于第四电介质层422中,与第三金属布线426相邻,但是MIM电容器434的任何部分都没有分别设置于第三或第六电介质层416或436中,如图4中所示出的那样。同样如图4中所示出的那样,金属布线444可以设置于MIM电容器434上方,但是无需与MIM电容器434耦合。
参考图3和图4两者,在实施例中,第四金属布线332或432的至少一部分电耦合到包括在逻辑电路中的一个或多个半导体器件308或408,并且MIM电容器334或434是嵌入式动态随机存取存储器(eDRAM)电容器。在实施例中,半导体结构300或400还分别包括多个蚀刻停止层350或450。如图所示,蚀刻停止层可以设置于第一(306或406)、第二(310或410)、第三(316或416)、第四(322或422)、第五(328或428)和第六(336或436)电介质层中的各层之间。
在实施例中,MIM电容器334或434分别设置于沟槽360或460中,沟槽360或460至少分别设置于第五电介质层328或428中。在一个这种实施例中,MIM电容器334或434包括沿着沟槽360或460的底部和侧壁设置的杯形金属板997。绝缘体堆叠体998设置于杯形金属板997上,并与杯形金属板997共形。在一个实施例中,如图3中所示出的那样,绝缘体堆叠体998由例如998-1、998-2、和998-3的多个金属氧化物层构成,例如结合图2A-2C所描述的金属氧化物层的群组。沟槽填充金属板999设置于绝缘体堆叠体998上。绝缘体堆叠体998将沟槽填充金属板999与杯形金属板997隔离。在特定实施例中,沟槽的侧壁具有垂直或近似垂直的轮廓,如针对图4的沟槽460所示出的那样。在另一个具体实施例中,沟槽的侧壁从第五电介质层328或428的底部到顶部向外逐渐锥化,如针对图3的沟槽360所示出的那样。
图3和图4的半导体结构300和400的特征的材料或结构细节可以分别是例如以上针对半导体结构200A、200B和200C所描述的那样。
应该理解的是,在其它实施例中,可以在MIM电容器334或434下方或上方形成电介质层的附加的单个或多个层和/或金属线。同样,在其它实施例中,可以从MIM电容器334或434下方或上方去除电介质层的单个或多个层和/或金属线。在其它实施例中,MIM电容器334或434形成于电介质层的附加的一个或多个层中。在一个示例性实施例中,参考图4(尽管未示出),MIM电容器434的另一部分设置于第四电介质层422和第六电介质层436中,MIM电容器434与第三金属布线426和第五金属布线440相邻,然而,在一个这种实施例中,MIM电容器的任何部分都没有设置于第三电介质层416中。
原子层沉积(ALD)可以用于形成氧化钛的一个或多个层,用于在MIM电容器的绝缘体堆叠体中使用。可以以各种衬底温度来沉积氧化钛的(多个)层,例如,所述衬底温度通常在250到350摄氏度之间。钛(Ti)化学前驱物的混合物(assortment)可以用于氧化钛层的ALD。前驱物可以保持在小于100摄氏度的温度下,并且可以使前驱物与去离子(DI)水或氧气/氧等离子体发生反应。还可以使用氮或氩载气,并且可以根据化学前驱物沉积条件而采用各种脉冲/清洗方案和次数。下文举例说明了具有多个金属氧化物层的MIM电容器的制造,其中一个或多个金属氧化物层可以是氧化钛层。
因此,在本发明的一个或多个实施例中,提供了制造用于半导体器件的嵌入式MIM电容器的方法。例如,图5是表示根据本发明的实施例的形成MIM电容器的方法中的操作的流程图500,所述MIM电容器具有包含多个金属氧化物层的绝缘体堆叠体。
参考流程图500的操作502,在设置于衬底上方的电介质层中形成沟槽。在一个实施例中,沟槽具有带有垂直或近似垂直的轮廓的侧壁。在另一个实施例中,沟槽具有从电介质层的底部到电介质层的顶部向外逐渐锥化的侧壁。
参考流程图500的操作504,沿着沟槽的底部和侧壁形成电容器的第一金属板。
参考流程图500的操作506,电容器的绝缘体堆叠体的第一金属氧化物层形成于第一金属板上方,并与第一金属板共形。在实施例中,形成第一金属氧化物层包括通过原子层沉积(ALD)来形成氧化钛层(TiOx,其中x大约在1-2的范围内)。在一个这种实施例中,ALD工艺包括以大约在250到350摄氏度的范围内的衬底温度来进行沉积。在另一个这种实施例中,ALD工艺包括:使保持在小于大约100摄氏度的温度下的含钛前驱物与水(H2O)或基于氧的气体或等离子体发生反应。在具体的这种实施例中,利用氮(N2)或氩(Ar)载气来传送含钛前驱物。在一个实施例中,通过使氯化钛(TiCl4)与H2O发生反应来形成氧化钛层。在一个实施例中,所形成的氧化钛层的厚度大约在0.5-20纳米的范围内。
参考流程图500的操作508,电容器的绝缘体堆叠体的不同的第二金属氧化物层形成于第一金属氧化物层上方,并与第一金属氧化物层共形。在实施例中,构成第二金属氧化物层的材料例如但不限于:氧化铪(HfO2)、氧化锆(ZrO2)、或它们的组合。在实施例中,第二金属氧化物层具有比第一金属氧化物层的介电常数小的介电常数,并且具有比第一金属氧化物层的带隙大的带隙。
参考流程图500的操作510,电容器的绝缘体堆叠体的第三金属氧化物层形成于第二金属氧化物层上方,并与第二金属氧化物层共形。在实施例中,形成第三金属氧化物层包括通过原子层沉积(ALD)来形成氧化钛层(TiOx,其中x大约在1-2的范围内)。在一个这种实施例中,ALD工艺包括以大约在250到350摄氏度的范围内的衬底温度来进行沉积。在另一个这种实施例中,ALD工艺包括:使保持在小于大约100摄氏度的温度下的含钛前驱物与水(H2O)或基于氧的气体或等离子体发生反应。在具体的这种实施例中,利用氮(N2)或氩(Ar)载气来传送含钛前驱物。在一个实施例中,通过使氯化钛(TiCl4)与H2O发生反应来形成氧化钛层。在一个实施例中,形成的氧化钛层的厚度大约在0.5-20纳米的范围内。在实施例中,第三金属氧化物层大体上与第一金属氧化物层相同。
参考流程图500的操作512,电容器的第二金属板形成于第三金属氧化物层上方,并与第三金属氧化物层共形。
在实施例中,形成MIM电容器包括将MIM电容器电耦合到一个或多个半导体器件。在一个实施例中,形成MIM电容器包括形成嵌入式动态随机存取存储器(eDRAM)电容器。
在实施例中,所述方法包括形成集成在同一电介质层中的电容器和金属布线。制造的半导体结构的特征的其它材料或结构细节可以是例如以上针对半导体结构200A、200B、200C、300和400所描述的那样。
本文中所描述的嵌入式DRAM可以包括在第一芯片上并且与第二芯片上的微处理器封装在一起。或者,本文中所描述的嵌入式DRAM可以包括在与微处理器相同的芯片上,以提供单片制造工艺。在实施例中,eDRAM芯片与微处理器一起封装,以改进性能或减小功率损耗或二者。
图6示出根据本发明的一种实施方式的计算设备600。计算设备600容纳主板602。主板602可以包括多个部件,包括但不限于处理器604和至少一个通信芯片606。处理器604与主板602物理和电耦合。在一些实施方式中,至少一个通信芯片606也与主板602物理和电耦合。在其它实施方式中,通信芯片606是处理器604的一部分。
取决于其应用,计算设备600可以包括其它部件,所述其它部件可以或可以不与主板602物理和电耦合。这些其它部件包括但不限于易失性存储器(例如,DRAM)、非易失性存储器(例如,ROM)、闪速存储器、图形处理器、数字信号处理器、密码处理器、芯片集、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编解码器、视频编解码器、功率放大器、全球定位系统(GPS)设备、罗盘、加速度计、陀螺仪、扬声器、照相机、以及大容量存储设备(例如硬盘驱动器、光盘(CD)、数字多功能盘(DVD),等等)。
通信芯片606能够进行用于到和来自计算设备600的数据传输的无线通信。术语“无线”及其衍生词可以用于描述电路、设备、系统、方法、技术、通信信道等等,其可以通过使用调制的电磁辐射而经由非固态介质传送数据。术语并不暗示相关联的设备不包含任何线路,尽管在一些实施例中相关联的设备可能不包含任何线路。通信芯片606可以实施多种无线标准或协议中的任何一种,所述多种无线标准或协议包括但不限于Wi-Fi(IEEE 802.11族)、WiMAX(IEEE 802.16族)、IEEE 802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、及它们的衍生物,以及被指定为3G、4G、5G和更高代的任何其它无线协议。计算设备600可以包括多个通信芯片606。例如,第一通信芯片606可以专用于诸如Wi-Fi和蓝牙之类的较短范围的无线通信,并且第二通信芯片606可以专用于诸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等等的较长范围的无线通信。
计算设备600的处理器604包括封装在处理器604内的集成电路管芯。在本发明的一些实施方式中,处理器的集成电路管芯包括一个或多个器件,例如根据本发明的实施方式制造的纳米线晶体管。术语“处理器”可以指代任何设备或设备的一部分,其处理来自寄存器和/或存储器的电子数据以将这些电子数据转换成其它可以存储在寄存器和/或存储器中的电子数据。
通信芯片606还包括封装在通信芯片606内的集成电路管芯。根据本发明的另一种实施方式,通信芯片的集成电路管芯包括一个或多个器件,例如根据本发明的实施方式制造的纳米线晶体管。
在其它实施方式中,容纳在计算设备600内的另一个部件可以包含集成电路管芯,所述电路管芯包括一个或多个器件,例如根据本发明的实施方式制造的纳米线晶体管。
在各种实施方式中,计算设备600可以是膝上型电脑、上网本、笔记本电脑、超极本、智能电话、平板电脑、个人数字助理(PDA)、超级移动PC、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数字照相机、便携式音乐播放器、或数字录像机。在其它实施方式中,计算设备600可以是处理数据的任何其它电子设备。
因此,已经公开了具有包含多个金属氧化物层的绝缘体堆叠体的MIM电容器。在实施例中,用于半导体器件的MIM电容器包括设置于电介质层中的沟槽,该电介质层设置于衬底上方。第一金属板沿着沟槽的底部和侧壁设置。绝缘体堆叠体设置于第一金属板上方,并与第一金属板共形。绝缘体堆叠体包括具有第一介电常数的第一金属氧化物层、和具有第二介电常数的第二金属氧化物层。第一介电常数大于第二介电常数。MIM电容器还包括设置于绝缘体堆叠体上方并与绝缘体堆叠体共形的第二金属板。

Claims (30)

1.一种用于半导体器件的嵌入式金属-绝缘体-金属(MIM)电容器,所述电容器包括:
沟槽,所述沟槽设置于电介质层中,所述电介质层设置于衬底上方;
第一金属板,所述第一金属板沿着所述沟槽的底部和侧壁设置;
绝缘体堆叠体,所述绝缘体堆叠体设置于所述第一金属板上方并与所述第一金属板共形,所述绝缘体堆叠体包括具有第一介电常数的第一金属氧化物层、和具有第二介电常数的第二金属氧化物层,所述第一介电常数大于所述第二介电常数;以及
第二金属板,所述第二金属板设置于所述绝缘体堆叠体上方并与所述绝缘体堆叠体共形。
2.根据权利要求1所述的嵌入式MIM电容器,其中所述第一金属氧化物层具有第一带隙,所述第二金属氧化物层具有第二带隙,并且所述第一带隙比所述第二带隙窄。
3.根据权利要求2所述的嵌入式MIM电容器,其中所述绝缘体堆叠体还包括具有第三介电常数和第三带隙的第三金属氧化物层,所述第三介电常数大于所述第二介电常数,并且所述第三带隙比所述第二带隙窄。
4.根据权利要求3所述的嵌入式MIM电容器,其中所述第一金属氧化物层设置于所述第一金属板上方,所述第二金属氧化物层设置于所述第一金属氧化物层上方,并且所述第三金属氧化物层设置于第二金属氧化物层上方。
5.根据权利要求4所述的嵌入式MIM电容器,其中所述第一金属氧化物层和所述第三金属氧化物层包括相同的材料,具有相同的带隙,并且具有相同的介电常数。
6.根据权利要求1所述的嵌入式MIM电容器,其中所述第一金属氧化物层包括氧化钛(TiOx,其中x大约在1-2的范围内),并且所述第二金属氧化物层包括氧化铪(HfO2)、氧化锆(ZrO2)、或它们的组合。
7.根据权利要求6所述的嵌入式MIM电容器,其中所述第一金属氧化物层具有大约在0.5-20纳米的范围内的厚度。
8.根据权利要求1所述的嵌入式MIM电容器,其中所述第二金属氧化物层的厚度大约是所述第一金属氧化物层的厚度的三倍。
9.根据权利要求4所述的嵌入式MIM电容器,其中所述第一金属板包括设置于第一外部杯形导电层上的第一内部杯形导电层,所述第一金属氧化物层设置于所述第一内部杯形导电层上,并且其中所述第二金属板包括设置于第二内部杯形导电层上的第二外部杯形导电层,所述第二内部杯形导电层设置于所述第三金属氧化物层上。
10.根据权利要求9所述的嵌入式MIM电容器,其中所述第一外部杯形导电层包括钽(Ta),所述第一内部杯形导电层包括氮化钛(TiN),所述第一金属氧化物层和所述第三金属氧化物层包括氧化钛(TiOx,其中x大约在1-2的范围内),所述第二金属氧化物层包括氧化铪(HfO2)、氧化锆(ZrO2)、或它们的组合,所述第二内部杯形导电层包括碳化钽铝(TaAlC),并且所述第二外部杯形导电层包括钽(Ta),并且其中所述嵌入式MIM电容器还包括导电沟槽填充层。
11.一种半导体结构,包括:
多个半导体器件,所述多个半导体器件设置于衬底中或上方;
一个或多个电介质层,所述一个或多个电介质层设置于所述多个半导体器件上方;
金属布线,所述金属布线设置于所述电介质层中的每个电介质层中,并且电耦合到一个或多个所述半导体器件;以及
金属-绝缘体-金属(MIM)电容器,所述金属-绝缘体-金属(MIM)电容器设置于沟槽中,所述沟槽设置于所述电介质层中的至少一个电介质层中,所述金属-绝缘体-金属(MIM)电容器与所述电介质层中的至少一个电介质层的所述金属布线相邻,所述MIM电容器电耦合到一个或多个所述半导体器件,并且包括:
第一金属板,所述第一金属板沿着所述沟槽的底部和侧壁设置;
绝缘体堆叠体,所述绝缘体堆叠体设置于所述第一金属板上方并与所述第一金属板共形,所述绝缘体堆叠体包括具有第一介电常数的第一金属氧化物层、具有第二介电常数的第二金属氧化物层、和具有第三介电常数的第三金属氧化物层,所述第一介电常数和所述第三介电常数大于所述第二介电常数;以及
第二金属板,所述第二金属板设置于所述绝缘体堆叠体上方并与所述绝缘体堆叠体共形。
12.根据权利要求11所述的半导体结构,其中所述金属布线的至少一部分电耦合到包括在逻辑电路中的一个或多个半导体器件,并且其中所述MIM电容器是嵌入式动态随机存取存储器(eDRAM)电容器。
13.根据权利要求11所述的半导体结构,其中所述MIM电容器设置于所述电介质层中的仅一个电介质层中。
14.根据权利要求11所述的半导体结构,其中所述MIM电容器设置于所述电介质层中的仅两个电介质层中,所述MIM电容器与所述两个电介质层中的每个电介质层的所述金属布线相邻,并且还与过孔相邻,所述过孔将所述两个电介质层中的每个电介质层的所述金属布线耦合。
15.根据权利要求11所述的半导体结构,其中所述MIM电容器设置于所述电介质层中的多于两个电介质层中,所述MIM电容器与所述多于两个电介质层中的所有电介质层的所述金属布线相邻。
16.根据权利要求11所述的半导体结构,其中所述沟槽的所述侧壁包括垂直或近似垂直的轮廓。
17.根据权利要求11所述的半导体结构,其中所述沟槽的所述侧壁从所述电介质层中的至少一个电介质层的底部到所述电介质层中的至少一个电介质层的顶部向外逐渐锥化。
18.根据权利要求11所述的半导体结构,其中所述第一金属氧化物层设置于所述第一金属板上方,所述第二金属氧化物层设置于所述第一金属氧化物层上方,并且所述第三金属氧化物层设置于第二金属氧化物层上方。
19.根据权利要求18所述的半导体结构,其中所述第一金属氧化物层和所述第三金属氧化物层均包括氧化钛(TiOx,其中x大约在1-2的范围内),并且所述第二金属氧化物层包括氧化铪(HfO2)、氧化锆(ZrO2)、或它们的组合。
20.根据权利要求18所述的半导体结构,其中所述第二金属氧化物层的厚度大约是所述第一金属氧化物层和所述第三金属氧化物层中的每一个金属氧化物层的厚度的三倍。
21.一种制造嵌入式金属-绝缘体-金属(MIM)电容器的方法,所述方法包括:
在设置于衬底上方的电介质层中形成沟槽;
沿着所述沟槽的底部和侧壁形成第一金属板;
形成第一金属氧化物层,所述第一金属氧化物层在所述第一金属板上方,并与所述第一金属板共形;
形成不同的第二金属氧化物层,所述第二金属氧化物层在所述第一金属氧化物层上方,并与所述第一金属氧化物层共形;
形成第三金属氧化物层,所述第三金属氧化物层在所述第二金属氧化物层上方,并与所述第二金属氧化物层共形;以及
形成第二金属板,所述第二金属板在所述第三金属氧化物层上方,并与所述第三金属氧化物层共形。
22.根据权利要求21所述的方法,其中形成所述第一金属氧化物层和所述第三金属氧化物层中的每一个金属氧化物层包括通过原子层沉积(ALD)来形成氧化钛(TiOx,其中x大约在1-2的范围内)的层。
23.根据权利要求22所述的方法,其中通过ALD来形成氧化钛层包括以大约在250到350摄氏度的范围内的衬底温度下进行沉积。
24.根据权利要求22所述的方法,其中通过ALD来形成氧化钛层包括使保持在小于大约100摄氏度的温度下的含钛前驱物与水(H2O)或基于氧的气体或等离子体发生反应。
25.根据权利要求24所述的方法,其中使所述含钛前驱物发生反应包括利用氮(N2)或氩(Ar)载气传送所述前驱物。
26.根据权利要求22所述的方法,其中通过ALD来形成氧化钛层包括将厚度形成为大约在0.5-20纳米的范围内。
27.根据权利要求21所述的方法,其中形成所述第二金属氧化物层包括形成氧化铪(HfO2)、氧化锆(ZrO2)、或它们的组合的层。
28.根据权利要求21所述的方法,其中形成所述第二金属氧化物层包括形成具有比所述第一金属氧化物层和所述第三金属氧化物层的介电常数小的介电常数、并且具有比所述第一金属氧化物层和所述第三金属氧化物层的带隙大的带隙的金属氧化物层。
29.根据权利要求21所述的方法,其中形成所述沟槽包括将所述沟槽的侧壁形成为具有垂直或近似垂直的轮廓。
30.根据权利要求21所述的方法,其中形成所述沟槽包括将所述沟槽的侧壁形成为从所述电介质层的底部到所述电介质层的顶部向外逐渐锥化。
CN201180076377.XA 2011-12-14 2011-12-14 具有包含多个金属氧化物层的绝缘体堆叠体的金属‑绝缘体‑金属(mim)电容器 Active CN104115270B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/064972 WO2013089711A1 (en) 2011-12-14 2011-12-14 Metal-insulator-metal (mim) capacitor with insulator stack having a plurality of metal oxide layers

Publications (2)

Publication Number Publication Date
CN104115270A true CN104115270A (zh) 2014-10-22
CN104115270B CN104115270B (zh) 2017-12-08

Family

ID=48613004

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180076377.XA Active CN104115270B (zh) 2011-12-14 2011-12-14 具有包含多个金属氧化物层的绝缘体堆叠体的金属‑绝缘体‑金属(mim)电容器

Country Status (5)

Country Link
US (1) US9691839B2 (zh)
KR (1) KR101720117B1 (zh)
CN (1) CN104115270B (zh)
TW (1) TWI485862B (zh)
WO (1) WO2013089711A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104377191A (zh) * 2014-11-17 2015-02-25 上海集成电路研发中心有限公司 与集成电路工艺兼容的电容结构及其制备方法
CN105390475A (zh) * 2015-10-20 2016-03-09 北京大学 一种衬底内部的电容集成结构及其制造方法
CN107275315A (zh) * 2017-05-27 2017-10-20 厦门市三安集成电路有限公司 一种化合物半导体背金电容的结构及其制作方法
CN108091641A (zh) * 2017-12-15 2018-05-29 深圳市晶特智造科技有限公司 Mim电容器及其制作方法
CN108123041A (zh) * 2017-12-15 2018-06-05 深圳市晶特智造科技有限公司 Mim电容器及其制作方法
CN111033780A (zh) * 2018-08-09 2020-04-17 深圳市为通博科技有限责任公司 电容器及其制作方法
CN115428107A (zh) * 2020-04-17 2022-12-02 斯莫特克有限公司 具有分层堆叠的金属-绝缘体-金属(mim)能量存储装置和制造方法

Families Citing this family (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9111939B2 (en) * 2012-07-27 2015-08-18 Intel Corporation Metallization of fluorocarbon-based dielectric for interconnects
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9831171B2 (en) * 2014-11-12 2017-11-28 Infineon Technologies Ag Capacitors with barrier dielectric layers, and methods of formation thereof
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
WO2018004651A1 (en) 2016-07-01 2018-01-04 Intel Corporation Capacitor including multilayer dielectric stack
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9991333B1 (en) * 2017-02-09 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal (MIM) capacitor structure and method for forming the same
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US20190051596A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Method of increasing embedded 3d metal-insulator-metal (mim) capacitor capacitance density for wafer level packaging
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102623543B1 (ko) 2018-05-18 2024-01-10 삼성전자주식회사 유전막을 가지는 집적회로 소자 및 그 제조 방법과 집적회로 소자 제조 장치
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11398545B2 (en) 2018-06-25 2022-07-26 Intel Corporation Single-mask, high-q performance metal-insulator-metal capacitor (MIMCAP)
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11139367B2 (en) * 2018-10-30 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. High density MIM capacitor structure
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20200145871A (ko) * 2019-06-11 2020-12-31 삼성전자주식회사 집적회로 소자 및 그 제조 방법
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11075157B2 (en) * 2019-09-09 2021-07-27 Texas Instruments Incorporated IC having trench-based metal-insulator-metal capacitor
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11251186B2 (en) * 2020-03-23 2022-02-15 Intel Corporation Compute near memory with backend memory
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11222859B2 (en) * 2020-05-05 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with bonding pad and method for forming the same
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11430729B2 (en) * 2020-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. MIM capacitor with a symmetrical capacitor insulator structure
KR20220038918A (ko) 2020-09-21 2022-03-29 삼성전자주식회사 커패시터 및 이를 포함하는 디램 소자
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220059846A (ko) * 2020-11-03 2022-05-10 삼성전자주식회사 배선 콘택 플러그들을 포함하는 반도체 메모리 소자
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023163749A1 (en) * 2022-02-23 2023-08-31 Microchip Technology Incorporated Metal-insulator-metal (mim) capacitor module with dielectric sidewall spacer
US12021115B2 (en) 2022-02-23 2024-06-25 Microchip Technology Incorporated Metal-insulator-metal (MIM) capacitor module with dielectric sidewall spacer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6320244B1 (en) * 1999-01-12 2001-11-20 Agere Systems Guardian Corp. Integrated circuit device having dual damascene capacitor
US20040072401A1 (en) * 2002-10-10 2004-04-15 Nec Electronics Corporation Method for forming capacitor
US20060040457A1 (en) * 2004-08-19 2006-02-23 Kwang-Hee Lee Methods of forming low leakage currents metal-insulator-metal (MIM) capacitors and related MIM capacitors
US20070045689A1 (en) * 2005-08-03 2007-03-01 Samsung Electronics Co., Ltd. Ferroelectric Structures Including Multilayer Lower Electrodes and Multilayer Upper Electrodes, and Methods of Manufacturing Same
CN102148261A (zh) * 2010-02-10 2011-08-10 中国科学院微电子研究所 电容器结构及其制造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004104012A (ja) * 2002-09-12 2004-04-02 Renesas Technology Corp 半導体装置
KR100706227B1 (ko) * 2004-12-03 2007-04-11 삼성전자주식회사 다층구조를 갖는 금속-절연체-금속 커패시터 및 그 제조방법
JP5038612B2 (ja) * 2005-09-29 2012-10-03 富士通セミコンダクター株式会社 半導体装置
US7880268B2 (en) * 2006-05-12 2011-02-01 Stmicroelectronics S.A. MIM capacitor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6320244B1 (en) * 1999-01-12 2001-11-20 Agere Systems Guardian Corp. Integrated circuit device having dual damascene capacitor
US20040072401A1 (en) * 2002-10-10 2004-04-15 Nec Electronics Corporation Method for forming capacitor
US20060040457A1 (en) * 2004-08-19 2006-02-23 Kwang-Hee Lee Methods of forming low leakage currents metal-insulator-metal (MIM) capacitors and related MIM capacitors
US20070045689A1 (en) * 2005-08-03 2007-03-01 Samsung Electronics Co., Ltd. Ferroelectric Structures Including Multilayer Lower Electrodes and Multilayer Upper Electrodes, and Methods of Manufacturing Same
CN102148261A (zh) * 2010-02-10 2011-08-10 中国科学院微电子研究所 电容器结构及其制造方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104377191A (zh) * 2014-11-17 2015-02-25 上海集成电路研发中心有限公司 与集成电路工艺兼容的电容结构及其制备方法
CN105390475A (zh) * 2015-10-20 2016-03-09 北京大学 一种衬底内部的电容集成结构及其制造方法
CN107275315A (zh) * 2017-05-27 2017-10-20 厦门市三安集成电路有限公司 一种化合物半导体背金电容的结构及其制作方法
CN108091641A (zh) * 2017-12-15 2018-05-29 深圳市晶特智造科技有限公司 Mim电容器及其制作方法
CN108123041A (zh) * 2017-12-15 2018-06-05 深圳市晶特智造科技有限公司 Mim电容器及其制作方法
CN108091641B (zh) * 2017-12-15 2019-12-20 台州市棱智塑业有限公司 Mim电容器及其制作方法
CN108123041B (zh) * 2017-12-15 2020-08-28 南京溧水高新创业投资管理有限公司 Mim电容器及其制作方法
CN111033780A (zh) * 2018-08-09 2020-04-17 深圳市为通博科技有限责任公司 电容器及其制作方法
US11063113B2 (en) 2018-08-09 2021-07-13 Shenzhen Weitongbo Technology Co., Ltd. Capacitor and method for fabricating the same
CN115428107A (zh) * 2020-04-17 2022-12-02 斯莫特克有限公司 具有分层堆叠的金属-绝缘体-金属(mim)能量存储装置和制造方法
CN115428107B (zh) * 2020-04-17 2024-06-07 斯莫特克有限公司 具有分层堆叠的金属-绝缘体-金属(mim)能量存储装置和制造方法

Also Published As

Publication number Publication date
KR20140101797A (ko) 2014-08-20
KR101720117B1 (ko) 2017-03-27
TW201334196A (zh) 2013-08-16
WO2013089711A9 (en) 2013-08-22
WO2013089711A1 (en) 2013-06-20
US20130270676A1 (en) 2013-10-17
CN104115270B (zh) 2017-12-08
US9691839B2 (en) 2017-06-27
TWI485862B (zh) 2015-05-21

Similar Documents

Publication Publication Date Title
CN104115270A (zh) 具有包含多个金属氧化物层的绝缘体堆叠体的金属-绝缘体-金属(mim)电容器
US9305933B2 (en) Methods of forming semiconductor memory devices
US9368589B2 (en) Semiconductor device and semiconductor module
US20130328199A1 (en) Semiconductor device with spacers for capping air gaps and method for fabricating the same
US11653487B2 (en) 4F2 DRAM cell using vertical thin film transistor
US9129857B2 (en) Semiconductor device including a first core pattern under a second core pattern
TW201234535A (en) Semiconductor memory device
CN103503139A (zh) 具有集成在同一电介质层中的电容器和金属布线的半导体结构
WO2022037004A1 (zh) 集成电路存储器及其制备方法、半导体集成电路器件
US20210408257A1 (en) Plug and recess process for dual metal gate on stacked nanoribbon devices
TW201828457A (zh) 用於對3d堆疊裝置之密度改善的倒反階梯接觸
US11088146B2 (en) Thin-film transistor embedded dynamic random-access memory
US8895392B2 (en) Method for fabricating semiconductor device
EP4020558A1 (en) Arrays of double-sided dram cells including capacitors on the frontside and backside of a stacked transistor structure
US11901404B2 (en) Capacitor architectures in semiconductor devices
US20210408002A1 (en) Double wall capacitors and methods of fabrication
WO2023206669A1 (zh) 半导体结构及半导体结构的制作方法
WO2023272537A1 (zh) 存储器及其形成方法、电子设备
TW201243857A (en) Semiconductor device having control bitline to prevent floating body effect
WO2023207109A1 (zh) 一种动态存储器及其制作方法、存储装置
US20230099540A1 (en) Elimination of sub-fin leakage in stacked nanosheet architectures
WO2024045328A1 (zh) 半导体结构及其形成方法
WO2023178854A1 (zh) 半导体结构和半导体结构的制备方法
WO2024045266A1 (zh) 半导体结构的制作方法及其结构
WO2023029563A1 (zh) 存储器件及其制备方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant