CN103337453A - 用于从蚀刻基板有效地移除卤素残余物的设备 - Google Patents

用于从蚀刻基板有效地移除卤素残余物的设备 Download PDF

Info

Publication number
CN103337453A
CN103337453A CN201310250260XA CN201310250260A CN103337453A CN 103337453 A CN103337453 A CN 103337453A CN 201310250260X A CN201310250260X A CN 201310250260XA CN 201310250260 A CN201310250260 A CN 201310250260A CN 103337453 A CN103337453 A CN 103337453A
Authority
CN
China
Prior art keywords
substrate
chamber
load lock
lock chamber
halogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310250260XA
Other languages
English (en)
Other versions
CN103337453B (zh
Inventor
马修·F·戴维斯
肯尼斯·J·巴格
特雷斯·莫瑞
詹姆斯·D·卡达希
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103337453A publication Critical patent/CN103337453A/zh
Application granted granted Critical
Publication of CN103337453B publication Critical patent/CN103337453B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24008Structurally defined web or sheet [e.g., overall dimension, etc.] including fastener for attaching to external surface
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24628Nonplanar uniform thickness material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

本发明提供一种用于从基板移除挥发性残余物的设备。在实施例中,一种用于从基板移除含卤素的残余物的设备包括适于操作以维持腔室内的真空的腔室及经定位以加热安置于该腔室中的基板的热模块。用于从基板移除含卤素的残余物的该设备亦包括以下至少者:A)温度控制底座,其具有从其径向延伸的适于将该温度控制底座支撑于该腔室主体的凸部上的突出物,该突出物将该基底与该腔室主体热隔离;B)对基板支架,其包括从弧形主体的内部边缘向内径向延伸的两个支撑凸缘,每支撑凸缘具有包括倾斜平台的基板支撑台阶;或C)圆顶形窗。

Description

用于从蚀刻基板有效地移除卤素残余物的设备
本申请为2009年10月2日递交的申请号为200980139857.9并且发明名称为“用于从蚀刻基板有效地移除卤素残余物的设备”的发明专利申请的分案申请。
技术领域
本发明大体上涉及用于在半导体基板上制造装置的设备。更具体而言,本发明涉及用于在等离子体蚀刻半导体基板上的层后移除含卤素的残余物的设备。
背景技术
超大规模集成(ULSI)电路可包括形成于半导体基板(诸如,硅(Si)基板)上且协作以在装置内执行各种功能的百万个以上的电子装置(例如,晶体管)。通常,用于ULSI电路中的晶体管为互补金属氧化物半导体(CMOS)场效晶体管。CMOS晶体管具有包含多晶硅栅电极与栅介电层的栅结构,且安置于源极区与形成于基板中的漏极区之间。
在晶体管及其它电子装置的制造中通常使用等离子体蚀刻。在用以形成晶体管结构的等离子体蚀刻处理期间,通常将膜层叠的一或多个层(例如,具有硅、多晶硅、二氧化铪(HfO2)、二氧化硅(SiO2)、金属材料及其类似物的层)暴露于包含含有至少一种卤素的气体(诸如溴化氢(HBr)、氯(Cl2)、四氟化碳(CF4)及其类似物)的蚀刻剂。该处理导致含卤素的残余物积累在经蚀刻的特征结构的表面、蚀刻屏蔽及基板上的其它位置上。
当暴露于非真空环境时(例如,处于工厂接口或基板储存盒内)及/或在连续处理期间,可从在蚀刻期间沉积的含卤素的残余物释放气态卤素及以卤素为主的反应物(例如,溴(Br2)、氯(Cl2)、氯化氢(HCl)及其类似物)。所释放的卤素及以卤素为主的反应物产生微粒污染且造成处理系统内部空间及工厂接口的腐蚀以及基板上金属层的暴露部分的腐蚀。处理系统及工厂接口的清洁以及腐蚀部分的更换是耗时且昂贵的程序。
已开发若干处理以移除蚀刻基板上的含卤素的残余物。举例而言,可将蚀刻基板移送至远程等离子体反应器中以将蚀刻基板暴露于将含卤素的残余物转化成非腐蚀性挥发性化合物(可经除气及泵送出反应器)的气体混合物。然而,此处理需要连同额外步骤的专用处理腔室,进而导致增加的工具费用、降低的制造生产率及产量,造成高的制造成本。
因此,需要用于从基板移除含卤素的残余物的改良设备。
发明内容
本发明提供一种用于从基板移除挥发性残余物的设备。在一个实施例中,用于从基板移除含卤素的残余物的设备包括适于操作以维持腔室中的真空的腔室及经定位以加热安置于腔室中的基板的热模块。用于从基板移除含卤素的残余物的设备亦包括以下至少一者:A)温度控制底座,其具有从其径向延伸的适于将温度控制底座支撑于腔室主体的凸部(ledge)上的突出物,该突出物将该基底与该腔室主体热隔离;B)一对基板支架,其包括从弧形主体的内部边缘向内径向延伸的两个支撑凸缘,每一支撑凸缘具有包括倾斜平台(landing)的基板支撑台阶;或C)经安置穿过腔室的盖的圆顶形窗。
在其它实施例中,提供用于移除蚀刻基板上的挥发性残余物的方法。在一个实施例中,用于从基板移除挥发性残余物的方法包括提供具有连接到移送腔室的负载锁定腔室(load lock chamber)及至少一个处理腔室的处理系统,用包含卤素的化学品在处理腔室中处理基板,及在负载锁定腔室中从经处理基板移除挥发性残余物,在通风时部分地冷却负载锁定腔室中的基板,及在将该基板返回至FOUP前,将从工厂接口中的基板支架上的负载锁定腔室移除的基板冷却。
在另一个实施例中,用于从基板移除挥发性残余物的方法包括提供具有连接到移送腔室的负载锁定腔室及至少一个处理腔室的处理系统,用包含卤素的化学品在处理腔室中处理基板,及从负载锁定腔室中的经处理的基板移除挥发性残余物。
在另一个实施例中,用于从基板移除含卤素的残余物的方法包括提供具有连接到移送腔室的负载锁定腔室及至少一个处理腔室的处理系统,用包含卤素的化学品在处理腔室中处理基板,从负载锁定腔室中的基板移除含卤素的残余物,及随后冷却负载锁定腔室中的基板。
在另一个实施例中,适于从基板移除含卤素的残余物的设备包括至少一个蚀刻腔室、与经调适以加热安置于负载锁定腔室中的基板的热模块连接的负载锁定腔室、移送腔室(其具有安置于其中的经调适以在蚀刻腔室与负载锁定腔室之间移送基板的机器人移送腔室)、连接到负载锁定腔室的远程等离子体源。
在又一个实施例中,提供圆顶形窗。该圆顶形窗可包括连接到环的凸面部件。该环包括外边缘、与外边缘相对的内边缘、及唇状物。该唇状物向上成角且从该内边缘向内径向延伸。该唇状物以密封方式连接到该凸面部件的外部边缘。
在又一个实施例中,提供底座。该底座包括安置在与基底的底面相邻的凹槽中的冷却旋管。基底包括外壁、从外壁径向延伸的突出物、顶面、中心定位于基底内的安装特征结构及形成于基底的顶面上的埋头孔。安装特征结构具有经配置以接收来自顶面的光学终端的孔。埋头孔经配置以允许光进入孔中。
在又一个实施例中,提供基板支架。该基板支架包括弧形主体,其具有从支架的外部边缘向外径向延伸的安装凸缘。两个支撑凸缘定位于主体的相对末端上。每一支撑凸缘从主体的内部边缘向内径向延伸,且具有从主体的顶侧凹入的基板支撑台阶。每一基板支撑台阶具有倾斜平台。
附图说明
所以,上述简介的本发明的特征可参考对本发明更具体描述的实施例进一步理解和叙述,部分实施例示出于附图中。然而要指出的是,附图仅说明本发明的典型实施例,因此不应被视为其范围的限制,本发明亦适用于其它具有同等功效的实施例。
图1示出适于实施本发明的包括负载锁定腔室的一个实施例的示范性处理设备的示意图;
图1A示出图1的处理设备的示范性通过站的一个实施例的部分截面图;
图2示出图1中所利用的负载锁定腔室的截面图;
图3示出加热器模块的一个实施例的截面图;
图4示出负载锁定腔室的另一个实施例的截面图;
图5示出图标根据本发明的一个实施例的用于移除基板上的含卤素的残余物的方法的处理图;
图6示出其它真空腔室中可在图2的负载锁定腔室中利用的窗的一个实施例的截面图;
图7示出其它真空腔室中可在图2的负载锁定腔室中利用的温度控制底座的一个实施例的截面图;
图8为图示基板间隔物的图7的温度控制底座的部分截面图;
图9为图示光学终端的图7的温度控制底座的另一部分截面图;
图10示出在其它真空腔室中可在图2的负载锁定腔室连中利用的基板支架的一个实施例的透视图;
图11示出图10的基板支架的顶部平面图;及
图12为图10的基板支架的部分截面图。
为了便于理解,已经在可能的情况下,使用相同的组件符号指示各图中相同的组件。意即,在一个实施例中所揭示的组件亦可用于其它实施例而无需特别指明。
然而,应注意,附图仅图示本发明的示范性实施例,且因此不欲视为对其范围的限制,因为本发明可允许其它同等有效的实施例。
具体实施方式
本发明提供一种用于从使用包括卤素的蚀刻剂所蚀刻的基板移除含卤素的残余物的方法及设备。在一个实施例中,在基板蚀刻期间沉积的含卤素的残余物通过在整合于处理系统内的负载锁定腔室中执行的热处理过程来移除。负载锁定腔室加热蚀刻基板且将含卤素的残余物转化为可泵送出负载锁定腔室的非挥发性化合物。通过在经由负载锁定腔室的基板移送序列期间在负载锁定腔室中执行含卤素的残余物的移除处理,残余物得以移除而不会不利地增加总处理循环时间。本发明大体上防止处理系统及基板的环境免受污染及腐蚀,同时保持高生产率及处理产量。
图1为包括适于实施本发明的负载锁定腔室122的一个实施例的示范性处理系统100的示意性顶部平面图。在一个实施例中,处理系统100可为可购于位于California的Santa Clara的Applied Materials,Inc.的Centura
Figure BDA00003388264700041
集成处理系统。可预期其它处理系统(包括来自其它制造商的那些处理系统)可经调适而受益于本发明。
系统100包括真空密闭处理平台(platform)104、工厂接口102及系统控制器144。平台104包括连接到真空基板移送腔室136的多个处理腔室110、112、132、128、120及至少一个负载锁定腔室122。图1中示出两个负载锁定腔室122。工厂接口102通过负载锁定腔室122连接到移送腔室136。
在一个实施例中,工厂接口102包含至少一个坞站(docking station)108及至少一个工厂接口机器人114以促进基板的移送。坞站108经配置以接纳一或多个前开式晶圆移送盒(FOUP)。图1的实施例中示出两个FOUP106A-B。具有安置于机器人114的一个末端上的叶片116的工厂接口机器人114经配置以将基板从工厂接口102移送至处理平台104,而用于经由负载锁定腔室122处理。图1中示出两个接口机器人114。视情况而定,一或多个测量站118可连接至工厂接口102的终端126,以促进来自FOUP106A-B的基板的量测。
如图1及图1A中额外所示,工厂接口102包括通过站180以促进负载锁定腔室122之间的交递。通过站180包括基板支架182,其经配置而以允许基板由机器人114的任一者置放或取回的方式来保持一或多个基板。在一个实施例中,支架182包括两个基板支撑凸缘184。每一基板支撑凸缘184具有经配置以将基板的边缘保持于其上的弧形边缘凸部186。
基板支架182亦可用作队列处理站以允许基板在从负载锁定腔室122保持之后且在置放于FOUP106A-B中之前冷却。举例而言,可在负载锁定腔室122中,在通风负载锁定腔室时将经处理基板冷却降至第一温度,该第一温度太大而不能置放于FOUP中。随后,可将仍然热的基板置放于基板支架182中历时预定时间周期直至基板达到足够低以置放于FOUP中的第二温度。在一个实施例中,将基板设置于基板支架182中以冷却约20-30秒。在此时间期间,可利用负载锁定腔室122以将另一基板传递至移送腔室136中以供处理。由于负载锁定腔室122免于必须将基板完全冷却至第二温度,故利用较少时间来将基板从移送腔室136移至工厂接口102。因此,有利地增加可通过负载锁定腔室122的基板的数目。此外,两个或两个以上基板支架182的使用允许至少一个基板得以冷却同时维持自由支架182以允许介于机器人114之间的基板交换。
在一个实施例中,通过站180由跨越于工厂接口102的壁之间的交叉(cross)部件194支撑。通过站180可位于交叉部件194下方,进而允许用于基板定向模块的空间得以安装于交叉部件194上。如所熟知,基板定向包括用于找到凹口、平面及基板定向的其它指针的转盘190及感应器192。
可将流动屏蔽154安装至基板支架182上的交叉部件194。流动屏蔽154具有比定位于基板支架182中的基板的直径大的直径,从而允许流动屏蔽154阻断定位于工厂接口102的顶中的微粒空气过滤器150所提供的流动(如由箭头152指示)以在冷却时最小化定位于基板支架182中的基板的潜在污染。
返回参照图1,负载锁定腔室122的每一者具有连接到工厂接口102的第一端口及连接到移送腔室136的第二端口。负载锁定腔室122连接到压力控制系统(未图标),该系统将负载锁定腔室122抽气且通风以促进在移送腔室136的真空环境与工厂接口102的大体上周围(例如,大气)环境之间传递基板。
移送腔室136具有安置于其中的真空机器人130。真空机器人130具有能够在负载锁定腔室122与处理腔室110、112、132、128、120之间移送基板124的叶片134。
在一个实施例中,至少一个处理腔室110、112、132、128、120为蚀刻腔室。举例而言,蚀刻腔室可为可购于Applied Materials,Inc的去耦等离子体源(DPS)腔室。DPS蚀刻腔室使用感应源以产生高密度等离子体 且包含射频(RF)功率源以使基板偏压。或者,处理腔室110、112、132、128、120中的至少一者可为亦可购于Applied Materials,Inc的HARTTM、E-MAX
Figure BDA00003388264700061
DPS
Figure BDA00003388264700062
DPS II、PRODUCER E或ENABLER
Figure BDA00003388264700063
中的一者。可利用其它蚀刻腔室(包括来自其它制造商的那些蚀刻腔室)。蚀刻腔室(例如,腔室110、112、132、128、120)可使用含卤素的气体以蚀刻其中的基板124。含卤素的气体的实例包括诸如溴化氢(HBr)、氯(Cl2)、四氟化碳(CF4)及其类似物。在蚀刻基板124之后,含卤素的残余物可留在基板表面上。如下文进一步所讨论,含卤素的残余物可在负载锁定腔室122中通过热处理过程来移除。
系统控制器144连接到处理系统100。系统控制器144直接控制系统100的处理腔室110、112、132、128、120或者通过控制与处理腔室110、112、132、128、120及系统100相关联的计算机(或控制器)来控制系统100的操作。在操作中,系统控制器144使得能够从各别腔室及系统控制器144收集及反馈资料以最佳化系统100的效能。
系统控制器144通常包括中央处理单元(CPU)138、内存140及支持电路142。CPU138可为可用于工业环境中的任何形式的通用计算机处理器中的一者。支持电路142一般连接到CPU138且可包含高速缓存、同步脉冲电路、输入/输出子系统、电源及其类似物。软件常用程序(诸如,下文参照图5所述的用于移除含卤素的残余物的方法500)在由CPU138执行时,将CPU138转换成特定用途计算机(控制器)144。软件常用程序亦可由位于系统100远程的第二控制器(未图标)储存及/或执行。
图2示出利用以执行含卤素的残余物的移除处理的负载锁定腔室122的一个实施例。负载锁定腔室122通常包含腔室主体202、第一基板支架204、第二基板支架206、温度控制底座240及加热器模块270。腔室主体202可由诸如铝的材料的单体制造。腔室主体202包括界定腔室体积218的第一侧壁208、第二侧壁210、侧向壁(图3中的242)、顶部214及底部216。通常包含石英的窗250(图4中所示)安置于腔室主体202的顶部214中且至少部分地由加热器模块270覆盖。下文参照图6描述窗的另一个实施例。
腔室体积218的压力可经控制以使得负载锁定腔室122可经排气以大体上匹配移送腔室136的环境且经通风以大体上匹配工厂接口102的环境。此外,如下文进一步所述,可将腔室体积218的压力控制在预定范围内,以促进执行含卤素的残余物的移除处理。腔室主体202包括一或多个通风信道230及泵信道232。通风信道230及泵信道232定位于腔室主体202的相对末端上以在通风及排气期间于腔室体积218内诱导层流以最小化微粒污染。在一个实施例中,两个通风信道230经安置穿过腔室主体202的顶部214,而泵信道232经安置穿过腔室主体202的底部216。信道230、232通常连接到阀212以选择性允许流入及流出腔室体积218。或者,信道230、232可定位于腔室壁的一者的相对末端上,或在相对或相邻壁上。在一个实施例中,通风信道230连接到高效空气过滤器236(诸如,其可购于New Jersey,Riverdale的Camfil Farr,Inc.)。
通风信道230可另外经由阀241连接到气源252以提供气体混合物予腔室体积218中。在一个实施例中,通风信道230可配置为气体分配环,其中可经由孔的排列从相邻壁210、208分配气体混合物以最佳化流动均匀性。在另一个实施例中,可经由安置于加热器模块270下方的气体分配板(未图标)将气体混合物供应至负载锁定腔室122。气体分配板可由能移送加热器模块270所产生的热的材料制造,以便大体上不会干扰定位于基板支架204、206上的基板的加热。可从气源252供应的气体的实例包括氮(N2)、氩(Ar)、氢(H2)、烷烃、烯烃、氦(He)、氧(O2)、臭氧(O3)、晶圆蒸汽(H2O)及其类似物。
在一个实施例中,远程等离子体源(RPS)248可替代地连接到通风信道230以辅助从基板表面移除含卤素的残余物。远程等离子体源248将由气源252所提供的气体混合物形成的等离子体提供到负载锁定腔室122。在存在远程等离子体源(RPS)248的实施例中,可在通风信道230的出口处安置扩散器(未图标)以促进将所产生的等离子体输送至负载锁定腔室122中。
泵信道232连接到使用点泵(point-of-use pump)236(诸如,其可购于总部位于法国巴黎的Alcatel)。使用点泵236具有低振动产生以最小化定位于负载锁定腔室122内的支架204、206上的基板124的干扰,同时通过将负载锁定腔室122与泵236之间的流体路径最小化为通常小于三英尺来促进抽气效率且节约时间。
在腔室主体202的第一壁208中安置第一装载端口238以允许在负载锁定腔室122与工厂接口102之间移送基板124。第一流量阀244选择性密封第一装载端口238以将负载锁定腔室122与工厂接口102隔离。在腔室主体202的第二壁210中安置第二装载端口239以允许在负载锁定腔室122与移送腔室136之间移送基板124。大体上与第一流量阀244类似的第二流量阀246选择性密封第二装载端口239以将负载锁定腔室122与移送腔室136的真空环境隔离。
第一基板支架204同心连接到安置于腔室底部216上的第二基板支架206(即,层叠于其顶部上)。基板支架204、206通常安装至连接到轴282(其延伸穿过腔室主体202的底部216)的环箍220。通常,每一基板支架204、206经配置以保持一个基板。轴282连接到安置于负载锁定腔室122外部的升降机构296,其控制腔室主体202内的基板支架204、206的提高。波纹管284连接于环箍220与腔室主体202的底部216之间且绕轴282安置,以在第二基板支架206与底部216之间提供可弯曲密封,因而防止从腔室主体202泄漏或泄漏至腔室主体202中且促进基板支架204、206的升高及降低而不漏泄负载锁定腔室122内的压力。
第一基板支架204用以固持来自工厂接口102的未经处理的基板,而第二基板支架206用以固持从移送腔室136返回的经处理的基板(例如,蚀刻基板)。在通风及排气期间加载互锁腔室122内的流动由于通风信道230及泵信道232的位置而大体上为层状,且该流动经配置以最小化微粒污染。
图3示出负载锁定腔室122中的基板支架204、206的一个实施例。第二基板支架206通常由环箍220固持在腔室主体202的底部216上。在每一部件304、306之间安置第一支座308以维持第二基板支架206相对于环箍220间隔分离。在第一基板支架204与第二基板支架206之间安置第二支座310以维持其间之间隔分离关系。当在基板支架204、206上取回及沉积基板时,支座308、310允许移送机器人130及工厂接口机器人114的叶片134、116在其间经过。每一基板支架204、206包括第一部件304及第二部件306。每一支架204、206可替代地包括合并在维持支架204、206与负载锁定腔室122的相邻组件之间的间隔分离关系的部分中的“L形”结构。
每一部件304、306包括弯曲内部部分312,其具有从其向内径向延伸的唇状物314。弯曲内部部分312通常经配置以允许基板124在其间经过并停置于唇状物314上。弯曲内部部分312捕获其间的基板124,因而防止基板124从唇状物314掉落。
返回参照图2,温度控制底座240通过支撑件278连接到腔室主体202的底部216。支撑件278可中空的或包括穿过其的信道以允许流体、电讯号、感应器及其类似物连接到底座240。或者,底座240可通过第二轴282及提升机构296可移动地连接到腔室主体202。在此实施例中,支撑件278可包括波纹管284。
温度控制底座240通常包括压板(platen)280,其通常由诸如铝或不锈钢的导热材料制造,但是可替代地包含诸如陶瓷的其它材料。压板280通常具有热传送组件286。热传送组件286可为安置于压板280中或经安置与压板280的下表面288接触的流体信道。或者,热传送组件286可为循环水套、热电装置(诸如Peltier装置)或可用以控制压板280的温度的其它结构。
在一个实施例中,热传送组件286包含经安置与压板280的下表面288接触的管290。管290连接到使流体经由管循环的流体源294。可视情况热调节流体(例如,来自流体源294的设施水(facility water))。管290可以大体上圆形或螺旋图案安置抵靠于压板280的下表面288。通常,管290经铜焊或夹持抵靠于下表面288上或使用导电粘着剂来粘着。视情况而定,可将诸如铜板的导电板(未图标)替代地安置于管290与压板280之间以有助于横跨压板280的宽度的热传送的均匀性。以下文参照图7至图9描述温度控制底座240的替代实施例。
环箍220(具有连接到其的基板支架204、206)可降低至第一位置,在该第一位置处,压板280的上表面292紧邻或接触第二基板支架206所支撑的基板。在第一位置中,压板280可用以调节安置于压板280上(或紧接于压板280)的基板的温度。举例而言,在压板280的上表面292上的负载锁定腔室122的排气期间,可通过支撑基板而在负载锁定腔室122中冷却从处理返回的基板。热能量经由压板280从基板转移至热传送组件286,进而冷却基板。在冷却基板之后,可将基板支架204、206朝向腔室主体202的顶部214抬高以允许机器人130、114接取位于第二基板支架206中的基板。视情况而定,可将支架204、206降低至上表面292接触或靠近第一基板支架204所支撑的基板的位置。在此位置,压板280可用以热调节及加热基板。下文参照图10至图12描述基板支架204、206的替代实施例。
在一个实施例中,温度控制底座240包括连接到用于确定安置于底座240上的基板的温度的感应器268的光学终端262。光学终端262允许光学信息经由光学导管264(诸如,光纤电缆)提供到感应器268。光学终端262可包括窗、过滤器、光学移送装置。图9中图标下文更详细描述的光学终端262的一个实施例。
在一个实施例中,在加热器模块270中安置多个灯260以产生用于在基板位于底座240时热处理基板的热。在一个实施例中,灯260为提供具有约700nm与约14000nm之间的波长的红外线辐射的石英卤素灯。从灯260产生的红外线辐射可提供热予基板且将基板温度增加至高达约摄氏500度。通常,感应器268的波长经选择以在穿过材料及/或膜的透射率具有高度变化,该等材料及/或膜系在量测所探索的温度(例如,热处理终点的温度)范围中受热。
在一个实施例中,感应器268为经调适以量测介于摄氏100度与约摄氏500度之间的基板温度范围的InGaAs二极管感应器。感应器268与光学移送装置及过滤器光学对准。光学移送装置安置于光学导管264的末端与基板之间的底座240中。光学导管264检测通过基板及光学移送装置至过滤器的所收集能量。过滤器经调适以过滤从光学移送装置收集的讯号且仅将具有所要波长的IR光提供到感应器268。
在一个实施例中,光学移送装置(诸如准直仪)具有经选择以允许能量进入光学导管264的孔,能量以经选择以最小化散射能量及其它噪声进入导管264中的预定角度入射至基板。举例而言,光学移送装置的选定角度仅允许通过由角度界定的锥形内的基板的光得以收集,且防止以选定角度的外的角度入射至基板上的光进入光学导管264。可防止来自腔室壁的不需要的反射光及/或从背景产生的噪声干扰经由光学移送装置进入光学导管264的讯号且该讯号最终经由过滤器到达感应器268。到达感应器268的光能量随后经进一步分析以计算基板124的温度。
在另一个实施例中,光学移送装置可为收集且移送更多能量至感应器268的广角或鱼眼透镜。此在基板不允许能量有效通过基板进而允许低讯号强度(例如,经由基板的不良能量透射)的补偿的实施例中尤其有效。
图4示出加热器模块270的一个实施例的截面图。加热器模块270通常安置于负载锁定腔室122的顶部214上。加热器模块270可替代地包含各种类型的辐射加热器。在一个实施例中,加热器模块270包括具有其中安置有一或多个灯260的外壳402。外壳402通常包括界定内部空间430的侧面406及顶部408。侧面406通常连接到腔室主体202的顶部。在加热器模块270的顶部408中形成孔412以促进与灯260的电力连接。灯402通常通过陶瓷插座414连接到电源432。
冷却装置416连接到插座414以控制灯260的温度,进而延长灯260的寿命。在一个实施例中,冷却装置416是具有由循环流体热调节的良好导热性的环形板418。在一个实施例中,环形板418是具有铜焊至板418周边的管420的铜碟。流体从流体源434经由管420循环,进而调节板418的温度。或者,冷却装置416可包括热电装置、散热片、水套及限制插座414的温度升高的其它装置。
通常使插座414相对于板418偏压以促进其间的热传送。在一个实施例中,有肩螺栓422经安置穿过插座414及板418且穿入外壳402的顶部408中。为了容纳插座414与板418之间的热膨胀,可在有肩螺栓422的头部426与插座414之间安置一或多个弹簧424。弹簧424(其可为旋管、平板、盘型弹簧或其它弹压装置)在广泛温度范围内维持插座414与板418之间的接触而不会损坏插座414。
视情况而定,测量装置428可经安置而紧邻窗250。在一个实施例中,测量装置428可为残余气体分析器(RGA)。RGA检测负载锁定腔室122中的排出气体且指示从基板表面释放的排出气体中所包括的离子及物种。所释放的排出气体离子及物种反映剩余在基板表面上的含卤素的残余物的量,进而确定含卤素的残余物的移除处理的终点。在另一个实施例中,测量装置428可为有助于确定含卤素的残余物的移除处理的终点的其它类型的光学终点检测系统。或者,测量装置428可为基板类型感应器、基板定向感应器、基板中心感应器、基板位置感应器、膜厚度检测器、构形检测器或用以检测安置于负载锁定腔室122中的基板的属性的其它装置。一般而言,测量装置428经安置而紧邻加热器模块270且经定位以经由窗250来观察基板。或者,测量装置428可安置于加热器模块270中或者腔室体积218中。
返回参照图2,在操作中,负载锁定腔室122促进工厂接口102的周围大气与移送腔室136的真空大气之间的基板移送。负载锁定腔室122暂时容纳基板,而负载锁定腔室122内的大气经调整以与基板将移送进入的移送腔室136或工厂接口102的大气匹配。举例而言,打开第一流量阀244同时将负载锁定腔室122通风至大体上大气压力以匹配工厂接口102的大气。工厂接口机器人114将未经处理的基板从FOUP106A-B中的一者移送至第一基板支架204。基板随后移送至处理腔室110、112、132、128、120以执行蚀刻处理。在完成包含卤素的蚀刻处理之后,随后打开负载锁定腔室122中的泵信道232,且将负载锁定腔室122抽气至大体上与移送腔室136的压力相等的压力。一旦负载锁定腔室122与移送腔室136内的压力大体上相等,则打开第二流量阀246。经处理的基板通过负载锁定腔室122中的移送机器人130移送至第二基板支架206上的位置。一旦移除了移送机器人130的叶片,就关闭第二流量阀246。
在含卤素的残余物的移除处理期间,第二基板支架206可将经处理基板朝向加热器模块270抬高以增加加热效率,进而将含卤素的残余物转化为可泵送出负载锁定腔室122的非挥发性混合物。在移除处理期间,可将一或多种处理气体供应至负载锁定腔室122中,以促进如下文进一步讨论的卤素移除。在从基板表面将经处理的基板表面上的含卤素的残余物部分或全部除气之后,在负载锁定腔室122中打开通风信道230以允许负载锁定腔室122中的压力升高至大体上匹配工厂接口102中的压力,进而促进经处理的基板移送至FOUP106A-B。当通风时,将底座240抬高以接触停置于第二基板支架206上的经处理的基板。因此,经处理的基板通过将热经由底座240移送至管290中循环的流体而冷却。一旦压力匹配,就打开第一流量阀244以允许工厂接口机器人114接取负载锁定腔室122以将经处理的基板从第二基板支架206移除且返回至FOUP106A-B中的一者。因而,由于同时执行基板冷却处理及负载锁定腔室通风处理,故减少总处理周期及循环时间且增加生产率及产量。在通过工厂接口机器人114从第二基板支架206移除经处理基板时,可将来自FOUP106A-B的新近未经处理基板移送至第一基板支架204上的负载锁定腔室122中,而流量阀244及负载锁定腔室122仍打开。
在完成基板移送之后,关闭第一流量阀244及通风信道230。随后打开泵信道232且将负载锁定腔室122抽气至大体上与移送腔室136的压力相等的压力。一旦负载锁定腔室122与移送腔室136的压力大体上相等,就打开第二流量阀246且移送机器人130随后取回新近未经处理的基板以将其定位于第一基板支架204中以用于在外接移送腔室136的处理腔室110、112、132、128、120的一或多者中处理,进而重复且连续执行如上所述的蚀刻处理及含卤素的残余物的移除处理。在完成基板移送之后,如上所述关闭第二流量阀246以将负载锁定腔室122密封以隔离移送腔室136。
图5示出根据本发明用于从基板移除含卤素的残余物的方法500的流程图。方法500经配置以在如图1中所述的处理系统100执行。预期可在其它适合的处理系统(包括来自其它制造商的那些处理系统)中执行方法500。
方法500以步骤502,即通过提供基板开始,该基板具有安置于其上的将在处理系统100中予以蚀刻的层。工厂接口机器人114将待由FOUP106A-B中的一者处理的基板移送至负载锁定腔室122中的第一基板支架204。基板可为对其执行膜处理的任何基板或材料表面。在一个实施例中,基板可具有形成于其上的用以形成结构(诸如栅结构)的一或多个层。基板可替代地利用屏蔽层作为安置于基板上的蚀刻屏蔽及/或蚀刻终止层以促进将特征结构或结构移送至基板。在另一个实施例中,基板可具有用以形成不同图案及/或特征结构(诸如,双镶嵌结构及其类似结构)的多层(例如,膜层叠)。基板可为诸如结晶硅(例如,Si<100>或Si<111>)、氧化硅、应变硅(strained silicon)、锗化硅、掺杂或未掺杂多晶硅、掺杂或未掺杂硅晶圆及图案化或未图案化晶圆绝缘物上硅(SOI)、掺杂碳的氧化硅、氮化硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石、安置于硅上的金属层及其类似物的材料。基板可具有各种尺寸,诸如,200nm或300nm直径晶圆以及长方形或正方形面板。
在一个实施例中,可通过加热器模块270或通过负载锁定腔室122中的温度控制底座240将移送至负载锁定腔室122的基板预热至预定温度。在一个实施例中,可将基板预热至约摄氏20度与约摄氏400度之间的温度。
在步骤504,在负载锁定腔室122与移送腔室136内的压力大体上相等之后,真空机器人130将基板移送至处理腔室110、112、132、128、120的一者。在处理腔室110、112、132、128、120的一者中蚀刻基板以在基板上形成所要特征结构及图案。在基板具有安置于基板表面上的屏蔽层的实施例中,蚀刻处理同时蚀刻该等屏蔽层,同时形成所要特征结构及图案。
在一个实施例中,通过供应至少具有含卤素的气体的气体混合物在处理腔室110、112、132、128、120的一者中蚀刻基板。含卤素的气体的实例包括(但不限于)溴化氢(HBr)、氯(Cl2)、四氟化碳(CF4)及其类似物。在适于蚀刻多晶硅的示范性实施例中,供应至处理腔室110、112、132、128、120的气体混合物以约20sccm与约300sccm之间(诸如约20sccm与约60sccm之间,例如约40sccm)的流动速率提供包括溴化氢(HBr)及氯(Cl2)气体的气体混合物。溴化氢(HBr)及氯(Cl2)气体可具有范围在约1∶0与约1∶30之间(诸如,约1∶15)的气体比率。可由气体混合物将惰性气体供应至处理腔室110、112、132、128、120。惰性气体的适合实例可包括氮(N2)、氩(Ar)、氦(He)及其类似物。在一个实施例中,可由气体混合物以约0sccm与约200sccm之间(诸如约0sccm与约40sccm之间,例如约20sccm)的流动速率供应惰性气体(诸如N2)。可由气体混合物供应还原气体(诸如一氧化碳(CO))。可将用于蚀刻处理的等离子体功率维持在约200瓦特与约3000瓦特之间(诸如约500瓦特与约1500瓦特之间,例如约1100瓦特),且可将偏压功率维持在约0瓦特与约300瓦特之间(诸如约0瓦特与约80瓦特之间,例如约20瓦特)。可将处理压力控制在约2mTorr与约100mTorr之间(诸如,约2mTorr与约20mTorr之间,例如约4mTorr),且可将基板温度维持在约摄氏0度与约摄氏200度之间(诸如约摄氏0度与约摄氏100度之间,例如约摄氏45度)。
在蚀刻处理期间,经蚀刻的材料可与蚀刻剂化学品的组件组合以及与屏蔽层的组件及蚀刻处理的副产物(若有)组合,进而形成含卤素的残余物。在一个实施例中,待蚀刻的基板上的材料可包括光阻剂层、硬屏蔽层、底部抗反射涂层(BARC)、多晶硅、结晶硅、栅氧化物、金属栅(诸如氮化钛(TiN))及高k材料(诸如氧化铝(Al2O3)、含铪氧化物)。硬屏蔽层的适合实例包括氮化硅、正硅酸乙酯(TEOS)、氧化硅、非晶碳及碳化硅。含卤素的残余物沉积在基板的表面上。若暴露于大气压力及/或水蒸汽,则含卤素的残余物可释放(例如,排气出)气体反应物,诸如,溴(Br2)、氯(Cl2)、氯化氢(HCl)、溴化氢(HBr)及其类似物。该反应物的释放在基板移送期间可导致处理设备及工厂接口(诸如图1中所述的真空密闭处理平台104及工厂接口102)的腐蚀及微粒污染。在金属层(诸如,Cu、Al、W)暴露于基板表面的实施例中,金属层可由所释放的气体反应物腐蚀(若其未由下文所述的发明处理移除),进而不利地恶化形成于基板上的装置的效能。
卤素亦可存在于以除蚀刻的外的方式在真空环境中处理的基板的表面上。因此,预期可使用本文所述的方法及设备从那些基板移除卤素。
在步骤506,将经处理(例如,经蚀刻)的基板移送至负载锁定腔室122以在步骤504期间所产生的含卤素的残余物暴露于工厂接口或其它位置中的大气条件或水蒸汽之前,将该等残余物从该基板移除。在蚀刻处理之后,移送腔室136中的真空机器人130将蚀刻基板从处理腔室110、112、132、128、120的一者移送至负载锁定腔室122中的第二基板支架206。
在步骤508,对蚀刻基板执行热处理过程以移除蚀刻基板表面上的含卤素的残余物。由第二基板支架206固持的蚀刻基板将基板124朝向加热器模块270抬高,进而增加热传送至基板的强度。来自加热器模块270的热导致基板表面的温度升高,进而导致沉积在蚀刻基板表面上的以卤素为主的反应物得以释放及/或除气。加热器模块270在约5秒与约30秒之间将基板加热至约摄氏20度与约摄氏400度之间(诸如摄氏约150度与约摄氏300度之间,例如约摄氏250度)的温度。基板通过加热器模块270的快速加热允许移除蚀刻基板上的含卤素的残余物,而无需增加处理循环时间(在处理器腔室的一者中移除残余物时将遭遇此情况)。在一个实施例中,可通过加热器模块270以预定时间周期加热基板直至从基板移除蚀刻基板上的含卤素的残余物。可使用测量装置428来确定时间或终点。可在约摄氏150度与约摄氏300度之间的温度(诸如摄氏250度)下将蚀刻基板加热约10秒至约120秒之间(诸如约30秒至约90秒之间)。
在一个实施例中,可将气体混合物从气源252供应至负载锁定腔室122,同时加热蚀刻基板。使蚀刻基板暴露于气体混合物且与其反应。气体混合物将经除气的以卤素为主的反应物转化为泵送出负载锁定腔室122的非腐蚀性挥发性化合物。气体混合物可包括含氧的气体(诸如O2、O3、水蒸汽(H2O))、含氢的气体(诸如H2、成形气体、水蒸汽(H2O)、烷烃、烯烃及其类似物)或惰性气体(诸如氮气(N2)、氩(Ar)、氦(He)及其类似物)。举例而言,气体混合物可包括氧、氮及含氢的气体。在一个实施例中,含氢的气体为氢(H2)及水蒸汽(H2O)中至少一者。在基板上存在屏蔽层的实施例中,可将屏蔽层与含卤素的残余物同时移除,例如,将负载锁定腔室中的光阻剂的屏蔽剥离。
在一个实施例中,可以约100sccm与约5000sccm之间(诸如约200sccm与约1000sccm之间,例如约300sccm)的流动速率供应气体混合物。或者,举例而言,气体混合物可为以约1∶1与约20∶1之间(诸如,约10∶1)的气体比率供应的O2及N2气体混合物。可将负载锁定腔室122的压力维持在约10mTorr与约5000mTorr之间(诸如,约100mTorr与约1000mTorr之间,例如约300mTorr)。在含卤素的残余物主要为由使用以氯为主的蚀刻化学品产生的以氯为主的残余物的实施例中,气体混合物可为氧气(O2)及/或含氢的气体(诸如水蒸汽(H2O)及/或H2)。可以约100sccm与约5000sccm之间的流动速率供应氧气(O2),且可以约100sccm与约3000sccm之间的流动速率供应含氢的气体(诸如水蒸汽(H2O)及/或H2)。或者,可以约200∶1与约1∶1之间(诸如约150∶1与约5∶1之间)的比率供应氧气(O2)及含氢的气体(诸如水蒸汽(H2O)及/或H2)。或者,气体混合物可为氧气或含纯氢的气体(诸如水蒸汽(H2O))。可利用残余气体分析器(RGA)(诸如图4中所述的测量装置428)来检测蚀刻基板表面上的剩余的含卤素的残余物。
在替代实施例中,可经由远程等离子体源(诸如图2中的远程等离子体源248)将气体混合物提供到负载锁定腔室122的内部空间。远程等离子体源将气体混合物离子化。解离的离子及物种促进将经除气的以卤素为主的反应物转化成非腐蚀性挥发性化合物,进而增加含卤素的残余物从蚀刻基板表面的移除效率。在一个实施例中,远程等离子体源可提供在约500瓦特与600瓦特之间的等离子体功率。在存在等离子体的实施例中,可由气体混合物供应惰性气体(诸如Ar、He或N2)。
视情况而定,可执行步骤509,其中将基板返回至系统的处理腔室110、112、132、128、120的一者以用于从真空环境移开之前的额外处理。在步骤508的卤素移除处理之后,在随后处理期间基板不会将卤素引入处理腔室中,进而防止对处理腔室的损坏。
在步骤510,在卤素残余物移除步骤508之后抬高温度控制底座240以接触支撑于第二基板支架206上的蚀刻基板以将基板冷却至所要温度。通过将热经由底座240移送至管290中流体的循环来冷却蚀刻基板。在一个实施例中,可将蚀刻基板冷却至范围在约摄氏10度与约摄氏125度之间的温度,该温度允许蚀刻基板返回至FOUP106A-B而不会导致对FOUP106A-B的损坏。
或者,在步骤510,温度控制底座240在卤素残余物移除步骤508之后冷却支撑于第二基板支架206上的蚀刻基板,以将该基板冷却至太大而不能置放于FOUP中的第一温度(例如,大于约摄氏125度的温度)。替代步骤510将包括从负载锁定腔室122移除仍然热的基板且将基板置放于基板支架182中历时预定周期时间直至基板达到足够低以能置放于FOUP中的第二温度(例如,小于约摄氏125度的温度)。在一个实施例中,将基板设置在基板支架182中以冷却约20-30秒。
在步骤510冷却基板时,可同时通风负载锁定腔室122以为在步骤512之后续基板移送处理做准备以最小化处理循环时间。一旦负载锁定腔室122与工厂接口102的压力匹配,就打开第一流量阀244以允许工厂接口机器人114接取负载锁定腔室122以从负载锁定腔室122移除蚀刻基板且返回至FOUP106A-B的一者。可将来自FOUP106A-B的新近未处理的基板移送至第一基板支架204上的负载锁定腔室122中,同时从第二基板支架206移除蚀刻基板,进而如第5中所示出的回路514所指示重复且连续处理基板。
图6示出在其它真空腔室中可在图2的负载锁定腔室122中利用的窗600的一个实施例的截面图。窗600包括连接到凸面部件604的环602。环602及凸面部件604可由透明石英或其它适合的材料制造。在一个实施例中,环602的周边部分可由磨砂白石英或其它适合的不透明材料制造以遮蔽下方o形环免受从多个灯260发出的光,进而减少由于o形环上辐射加热的降级。窗600可在退火之前经火研磨。在一个实施例中,环602可熔合至凸面部件604以在其间提供真空紧密密封。
环602通常包括内边缘612、外边缘606、顶部610及底部608。内边缘612包括在边缘612的上部612a与下部612b之间向内径向延伸的唇状物614。边缘612的上部612a及下部612b具有为唇状物614提供结构支撑的大半径。下部612b的半径可比上部612a的半径大。唇状物614向上且向内成角度,且提供用于将环602连接到凸面部件604的紧固表面。在一个实施例中,底部608与o形环成密封接触以防止经过窗的泄漏。
凸面部件604包括接合在外部边缘620上的顶部616及底部618。外部边缘620经熔合或另外密封紧固至环602的唇状物614。顶部616及底部618的曲率及凸面部件604的厚度经选择以承受在半导体处理系统的负载锁定腔室中共同利用的真空度。在一个实施例中,凸面部件604的顶部616延伸至超过环602的顶部610的高度。
图7示出在其它真空腔室中可在图2的负载锁定腔室122中利用的温度控制底座700的一个实施例的截面图。温度控制底座700包括基底702及冷却旋管704。基底702可由铝或其它适合的材料制造,且具有用于支撑基板的顶面706、底面708及外壁712。
外壁712界定基底702的外直径且具有从其延伸的小突出物714。突出物714可以适于将温度控制底座700支撑于形成于腔室主体202中的凸部780上的连续或间歇唇状物或其它几何突出物的形式。突出物714用以将基底702与腔室主体202热隔离。突出物714使得能够将温度控制底座700有效维持在摄氏25度或其之下同时将腔室主体202的壁维持在超出摄氏50度(例如,约摄氏25度的温差)。维持温差的能力允许将腔室主体202保持在其上材料的沉积最小化的高温,同时仍然允许定位于底座700上的基板的良好冷却。在一个实施例中,突出物714大体上为三角形式。
温度控制底座700的顶面706包括凸起轮缘716及多个基板间隔物718(图8中所示)。凸起轮缘716定位于基底702的外壁712上。凸起轮缘716可呈适于将基板维持及/或居中于顶面706上的连续或间歇唇状物或其它几何突出物的形式。基板间隔物718从顶面706突出小距离以便不过度阻碍顶面706与定位于间隔物718上的基板之间的热传送。在一个实施例中,基板间隔物718呈圆顶形式。
旋管704收容在形成于基底702的底面708中的凹槽720中。旋管704可通过灌注材料710固定在凹槽720中。或者,旋管704可通过紧固件、夹钳或允许旋管704与基底702之间的良好热传送的其它构件来固定在凹槽720中。
温度控制底座700的基底702亦包括经配置以接纳光学终端262的安装特征结构730。光学终端262促进保护可用以确定定位于温度控制底座700上的基板的温度的光学信息。安装特征结构730可经定位而从基底702的中心线偏移。
图9为图标与基底702的安装特征结构730配合的光学终端262的一个实施例的部分截面图。光学终端262包括窗902、可选过滤器904、光学移送装置906及配接器908。光学移送装置906可是光学准直仪或透镜(诸如广角或鱼眼透镜)。
配接器908至少将可选过滤器904及光学移送装置906保持至基底702。在一个实施例中,安装特征结构730包括通向形成于凹槽720的底面934上的阶式凹槽932中的孔930。在阶式凹槽932的底面934中形成o形环压盖936。基底702的顶面706可包括埋头孔940,通向孔930中以促进光进入。埋头孔940具有大于10度的埋头孔角度。在一个实施例中,埋头孔角度为45度或更大。宽埋头孔角度促进大量光的捕获以达增加的讯号强度。包含光吸收材料(诸如碳膜)的基板可吸收实质量的光,进而减少进入孔930的光的量。宽埋头孔角度有利地使得穿过基板的额外光能够行进至孔930中,进而产生可补偿穿过基板的不良光透射的增加的讯号强度。孔930亦可包括粗糙表面特征结构(诸如形成于基底702的壁中界定孔930的多个隆脊942),以改良所要光的捕获。多个隆脊942减少孔930内的光的反射比。
光学终端262旋拧或夹紧至基底702,以使得安置于基底702的压盖936中及在配接器908的压盖944中的o形环在跨越孔930的窗902周围产生气密密封。窗902可为石英、蓝宝石或其它适合的材料。
光学终端262包括中心信道950,在其中固定光学导管264的末端、光学过滤器904及光学移送装置906。在一个实施例中,中心信道950包括将光学移送装置906啮合在窗902紧邻位置中的第一螺纹部952。
光学移送装置906与孔930的靠近位置提供入射光的较大捕获角度。光学导管264的末端可经由将螺纹孔啮合于配接器908中的夹钳、扣件而固定至配接器908,或者光学导管264的末端可直接穿入配接器908的中心信道950。在另一个实施例中,光学过滤器904连接在光学导管264的末端上。光学过滤器904啮合至二极管感应器,该感应器能够读取可用以确定定位于温度控制底座700上的基板的温度的光学信息。
在图9中亦示出阳极化涂层990,其覆盖基底702的顶面706、孔930及阶式凹槽932的底面934直至压盖936的部分。
图10至图11示出在其它真空腔室中可在图2的负载锁定腔室122中利用的基板支架1000的一个实施例的透视及顶部平面图。基板支架1000可由铝或其它适合的材料制造。基板支架1000经设计以最小化与基板的接触。基板支架1000包括具有安装凸缘1004及两个支撑凸缘1006的弧形主体1002。安装凸缘1004从支架1000的外部边缘1008向外径向延伸。安装凸缘1004包括两个定位销孔1010、安装孔1012及安装狭槽1014以促进将支架1000安装至环箍220(图2中所示)或其它支撑结构。孔1012及狭槽1014在环箍220与支架1000之间提供较大容许误差(tolerance),同时定位销孔1010允许负载锁定腔室122内的支架1000的精确定向及定位。
每一支撑凸缘1004从支架1000的内部边缘1020向内径向延伸。支撑凸缘1004定位于支架1000的相对末端上。
另外参照图11及图12,支撑凸缘1004的每一者包括台阶1022。在替代实施例中,支撑凸缘的每一者包括平坦末端。台阶1022从支架1000的顶侧1024凹入。台阶1022包括基板停置于其上同时停置于支架1000上的平台1026。平台1026可具有大体上水平的方位,该方为亦与支架1000的顶侧1024及底侧1028平行。平台1026可替代地相对于水平向下倾斜,进而具有相对于支架1000的顶侧1024的2至5度之间的方向。平台1026的倾斜方位允许基板仅在基板的非常边缘处接触支架1000,进而最小化潜在的损坏。此外,由于基板只在两个小平台1026上接触支架1000,故比沿支架的全部长度接触基板的设计进一步减少对基板的潜在损坏。此外,由于基板的主体(bulk)接触或紧邻与支架热隔离的冷却底座,故由平台1026与基板的最小接触允许较佳温度控制。
每一台阶1022可替代地包括形成于台阶1022中的孔1052。将接触球1050保持在孔1052中以使得球1050的边缘延伸至台阶1022的表面之上。举例而言,可将接触球1050压配于孔1052中。在一个实施例中,接触球1050包含硝酸硅或具有低热传送速率的其它适合材料。由于基板只接触支架1000的两个接触球1050,故基板与支架1000之间的热隔离得以改良,进而产生改良的基板温度控制及基板的更快加热。
因此,本发明提供一种用于移除基板上的卤素及/或含卤素的残余物的设备。该设备有利地防止沉积在基板上的金属膜的暴露部分的污染及腐蚀,以及防止由所释放的卤素引起的处理系统的污染及腐蚀,进而提高生产率及处理产量。
虽然前文针对本发明的实施例,但是在不脱离本发明的基本范围的情况下,可设计本发明的其它及另外实施例,且本发明的范围由以下权利要求确定。

Claims (7)

1.一种底座,其包含:
基底,其包含:
外壁;
突出物,其从所述外壁径向延伸;
顶面;
底面;
安装特征结构,其中心定位于所述基底内,所述安装特征结构具有经配置以接纳来自所述顶面的光学终端的孔;
凹槽,其形成于所述基底的所述底面上;及
埋头孔,其形成于所述基底的所述顶面上,所述埋头孔经配置以允许光进入所述孔中;及
冷却旋管,其安置于与所述基底的所述底面相邻的所述凹槽中。
2.根据权利要求1所述的底座,其中,所述基底包含:
窗,其密封所述孔。
3.根据权利要求1所述的底座,其中,所述基底进一步包含:
透镜,其安置于所述孔内并贯穿所述基底。
4.根据权利要求3所述的底座,其中,所述基底进一步包含:
光学终端,其安置于所述孔中且连接到所述基底,所述光学终端经对准以经由所述孔接收光学传送。
5.根据权利要求3所述的底座,其中,所述基底进一步包含:
凸起轮缘,其从所述基底的所述顶面延伸。
6.根据权利要求3所述的底座,其中,所述基底进一步包含:
多个圆顶,其从所述基底的所述顶面突出。
7.根据权利要求1所述的底座,其中,所述埋头孔具有大于约45度的角度。
CN201310250260.XA 2008-10-07 2009-10-02 用于从蚀刻基板有效地移除卤素残余物的设备 Active CN103337453B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10343508P 2008-10-07 2008-10-07
US61/103,435 2008-10-07
CN2009801398579A CN102177571A (zh) 2008-10-07 2009-10-02 用于从蚀刻基板有效地移除卤素残余物的设备

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2009801398579A Division CN102177571A (zh) 2008-10-07 2009-10-02 用于从蚀刻基板有效地移除卤素残余物的设备

Publications (2)

Publication Number Publication Date
CN103337453A true CN103337453A (zh) 2013-10-02
CN103337453B CN103337453B (zh) 2017-10-24

Family

ID=42101153

Family Applications (4)

Application Number Title Priority Date Filing Date
CN201310250260.XA Active CN103337453B (zh) 2008-10-07 2009-10-02 用于从蚀刻基板有效地移除卤素残余物的设备
CN201310250118.5A Active CN103346116B (zh) 2008-10-07 2009-10-02 用于从蚀刻基板有效地移除卤素残余物的设备
CN201610266919.4A Pending CN105895517A (zh) 2008-10-07 2009-10-02 用于从蚀刻基板有效地移除卤素残余物的设备
CN2009801398579A Pending CN102177571A (zh) 2008-10-07 2009-10-02 用于从蚀刻基板有效地移除卤素残余物的设备

Family Applications After (3)

Application Number Title Priority Date Filing Date
CN201310250118.5A Active CN103346116B (zh) 2008-10-07 2009-10-02 用于从蚀刻基板有效地移除卤素残余物的设备
CN201610266919.4A Pending CN105895517A (zh) 2008-10-07 2009-10-02 用于从蚀刻基板有效地移除卤素残余物的设备
CN2009801398579A Pending CN102177571A (zh) 2008-10-07 2009-10-02 用于从蚀刻基板有效地移除卤素残余物的设备

Country Status (5)

Country Link
US (2) US8293016B2 (zh)
KR (3) KR20160064251A (zh)
CN (4) CN103337453B (zh)
TW (2) TWI407521B (zh)
WO (1) WO2010042410A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104241097A (zh) * 2014-09-02 2014-12-24 上海华力微电子有限公司 改善半导体器件一体化刻蚀残留缺陷的方法
CN104797070A (zh) * 2014-01-16 2015-07-22 Mak股份有限公司 用于弯曲对象的表面处理设备

Families Citing this family (377)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7900579B2 (en) 2007-09-26 2011-03-08 Tokyo Electron Limited Heat treatment method wherein the substrate holder is composed of two holder constituting bodies that move relative to each other
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120074126A1 (en) * 2010-03-26 2012-03-29 Applied Materials, Inc. Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
WO2012118897A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5854741B2 (ja) * 2011-10-04 2016-02-09 株式会社アルバック 基板処理装置
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
KR102068186B1 (ko) * 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
JP5964626B2 (ja) * 2012-03-22 2016-08-03 株式会社Screenホールディングス 熱処理装置
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
TWM476362U (en) * 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR101734821B1 (ko) 2013-03-15 2017-05-12 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조시 기판들을 프로세싱하도록 적응된 프로세싱 시스템들, 장치, 및 방법들
WO2014150260A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
WO2015132830A1 (ja) * 2014-03-04 2015-09-11 キヤノンアネルバ株式会社 真空処理装置及び真空処理方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
SG10201901915QA (en) * 2014-09-05 2019-04-29 Applied Materials Inc Upper dome for epi chamber
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9881826B2 (en) 2014-10-24 2018-01-30 Lam Research Corporation Buffer station with single exit-flow direction
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10475674B2 (en) * 2015-03-25 2019-11-12 SCREEN Holdings Co., Ltd. Light irradiation type heat treatment apparatus and method for manufacturing heat treatment apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
CN108292589B (zh) * 2015-11-23 2023-05-16 应用材料公司 在处理工具中的板载计量(obm)设计与影响
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10119191B2 (en) * 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10083883B2 (en) * 2016-06-20 2018-09-25 Applied Materials, Inc. Wafer processing equipment having capacitive micro sensors
CN107546141B (zh) * 2016-06-28 2020-12-04 中微半导体设备(上海)股份有限公司 监测等离子体工艺制程的装置和方法
CN107546094B (zh) * 2016-06-28 2019-05-03 中微半导体设备(上海)股份有限公司 监测等离子体工艺制程的等离子体处理装置和方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
EP3513428A4 (en) * 2016-09-15 2020-06-10 Applied Materials, Inc. INTEGRATED SYSTEM FOR SEMICONDUCTOR PROCESSES
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR20180098448A (ko) 2017-02-24 2018-09-04 삼성전자주식회사 잔류 가스 제거 장치 및 이를 포함하는 기판 처리 설비
US20180272390A1 (en) * 2017-03-24 2018-09-27 Applied Materials, Inc. Batch processing load lock chamber
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
WO2018226755A1 (en) * 2017-06-05 2018-12-13 Seescan, Inc Deep water enclosures for lighting and imaging
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
TWI648844B (zh) * 2017-11-06 2019-01-21 Industrial Technology Research Institute 薄膜電晶體及其製造方法
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
TW202143368A (zh) * 2020-01-07 2021-11-16 日商東京威力科創股份有限公司 水蒸氣處理裝置及水蒸氣處理方法、基板處理系統、以及乾蝕刻方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114453346A (zh) * 2022-01-19 2022-05-10 中国科学院微电子研究所 一种针对碳纳米管表面残留有机物的去除设备和去除方法

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63128717A (ja) * 1986-11-19 1988-06-01 Fujitsu Ltd プラズマ処理装置
JPH01129966A (ja) * 1987-11-16 1989-05-23 Fujitsu Ltd 高温加熱スパッタリング方法
US6035100A (en) * 1997-05-16 2000-03-07 Applied Materials, Inc. Reflector cover for a semiconductor processing chamber
JP2000173988A (ja) * 1998-12-01 2000-06-23 Sumitomo Metal Ind Ltd 基板保持台、及びプラズマ処理装置
TW406346B (en) * 1996-08-26 2000-09-21 Applied Materials Inc Method and apparatus for cooling a workpiece using an electrostatic chuck
US6171641B1 (en) * 1989-12-11 2001-01-09 Hitachi, Ltd. Vacuum processing apparatus, and a film deposition apparatus and a film deposition method both using the vacuum processing apparatus
US20010027970A1 (en) * 2000-04-07 2001-10-11 Yicheng Li Single-substrate-heat-processing apparatus for semiconductor process
CN1319247A (zh) * 1998-09-25 2001-10-24 兰姆研究公司 低污染、高密度等离子蚀刻腔体及其加工方法
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020089655A1 (en) * 1997-12-03 2002-07-11 Nikon Corporation Substrate transport apparatus and method
US20070175391A1 (en) * 2006-01-31 2007-08-02 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW277139B (zh) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
US6372073B1 (en) 1999-08-11 2002-04-16 Southpac Trust International Inc. Process for producing holographic material
US6099648A (en) * 1997-08-06 2000-08-08 Applied Materials, Inc. Domed wafer reactor vessel window with reduced stress at atmospheric and above atmospheric pressures
US6406543B1 (en) * 1998-07-23 2002-06-18 Applied Materials, Inc. Infra-red transparent thermal reactor cover member
TWI250604B (en) * 1999-07-29 2006-03-01 Ibm Improved ladder boat for supporting wafers
KR100469379B1 (ko) * 2000-10-16 2005-02-02 신닛뽄세이테쯔 카부시키카이샤 웨이퍼 유지구, 웨이퍼 지지부재, 웨이퍼 유지 장치 및열처리로
JP3888608B2 (ja) * 2001-04-25 2007-03-07 東京エレクトロン株式会社 基板両面処理装置
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US20030234548A1 (en) * 2002-06-24 2003-12-25 Ravinder Aggarwal Wafer handler
KR100387728B1 (ko) * 2003-02-14 2003-06-18 코닉 시스템 주식회사 급속열처리 장치용 에지링
CN2758971Y (zh) * 2004-09-14 2006-02-15 微芯科技有限公司 晶圆蚀刻设备的晶圆承载装置
US7436645B2 (en) 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
KR20070020689A (ko) * 2005-08-16 2007-02-22 삼성전자주식회사 반도체 소자 제조설비의 공정챔버
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63128717A (ja) * 1986-11-19 1988-06-01 Fujitsu Ltd プラズマ処理装置
JPH01129966A (ja) * 1987-11-16 1989-05-23 Fujitsu Ltd 高温加熱スパッタリング方法
US6171641B1 (en) * 1989-12-11 2001-01-09 Hitachi, Ltd. Vacuum processing apparatus, and a film deposition apparatus and a film deposition method both using the vacuum processing apparatus
TW406346B (en) * 1996-08-26 2000-09-21 Applied Materials Inc Method and apparatus for cooling a workpiece using an electrostatic chuck
US6035100A (en) * 1997-05-16 2000-03-07 Applied Materials, Inc. Reflector cover for a semiconductor processing chamber
US20020089655A1 (en) * 1997-12-03 2002-07-11 Nikon Corporation Substrate transport apparatus and method
CN1319247A (zh) * 1998-09-25 2001-10-24 兰姆研究公司 低污染、高密度等离子蚀刻腔体及其加工方法
JP2000173988A (ja) * 1998-12-01 2000-06-23 Sumitomo Metal Ind Ltd 基板保持台、及びプラズマ処理装置
US20010027970A1 (en) * 2000-04-07 2001-10-11 Yicheng Li Single-substrate-heat-processing apparatus for semiconductor process
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20070175391A1 (en) * 2006-01-31 2007-08-02 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104797070A (zh) * 2014-01-16 2015-07-22 Mak股份有限公司 用于弯曲对象的表面处理设备
CN104241097A (zh) * 2014-09-02 2014-12-24 上海华力微电子有限公司 改善半导体器件一体化刻蚀残留缺陷的方法

Also Published As

Publication number Publication date
KR20110079832A (ko) 2011-07-08
WO2010042410A2 (en) 2010-04-15
TWM464808U (zh) 2013-11-01
KR101632031B1 (ko) 2016-06-21
KR20120007864U (ko) 2012-11-16
CN105895517A (zh) 2016-08-24
KR20160064251A (ko) 2016-06-07
WO2010042410A3 (en) 2010-06-10
US8486194B2 (en) 2013-07-16
CN103346116B (zh) 2016-01-13
TW201025482A (en) 2010-07-01
CN103337453B (zh) 2017-10-24
US20100133255A1 (en) 2010-06-03
US8293016B2 (en) 2012-10-23
CN102177571A (zh) 2011-09-07
US20130040080A1 (en) 2013-02-14
CN103346116A (zh) 2013-10-09
TWI407521B (zh) 2013-09-01
KR200474122Y1 (ko) 2014-08-25

Similar Documents

Publication Publication Date Title
CN103346116B (zh) 用于从蚀刻基板有效地移除卤素残余物的设备
CN102243989B (zh) 通过热处理从所蚀刻的衬底去除卤素残余物的集成方法
US9735002B2 (en) Integrated apparatus for efficient removal of halogen residues from etched substrates
US20090139657A1 (en) Etch system
JP5752238B2 (ja) チャンバにガスを放射状に分配するための装置及びその使用方法
US7585686B2 (en) Method and apparatus for processing a wafer
US7159599B2 (en) Method and apparatus for processing a wafer
US7431795B2 (en) Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
WO2023147212A1 (en) Enhanced euv underlayer effect with diffusion barrier layer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant