CN103187388A - 封装的半导体器件及封装半导体器件的方法 - Google Patents

封装的半导体器件及封装半导体器件的方法 Download PDF

Info

Publication number
CN103187388A
CN103187388A CN2012101919442A CN201210191944A CN103187388A CN 103187388 A CN103187388 A CN 103187388A CN 2012101919442 A CN2012101919442 A CN 2012101919442A CN 201210191944 A CN201210191944 A CN 201210191944A CN 103187388 A CN103187388 A CN 103187388A
Authority
CN
China
Prior art keywords
semiconductor device
contact pad
insulating barrier
moulding compound
encapsulation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012101919442A
Other languages
English (en)
Other versions
CN103187388B (zh
Inventor
林俊成
洪瑞斌
刘乃玮
茅一超
施婉婷
董簪华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103187388A publication Critical patent/CN103187388A/zh
Application granted granted Critical
Publication of CN103187388B publication Critical patent/CN103187388B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/821Forming a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)

Abstract

提供了在半导体器件衬底上形成模塑料使晶圆级封装(WLP)中的扇出结构成为可能的机制。该机制包括覆盖包围接触焊盘的绝缘层的部分表面。该机制改善了封装件的可靠性和封装工艺的工艺控制。该机制还降低了界面分层的风险,以及在后续加工期间绝缘层的过度除气。该机制还改善了平坦化终点的确定。通过利用接触焊盘和绝缘层之间的保护层,可以降低铜的外扩散并且也可以改善接触焊盘和绝缘层之间的粘着性。本发明提供了封装的半导体器件及封装半导体器件的方法。

Description

封装的半导体器件及封装半导体器件的方法
相关申请的交叉参考
本申请涉及以下共同待决和普通转让的专利申请:于2011年9月8日提交的名称为“Packaging Methods and Structures Using a Die Attach Film(采用管芯接合膜的封装方法和结构)”的序列号13/228,244,将其全部内容并入本申请。
技术领域
本发明涉及半导体封装,具体而言,涉及封装的半导体器件及封装半导体器件的方法。
背景技术
半导体器件用于各种电子应用,诸如个人电脑、手机、数码相机、以及其他电子设备。通常通过在半导体衬底上方按顺序沉积绝缘或者介电层、导电层、和半导电层的材料,以及采用光刻图案化各种材料层以在其上形成电路元件和元件来制造半导体器件。
半导体产业通过不断减小最小部件尺寸来不断提高各种电子元件(例如,晶体管、二极管、电阻器、电容器等等)的集成密度,这容许将更多的元件集成到给定的区域中。在某些应用中,这些更小的电子元件同样需要比过去的封装件利用更少面积的更小的封装件。
因此,已经开始开发新的封装技术诸如晶圆级封装(WLP),其中将集成电路(IC)设置在具有用于与IC和其他电气元件建立连接的布线的载具上。这些用于半导体的相对新型的封装技术面临制造挑战。
发明内容
一方面,本发明涉及一种封装的半导体器件,包括:接触焊盘,位于半导体管芯上;绝缘层,包围所述接触焊盘;以及模塑料,包围所述绝缘层,其中,所述模塑料与所述绝缘层的两个邻近的且非线性的表面相接触。
在所述的封装的半导体器件中,布线层设置在所述接触焊盘上并物理连接至所述接触焊盘,其中,所述布线层延伸到所述半导体管芯的边界之外。
在所述的封装的半导体器件中,包围所述接触焊盘的所述绝缘层具有薄部和厚部,并且其中,所述薄部的厚度处于约1μm至约30μm的范围内。
在所述的封装的半导体器件中,保护层位于所述接触焊盘和所述绝缘层之间。
在所述的封装的半导体器件中,所述保护层是铜扩散阻挡件。
在所述的封装的半导体器件中,所述保护层的厚度处于约50nm至约2μm的范围内。
在所述的封装的半导体器件中,所述保护层也位于所述绝缘层和下面的另一绝缘层之间。
在所述的封装的半导体器件中,所述保护层是介电材料并且包括选自由SiN、SiC、SiCN、SiCO、TEOS、SiO2或低-k电介质组成的组的材料。
在所述的封装的半导体器件中,所述介电材料也改善了所述接触焊盘和所述绝缘层之间的粘着性。
在所述的封装的半导体器件中,所述保护层是导电材料并且由选自由Ta、TaN、Ti、TiN、Co、和Mn组成的组的材料制成。
在所述的封装的半导体器件中,所述接触焊盘是铜柱并且在下面具有凸块下金属化层。
在所述的封装的半导体器件中,所述接触焊盘的厚度大于所述绝缘层的厚度。
另一方面,本发明还提供了一种封装的半导体器件,包括:接触焊盘,位于半导体管芯上;绝缘层,包围所述接触焊盘;保护层,其中,所述保护层位于所述接触焊盘和所述绝缘层之间;以及模塑料,包围所述绝缘层,其中,所述模塑料与所述绝缘层的两个邻近的且非线性的表面相接触。
又一个方面,本发明提供了一种封装半导体器件的方法,所述方法包括:提供半导体器件,其中,所述半导体器件具有接触焊盘;在所述半导体器件上方形成绝缘层,其中,所述接触焊盘的厚度大于所述绝缘层的厚度;形成模塑料以覆盖所述半导体器件以及位于所述半导体器件和相邻的半导体器件之间的间隔,其中,这两个半导体器件都位于载具晶圆上;以及通过去除位于所述接合焊盘上方的所述模塑料和所述绝缘层来平坦化所述半导体器件的表面。
所述的方法还包括:在所述半导体器件上方形成再分布层(RDL),其中,将所述RDL连接至所述接触焊盘,并且其中,所述RDL延伸到所述半导体器件的边界之外。
所述的方法还包括:在所述接触焊盘和所述绝缘层之间形成保护层。
在所述的方法中,所述保护层的厚度处于约50nm至约2μm的范围内。
在所述的方法中,通过研磨实施平坦化所述表面。
在所述的方法中,所述接触焊盘是铜柱并且具有处于约1μm至约35μm范围内的厚度。
在所述的方法中,通过蚀刻去除未包围所述接触焊盘的所述保护层。
附图说明
为了更充分地理解本发明及其优点,现在将结合附图所进行的以下描述作为参考,其中:
图1A至图1H是根据一些实施例的在各个封装阶段的扇出晶圆级封装件(FO-WLP)中的半导体器件的剖视图。
图1I是根据一些实施例的图1H的封装的半导体器件的放大剖视图。
图2A至图2C是根据一些实施例的分别在图1B、图1C和图1D中描述的扇出晶圆级封装件(FO-WLP)中的半导体器件的一部分的放大剖视图。
图3A至图3B是根据一些实施例的在各个封装阶段的扇出晶圆级封装件(FO-WLP)中的半导体器件的顺序加工的剖视图。
图3C是根据一些实施例的封装的半导体器件的放大剖视图。
图4A至图4B是根据一些实施例的在各个封装阶段的扇出晶圆级封装件(FO-WLP)中的半导体器件的剖视图。
图4C是根据一些实施例的封装的半导体器件的放大剖视图。
图5A至图5B是根据一些实施例的在各个封装阶段的扇出晶圆级封装件(FO-WLP)中的半导体器件的剖视图。
除非另有说明,不同附图中对应的标号和符号通常指对应的部件。绘制附图用于清楚地示出实施例的相关方面,并且不必须按比例绘制。
具体实施方式
在下面详细论述了本发明实施例的制造和使用。然而,应该理解,本发明提供了许多可以在各种具体环境中实现的可应用的发明构思。所论述的具体实施例仅仅是制造和使用本发明的示例性具体方式,而不用于限制本发明的范围。
图1A至图1I是根据本发明实施例的在各个封装阶段的半导体器件的剖视图。首先参考图1A,提供了载具晶圆100。作为实例,载具晶圆100可以包含玻璃、氧化硅、氧化铝等等。载具晶圆100的厚度可以介于约几密耳至几十密耳之间,并且在一些实施例中可以包括约300mm的直径。载具晶圆100作为在封装半导体器件或者管芯104(参见图1B)期间加工的用于扇出的载具晶圆起作用,扇出意为超出半导体管芯边界之外的电连接。
在一些实施例中,在载具晶圆100上方形成管芯接合膜(DAF)102,如图1A中所示。DAF 102可以包含聚合物,并且在一些实施例中包含热塑性材料。DAF 102可以是液体,例如粘稠液体,该液体在应用时为液体但在室温下形成固体,以及可以在加热时变成半液体,并且在升高的温度下可以变成粘性的从而作为粘着剂起作用。
接下来,如图1B中所示,将多个管芯104接合至DAF 102。作为实例,根据管芯104的尺寸、载具晶圆100的尺寸、以及具体用途,可以将几十个管芯104或者几百个管芯104或者更多的管芯接合至DAF 102。在本文中,出于论述的目的,管芯104具有正面103a和背面103b。管芯104的正面103a在本文中也被称为第一面,以及背面103b在本文中也被称为第二面。管芯104包括先前已经在半导体衬底上制造的半导体器件或者集成电路。管芯104可以包括一层或多层电路和/或在其上形成的电子功能元件,并且可以包括例如(未示出)导线、通孔、电容器、二极管、晶体管、电阻器、电感器、和/或其他电气元件。在制造之后,将管芯104彼此分离开并且准备进行封装。例如,可以使用拾取和放置机将管芯104放置在载具晶圆100上的预定位置中。如图1B中所示,将管芯104的背面103b接合至DAF 102。
接下来,实施封装工艺以封装多个管芯104中的每一个。在如图1A至图1I所描述的一些实施例中,为了封装管芯104,首先,在多个管芯104上方以及在DAF 102的暴露部分的上方形成模塑料106,如图1C中所示。如所示,可以在载具晶圆100上方将模塑料106模制到管芯104和DAF 102上。模塑料106的顶面可以高于(如图1C中所示)、齐平于(如图1D中所示)、或者略微低于管芯104的顶面104a。如所示,模塑料106填充至多个管芯104之间的间隙中。下面将论述模塑形成工艺的更多细节。
接下来,可以实施平坦化工艺(诸如研磨工艺)平坦化多个管芯104的顶面104a,从而可以至少减少并且可能基本上消除管芯104的顶面104a中的任何不均匀。如果模塑料106包括位于管芯104的顶面104a上的部分,通过研磨工艺也去除了模塑料106的这些部分,如图1D中所示。因此,模塑料106的剩余部分的顶面106a与多个管芯104的顶面104a齐平。此外,还可以通过研磨工艺将多个管芯104的高度或厚度减小至预定的高度。
例如在管芯104的正面103a上,在多个管芯104的顶面104a上方形成布线层108,如图1E中所示。布线层108可以包括一个或多个再分布层(RDL),将参考图1I在本文中对其作进一步论述。RDL层被一个或多个介电层包围。部分RDL可以延伸到管芯104的边界之外。结果,可以实现更好的连接性和设计灵活性。RDL使用于晶圆级封装(WLP)的扇出设计成为可能。
图1E中示出的结构包括例如位于包括多个管芯104的载具晶圆100上方的重建晶圆。在部分布线层108的上方形成多个焊球110,如图1F中所示。在制造和封装工艺的该阶段,可以例如通过实施电气和功能测试可选地对封装的管芯104进行测试。
在一些实施例中,封装的管芯104包括模塑料106、布线层108、焊球110、以及DAF 102。在其他实施例中,去除了DAF 102,从而封装的管芯包括模塑料106、布线层108和焊球110。
接下来,根据一些实施例,如图1G中所示,从封装的管芯104去除至少载具晶圆100。例如,在从封装的管芯104剥离(debonding)载具晶圆100的工艺期间,模塑料106和布线层108支撑管芯104。在图1A至图1I中示出的实施例中,如所示,DAF 102保留在管芯104的背面103b上。可选地,可以例如采用光(激光)或者热工艺在去除载具晶圆100时或者以分开的加工步骤去除DAF 102。
然后在分离线(singulation line)114处分离或者分开封装的管芯104,形成独立的封装的管芯104,在下文中也被称为封装的半导体器件120,如图1H中所示。在封装的半导体器件120内邻近管芯104的边缘在布线层108和DAF 102之间设置模塑料106,其保护管芯104的边缘。为了将封装的管芯104与邻近的封装的管芯104分离开,也如图1G中所示,可以对管芯104的正面103a上的焊球110施加带112。带112包括在分离工艺期间支撑封装的管芯104的切割带。然后从带112去除封装的半导体器件120,如图1H中所示。
图1I是根据一些实施例的图1H中示出的封装的半导体器件120的放大剖视图。图1I也示出了可以在去除载具晶圆100之后在DAF 102上方施加的可选带122。在一些实施例中,可选带122可以包括适合于用激光打标标记的标记带。在其他实施例中,DAF 102可以包括适合于例如用激光标记的材料,并且带122可以不包含在结构中。在形成焊球110之后,可以对管芯104实施测试,并且例如,可以对带122或者DAF 102进行标记以表明测试的结果。也可以出于其他各种原因在分离之前或者之后对封装的管芯104进行标记。
根据一些实施例,图1I还示出了管芯104和布线层108的更详细的视图。管芯104和布线层108的视图是示例性的;可选地,管芯104和布线层108可以包括其他结构、布局和/或设计。在示出的实施例中,管芯104包括包含硅或者其他半导体材料的衬底124。绝缘层126a和126b可以包含在衬底124上设置的钝化层。可以在衬底的导电部件(诸如金属焊盘127、插塞、通孔、或者导线)上方形成管芯104的接触焊盘128从而与衬底124的电气元件(未示出)形成电接触。接触焊盘128可以在形成绝缘层126c中,该绝缘层126c可以包含聚合物层或其他绝缘材料。
布线层108可以包括包含有聚合物或者其他绝缘材料的绝缘层132a和132b。如所示,可以在绝缘层132a和132b内形成RDL 130,其中部分RDL130与管芯104上的接触焊盘128形成电接触。如所示,可以在部分RDL 130和绝缘层132b上形成可选的凸块下金属化(UBM)结构(或层)134。UBM结构134有利于例如连接和形成焊球110。在于2011年9月8日提交的名称为“Packaging Methods and Structures Using a Die Attach Film(采用管芯接合膜的封装方法和结构)”的美国专利申请第13/228,244号中描述了封装半导体器件的方法的更多细节。
图2A是根据一些实施例的图1B的区域150的放大图。区域150是管芯104的一部分并且包括如图1I中描述的衬底124。如上所述,在衬底124中具有器件,该衬底124包含硅和/或其他半导体材料。在衬底124上方具有绝缘层126a,该绝缘层126a隔离并分开导电结构(未示出)。绝缘层126a可以包括未掺杂氧化硅、低介电常数(低-k)电介质、掺杂介电膜、或者其组合。在一些实施例中,低-k电介质的介电常数可以小于3.5。在其他一些实施例中,低-k电介质的介电常数可以小于2.5。
图2A示出了区域150还包括金属焊盘127,该金属焊盘127可以由铝或者其他适用材料制成。通过互连结构(未示出)将金属焊盘127连接至衬底124上的器件。在金属焊盘127上形成接触焊盘128’。在一些实施例中,金属焊盘128’由铜制成并且在金属焊盘127以及UBM层129(为了简明在图1I中未示出)的上方形成。UBM层129可以包括铜扩散阻挡件,其可以是钛层、氮化钛层、钽层、或者氮化钽层。UBM层129还可以包括种子层,其可以包括铜或者铜合金。然而,也可以包括其他金属,诸如银、金、铝、及其组合。
在一些实施例中,接触焊盘128’是铜柱并且通过电镀形成。绝缘层126b用于将接触焊盘128’和UBM层129的一部分与金属焊盘127隔离开。绝缘层126b可以由一个或多个介电层制成,该一个或多个介电层可以包括氧化物、氮化物、聚酰亚胺、绝缘聚合物、或者其他适用材料。绝缘层126c’覆盖绝缘层126b和接触焊盘128’。如上所述,绝缘层126c’可以包括聚合物层或者其他绝缘材料。可以用于绝缘层126c的示例性聚合物材料可以包括环氧树脂、聚酰亚胺、苯并环丁烯(BCB)、聚苯并恶唑(PBO)等等,然而也可以使用其他相对较软的(通常为有机的)介电材料。
在一些实施例中,在绝缘层126b上方测量的接触焊盘128的高度D’处于约1μm至约25μm的范围内。在绝缘层126b上方测量的绝缘层126c’的高度H’处于约1μm至约30μm的范围内。
图2B是根据一些实施例的图1C的区域150’的放大图。图2B示出了模塑料106覆盖管芯104。如在上面图1I中描述和示出的,将在模塑料106上方构建部分扇出结构,诸如RDL 130。根据一些实施例,模塑料106最初是液体形式并且在载具晶圆100上分散之后干燥。例如,模塑料106可以包括环氧树脂、树脂、二氧化硅填充物或者其他添加物。
图2C是根据一些实施例的图1D的区域150”的放大图。图2C示出已经通过平坦化工艺(诸如研磨)去除了部分的模塑料106、绝缘层126c、以及可能一部分的金属焊盘128,从而从接触焊盘128’的表面去除多余的材料并平坦化图2B的衬底表面。之后,根据一些实施例,如图1I中所示,然后将未完成的封装件(在载具晶圆100上具有图2C的结构)送至进行上面图1E至图1H中描述的其他封装工艺以制造封装的衬底。界面142’位于接触焊盘128’和介电层126c’之间。
对未完成的封装件(在载具晶圆100上具有图2C的结构)实施的包括安装球110的以下工艺操作可能对封装的管芯120施加大的应力。如图2C中所示,绝缘层126c’和模塑料106之间的界面141’是线性的、或者垂直的。在一些实施例中,线性界面141’相对较弱并且在应力作用下可能导致分层(delamination)。此外,当对图2B的衬底实施平坦化操作以去除部分的模塑料106和绝缘层126c’,以及可能一部分的接触焊盘128’时,平坦化工艺终点的确定可能是富有挑战性的。当被去除的材料从模塑料106变到绝缘层126c’以及然后变到接触焊盘128’时,可以通过检测由平坦化工具(诸如研磨机)承受的不同扭矩力来确定平坦化工艺的终点。在一些实施例中,通过由平坦化工具检测接触焊盘128’来确定终点。当平坦化工具需要识别两种不同类型的表面(绝缘层126c’和接触焊盘128’)的接合时,确定平坦化工艺的终点是富有挑战性的。
除了上面论述的问题外,绝缘层126c’的暴露表面333’在后续加工中在形成绝缘层132a和RDL 130期间可以释放化学物质。如上所述,绝缘层126c’可以由聚合物制成,该聚合物在等离子体工艺、溅射工艺、或者光刻胶灰化作用下可以分解。绝缘层126c’释放的化学物质可以重新沉积在接触焊盘128’和RDL 130之间的界面334’上并且导致界面电阻增加。由于至少这些问题,根据一些实施例,需要改进图2A至图2C中示出的形成模塑结构的机制以及它们的导致形成封装的半导体器件的后续结构。
根据一些实施例,图3A示出图1C的区域150’的更详细的剖视图。图3A的接触焊盘128”的高度D”高于图2A中的高度D’。在一些实施例中,高度D”处于约1μm至约35μm的范围内。绝缘层126”的高度H’处于约1μm至约30μm的范围内。绝缘层126c”的表面145低于接触焊盘128”的表面434。如果通过分配(诸如通过旋转涂布工艺)含有聚合物或者聚合物的前体的液体来形成绝缘层126c”,在接触焊盘128”上方形成绝缘层126c”的薄层126c*。在一些实施例中,薄层126c*的厚度处于约0.01μm至约3μm的范围内。上面已经描述了适合用于形成绝缘层126c”的示例性聚合物材料。在一些实施例中,然后实施固化工艺以驱除出膜中的水分或者溶剂。图3A示出模塑料106覆盖具有绝缘层126c”的管芯104。
图3B是根据一些实施例的图1D的区域150”的放大图。图3B示出诸如通过研磨已去除并平坦化了部分的模塑料106、位于接触焊盘128”上方的介电层126c”以及接触焊盘128”。根据一些实施例,然后将未完成的衬底封装件送至进行诸如图1E至1H中所描述的另外的封装工艺以制造封装的半导体器件。图3C示出根据一些实施例的封装的半导体器件120’的更详细的剖视图。
对于图3B中示出的结构,模塑料106和介电层126c”之间的界面141”不是单一的线性界面。相反,根据一些实施例,如图3C中所示,界面141”具有彼此连接的三段,即线性表面141A”、141B”、和141C”。界面141”在机械强度上比上面描述的单一的线性界面141’强,并且可以承受更多的应力而不分层。此外,在去除部分的模塑料106和介电层126c*以及可能一部分的接触焊盘128”的平坦化工艺期间,在接触焊盘128”上方仅有一薄层介电层126c*。与加工在接触焊盘128”上方不具有该薄层介电层126c*的结构相比,这使得确定平坦化的终点更加容易且更加准确。因为,一旦扭矩力由于平坦化工具接触介电层126c*而发生变化,平坦化工具将很快与接触焊盘128”接触。终点的确定将更加精确。例如,可以设置扭矩力变化之后的固定时间来停止平坦化工艺。此外,也大大降低了接触焊盘128”的界面334”上的界面电阻较高的风险。这是因为介电层126c”的暴露表面333”(相比于图2C中所述的表面333’)大大减少了。因此,介电层126c”释放的化学物质的量也大大减少了。因此,使介电层126c”释放的化学物质再沉积在界面334”上的风险最小化。
对于一些封装件,如图2C中所示,涉及到在接触焊盘128’和介电层126c’之间的界面142’(参见图2C)处的分层。另外,如果接触焊盘128’、128”包括铜,对于一些封装件,还可能涉及到接触焊盘128’、128”的金属扩散。结果,根据一些实施例,如图4A中所示,可以沉积保护层135以包围接触焊盘128”。保护层135可以由介电材料制成,该介电材料包括SiN、SiC、SiCN、SiCO、TEOS、SiO2、低-k电介质等等。例如,低-k电介质可以具有小于约3.5的介电常数。保护层135也改善了介电层126c”和接触焊盘128”之间的粘着性。在一些实施例中,保护层135的厚度处于约50nm至约2μm的范围内。根据一些实施例,形成介电层126c”和模塑料106的工艺与上面在图3A和图3B中所述的工艺相似。然后,去除模塑料106、介电层126c”和保护层135位于接触焊盘128”上方的部分。
图4B示出了根据一些实施例的在平坦化以去除位于接触焊盘128”上方的材料之后的结构的剖视图。保护层135覆盖接触焊盘128”的侧壁并且减少接触焊盘128”中的铜的外扩散。此外,保护层135也改善了接触焊盘128”和介电层126c”之间的粘着性。图4C示出了根据一些实施例的封装的半导体器件120”的剖视图。
在一些实施例中,保护层135’可以由足以阻止铜扩散的导电材料(诸如Ta、TaN、Ti、TiN、Co、Mn、或其组合)制成。图5A示出了根据一些实施例覆盖位于衬底124上的接触焊盘128”的侧壁的保护层135’。保护层135’未覆盖介电层126b的表面。在形成介电层126c”之前,通过蚀刻去除位于介电层126b表面上方的保护层135’。在一些实施例中,蚀刻工艺是干法等离子体工艺。然后,在衬底上沉积模塑料106。然后通过平坦化去除模塑料106、介电层126c*、保护层135’位于接触焊盘128”上方的部分。图5B示出了根据一些实施例的在平坦化操作之后的图5A的剖视图。
提供了在半导体器件衬底上形成模塑料以使晶圆级封装(WLP)中的扇出结构成为可能的机制。该机制包括覆盖包围接触焊盘的绝缘层的部分表面。该机制改善了封装件的可靠性和封装工艺的工艺控制。该机制还降低界面分层的风险以及在后续加工期间绝缘层的过多除气。该机制还改善了平坦化终点的确定。通过利用接触焊盘和绝缘层之间的保护层,可以减少铜的外扩散并且也可以改善接触焊盘和绝缘层之间的粘着性。
在一些实施例中,提供了一种封装的半导体器件。该封装的半导体器件包括位于半导体管芯上的接触焊盘;以及包围接触焊盘的绝缘层。该封装的半导体器件还包括包围绝缘层的模塑料,并且该模塑料与绝缘层的两个邻近的且非线性的表面相接触。
在一些其他实施例中,提供了一种封装的半导体器件。该封装的半导体器件包括位于半导体管芯上的接触焊盘;以及包围接触焊盘的绝缘层。该封装的半导体器件还包括保护层;并且该保护层位于接触焊盘和绝缘层之间。该封装的半导体器件还包括包围绝缘层的模塑料,并且该模塑料与绝缘层的两个邻近的且非线性的表面相接触。
在又一些其他实施例中,提供了一种封装半导体器件的方法。该方法包括提供半导体器件,并且该半导体器件具有接触焊盘。该方法还包括在半导体器件上方形成绝缘层,并且接触焊盘的厚度大于绝缘层的厚度。该方法还包括形成模塑料以覆盖半导体器件以及半导体器件和相邻的半导体器件之间的间隔。这两个半导体器件都位于载具晶圆上。此外,该方法包括通过去除位于接触焊盘上方的模塑料和绝缘层来平坦化半导体器件的表面。
尽管已经详细地描述了本发明的实施例及其优势,但应该理解,可以在不背离所附权利要求限定的本发明的精神和范围的情况下,在其中进行各种改变、替换和更改。例如,本领域技术人员将很容易理解,本文描述的部件、功能、工艺、和材料可以发生改变并且仍在本发明的范围内。而且,本申请的范围并不仅限于说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员根据本发明将很容易理解,根据本发明可以利用现有的或今后开发的用于执行与本文所述相应实施例基本上相同的功能或者获得基本上相同的结果的工艺、机器、制造、材料组分、装置、方法或步骤。因此,所附权利要求预期在其范围内包括这样的工艺、机器、制造、材料组分、装置、方法或步骤。

Claims (10)

1.一种封装的半导体器件,包括:
接触焊盘,位于半导体管芯上;
绝缘层,包围所述接触焊盘;以及
模塑料,包围所述绝缘层,其中,所述模塑料与所述绝缘层的两个邻近的且非线性的表面相接触。
2.根据权利要求1所述的封装的半导体器件,其中,布线层设置在所述接触焊盘上并物理连接至所述接触焊盘,其中,所述布线层延伸到所述半导体管芯的边界之外。
3.根据权利要求1所述的封装的半导体器件,其中,包围所述接触焊盘的所述绝缘层具有薄部和厚部,并且其中,所述薄部的厚度处于约1μm至约30μm的范围内。
4.根据权利要求1所述的封装的半导体器件,其中,保护层位于所述接触焊盘和所述绝缘层之间。
5.根据权利要求1所述的封装的半导体器件,其中,所述接触焊盘是铜柱并且在下面具有凸块下金属化层。
6.根据权利要求1所述的封装的半导体器件,其中,所述接触焊盘的厚度大于所述绝缘层的厚度。
7.一种封装的半导体器件,包括:
接触焊盘,位于半导体管芯上;
绝缘层,包围所述接触焊盘;
保护层,其中,所述保护层位于所述接触焊盘和所述绝缘层之间;以及
模塑料,包围所述绝缘层,其中,所述模塑料与所述绝缘层的两个邻近的且非线性的表面相接触。
8.一种封装半导体器件的方法,所述方法包括:
提供半导体器件,其中,所述半导体器件具有接触焊盘;
在所述半导体器件上方形成绝缘层,其中,所述接触焊盘的厚度大于所述绝缘层的厚度;
形成模塑料以覆盖所述半导体器件以及位于所述半导体器件和相邻的半导体器件之间的间隔,其中,这两个半导体器件都位于载具晶圆上;以及
通过去除位于所述接合焊盘上方的所述模塑料和所述绝缘层来平坦化所述半导体器件的表面。
9.根据权利要求8所述的方法,还包括:
在所述半导体器件上方形成再分布层(RDL),其中,将所述RDL连接至所述接触焊盘,并且其中,所述RDL延伸到所述半导体器件的边界之外。
10.根据权利要求8所述的方法,还包括:
在所述接触焊盘和所述绝缘层之间形成保护层。
CN201210191944.2A 2011-12-28 2012-06-11 封装的半导体器件及封装半导体器件的方法 Active CN103187388B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/338,820 2011-12-28
US13/338,820 US9000584B2 (en) 2011-12-28 2011-12-28 Packaged semiconductor device with a molding compound and a method of forming the same

Publications (2)

Publication Number Publication Date
CN103187388A true CN103187388A (zh) 2013-07-03
CN103187388B CN103187388B (zh) 2016-04-06

Family

ID=48608025

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210191944.2A Active CN103187388B (zh) 2011-12-28 2012-06-11 封装的半导体器件及封装半导体器件的方法

Country Status (3)

Country Link
US (2) US9000584B2 (zh)
CN (1) CN103187388B (zh)
DE (1) DE102012109484B4 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103745937A (zh) * 2014-02-08 2014-04-23 华进半导体封装先导技术研发中心有限公司 扇出型圆片级封装的制作工艺
CN104617001A (zh) * 2014-12-30 2015-05-13 南通富士通微电子股份有限公司 半导体再布线封装工艺
CN105321912A (zh) * 2014-05-30 2016-02-10 台湾积体电路制造股份有限公司 用于激光标刻的金属焊盘
CN105742198A (zh) * 2014-12-26 2016-07-06 台湾积体电路制造股份有限公司 管芯接合器及其使用方法
CN106560918A (zh) * 2015-10-05 2017-04-12 联发科技股份有限公司 半导体封装结构及其形成方法
US10163834B2 (en) 2016-09-09 2018-12-25 Powertech Technology Inc. Chip package structure comprising encapsulant having concave surface
US10269723B2 (en) 2014-05-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark design for packages
CN111128753A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 半导体器件及其形成方法
WO2021013097A1 (en) * 2019-07-25 2021-01-28 Nantong Tongfu Microelectronics Co., Ltd. Packaging structure and formation method thereof
TWI765327B (zh) * 2019-08-28 2022-05-21 台灣積體電路製造股份有限公司 積體電路及其形成方法、半導體封裝的形成方法
US11399438B2 (en) 2019-01-07 2022-07-26 Delta Electronics (Shanghai) Co., Ltd. Power module, chip-embedded package module and manufacturing method of chip-embedded package module

Families Citing this family (726)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283443B2 (en) 2009-11-10 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package having integrated capacitor
US9576919B2 (en) 2011-12-30 2017-02-21 Deca Technologies Inc. Semiconductor device and method comprising redistribution layers
US10373870B2 (en) 2010-02-16 2019-08-06 Deca Technologies Inc. Semiconductor device and method of packaging
US8922021B2 (en) 2011-12-30 2014-12-30 Deca Technologies Inc. Die up fully molded fan-out wafer level packaging
US9177926B2 (en) 2011-12-30 2015-11-03 Deca Technologies Inc Semiconductor device and method comprising thickened redistribution layers
US9385095B2 (en) 2010-02-26 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
US11244896B2 (en) 2019-01-27 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US9613830B2 (en) 2011-12-30 2017-04-04 Deca Technologies Inc. Fully molded peripheral package on package device
US10672624B2 (en) 2011-12-30 2020-06-02 Deca Technologies Inc. Method of making fully molded peripheral package on package device
US9831170B2 (en) 2011-12-30 2017-11-28 Deca Technologies, Inc. Fully molded miniaturized semiconductor module
WO2013102146A1 (en) 2011-12-30 2013-07-04 Deca Technologies, Inc. Die up fully molded fan-out wafer level packaging
US10050004B2 (en) 2015-11-20 2018-08-14 Deca Technologies Inc. Fully molded peripheral package on package device
US9508674B2 (en) 2012-11-14 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Warpage control of semiconductor die package
US9368438B2 (en) 2012-12-28 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package on package (PoP) bonding structures
US9378982B2 (en) 2013-01-31 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Die package with openings surrounding end-portions of through package vias (TPVs) and package on package (PoP) using the die package
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9646894B2 (en) 2013-03-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging mechanisms for dies with different sizes of connectors
US9070644B2 (en) 2013-03-15 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging mechanisms for dies with different sizes of connectors
US9466581B2 (en) 2013-10-18 2016-10-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device and manufacturing method thereof
US9406588B2 (en) 2013-11-11 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method thereof
US9583420B2 (en) 2015-01-23 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufactures
US9653443B2 (en) 2014-02-14 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal performance structure for semiconductor packages and method of forming same
US9935090B2 (en) 2014-02-14 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US10056267B2 (en) 2014-02-14 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US10026671B2 (en) 2014-02-14 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9768090B2 (en) 2014-02-14 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9263302B2 (en) 2014-02-21 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure for packaging and a method of forming
US9293442B2 (en) 2014-03-07 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US9281297B2 (en) 2014-03-07 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Solution for reducing poor contact in info packages
US9881857B2 (en) 2014-06-12 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Pad design for reliability enhancement in packages
US9824990B2 (en) 2014-06-12 2017-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Pad design for reliability enhancement in packages
US9978700B2 (en) 2014-06-16 2018-05-22 STATS ChipPAC Pte. Ltd. Method for building up a fan-out RDL structure with fine pitch line-width and line-spacing
US9859193B2 (en) * 2014-06-24 2018-01-02 Ibis Innotech Inc. Package structure
US10256180B2 (en) 2014-06-24 2019-04-09 Ibis Innotech Inc. Package structure and manufacturing method of package structure
US9449947B2 (en) 2014-07-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package for thermal dissipation
US9613910B2 (en) 2014-07-17 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-fuse on and/or in package
US9754928B2 (en) 2014-07-17 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. SMD, IPD, and/or wire mount in a package
US9812337B2 (en) 2014-12-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package pad and methods of forming
US10032651B2 (en) 2015-02-12 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and method of forming the same
US10032704B2 (en) 2015-02-13 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing cracking by adjusting opening size in pop packages
US9564416B2 (en) 2015-02-13 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10497660B2 (en) 2015-02-26 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US10032725B2 (en) 2015-02-26 2018-07-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9595482B2 (en) 2015-03-16 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for die probing
US10115647B2 (en) 2015-03-16 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Non-vertical through-via in package
US9589903B2 (en) 2015-03-16 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Eliminate sawing-induced peeling through forming trenches
US10368442B2 (en) 2015-03-30 2019-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method of forming
US9786519B2 (en) 2015-04-13 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and methods of packaging semiconductor devices
US9653406B2 (en) 2015-04-16 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive traces in semiconductor devices and methods of forming same
US9659805B2 (en) 2015-04-17 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and methods forming the same
US9461018B1 (en) 2015-04-17 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out PoP structure with inconsecutive polymer layer
US9666502B2 (en) 2015-04-17 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Discrete polymer in fan-out packages
US10340258B2 (en) 2015-04-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9748212B2 (en) 2015-04-30 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shadow pad for post-passivation interconnect structures
US9613931B2 (en) 2015-04-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) having dummy dies and methods of making the same
US9484227B1 (en) 2015-06-22 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Dicing in wafer level package
US9741586B2 (en) 2015-06-30 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structures
US10276541B2 (en) 2015-06-30 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. 3D package structure and methods of forming same
US10170444B2 (en) 2015-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages for semiconductor devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US9818711B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Post-passivation interconnect structure and methods thereof
US9793231B2 (en) 2015-06-30 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Under bump metallurgy (UBM) and methods of forming same
US9842826B2 (en) 2015-07-15 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9373605B1 (en) 2015-07-16 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. DIE packages and methods of manufacture thereof
US9514988B1 (en) * 2015-07-20 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and packaging methods thereof
US11018025B2 (en) 2015-07-31 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution lines having stacking vias
US9570410B1 (en) 2015-07-31 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming connector pad structures, interconnect structures, and structures thereof
US9847269B2 (en) * 2015-07-31 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out packages and methods of forming same
US10141288B2 (en) 2015-07-31 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface mount device/integrated passive device on package or device structure and methods of forming
US10269767B2 (en) 2015-07-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip packages with multi-fan-out scheme and methods of manufacturing the same
US9391028B1 (en) 2015-07-31 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit dies having alignment marks and methods of forming same
US9564345B1 (en) 2015-08-18 2017-02-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9768145B2 (en) 2015-08-31 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming multi-die package structures including redistribution layers
US9685411B2 (en) 2015-09-18 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit dies having alignment marks and methods of forming same
US9881850B2 (en) 2015-09-18 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and method of forming the same
US9917072B2 (en) 2015-09-21 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing an integrated stacked package with a fan-out redistribution layer (RDL) and a same encapsulating process
US10049953B2 (en) 2015-09-21 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing an integrated fan-out package having fan-out redistribution layer (RDL) to accommodate electrical connectors
US9929112B2 (en) 2015-09-25 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10068844B2 (en) 2015-09-30 2018-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure and method of forming
US9704825B2 (en) 2015-09-30 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Chip packages and methods of manufacture thereof
US10720788B2 (en) 2015-10-09 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Wireless charging devices having wireless charging coils and methods of manufacture thereof
US9640498B1 (en) 2015-10-20 2017-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out (InFO) package structures and methods of forming same
US10304700B2 (en) 2015-10-20 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9691723B2 (en) 2015-10-30 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
US9953892B2 (en) 2015-11-04 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Polymer based-semiconductor structure with cavity
US9524959B1 (en) 2015-11-04 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming same
US9953963B2 (en) 2015-11-06 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit process having alignment marks for underfill
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US9786614B2 (en) 2015-11-16 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure and method of forming
US9793245B2 (en) 2015-11-16 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9898645B2 (en) 2015-11-17 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fingerprint sensor device and method
US9892962B2 (en) 2015-11-30 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level chip scale package interconnects and methods of manufacture thereof
US9627365B1 (en) 2015-11-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-layer CoWoS structure
US9735118B2 (en) 2015-12-04 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Antennas and waveguides in InFO structures
US9893042B2 (en) 2015-12-14 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10074472B2 (en) 2015-12-15 2018-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. InFO coil on metal plate with slot
US10165682B2 (en) 2015-12-28 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Opening in the pad for bonding integrated passive device in InFO package
US10050013B2 (en) 2015-12-29 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and packaging methods
US9850126B2 (en) 2015-12-31 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US9984998B2 (en) 2016-01-06 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Devices employing thermal and mechanical enhanced layers and methods of forming same
US9881908B2 (en) 2016-01-15 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package on package structure and methods of forming same
US9773757B2 (en) 2016-01-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaged semiconductor devices, and semiconductor device packaging methods
US9620465B1 (en) 2016-01-25 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-sided integrated fan-out package
US9768303B2 (en) 2016-01-27 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for FinFET device
US9761522B2 (en) 2016-01-29 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wireless charging package with chip integrated in coil center
US10269702B2 (en) 2016-01-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Info coil structure and methods of manufacturing same
DE102016118802B4 (de) 2016-01-29 2022-12-08 Taiwan Semiconductor Manufacturing Co. Ltd. Drahtloses Ladepaket mit in Spulenmitte integriertem Chip und Herstellungsverfahren dafür
TW201729308A (zh) * 2016-02-05 2017-08-16 力成科技股份有限公司 晶圓級封裝結構的製造方法
US9904776B2 (en) 2016-02-10 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Fingerprint sensor pixel array and methods of forming same
US9911629B2 (en) 2016-02-10 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated passive device package and methods of forming same
US9754805B1 (en) 2016-02-25 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging method and structure
US10797038B2 (en) 2016-02-25 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and rework process for the same
US10062648B2 (en) 2016-02-26 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US9842815B2 (en) 2016-02-26 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9847320B2 (en) 2016-03-09 2017-12-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of fabricating the same
US9831148B2 (en) 2016-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package including voltage regulators and methods forming same
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US9935024B2 (en) 2016-04-28 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
US9859229B2 (en) 2016-04-28 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US9997464B2 (en) 2016-04-29 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy features in redistribution layers (RDLS) and methods of forming same
US9935080B2 (en) 2016-04-29 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Three-layer Package-on-Package structure and method forming same
US9947552B2 (en) 2016-04-29 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out structure
US9922895B2 (en) * 2016-05-05 2018-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package with tilted interface between device die and encapsulating material
US10068853B2 (en) 2016-05-05 2018-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US9806059B1 (en) 2016-05-12 2017-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US10797025B2 (en) 2016-05-17 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced INFO POP and method of forming thereof
US9870997B2 (en) 2016-05-24 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10157807B2 (en) 2016-05-26 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Sensor packages and manufacturing mehtods thereof
US10269481B2 (en) 2016-05-27 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked coil for wireless charging structure on InFO package
US9852957B2 (en) 2016-05-27 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Testing, manufacturing, and packaging methods for semiconductor devices
US9941216B2 (en) 2016-05-30 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive pattern and integrated fan-out package having the same
US9941248B2 (en) 2016-05-30 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures, pop devices and methods of forming the same
US9812381B1 (en) 2016-05-31 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10032722B2 (en) 2016-05-31 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure having am antenna pattern and manufacturing method thereof
US9793246B1 (en) 2016-05-31 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Pop devices and methods of forming the same
US9985006B2 (en) 2016-05-31 2018-05-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10504827B2 (en) * 2016-06-03 2019-12-10 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
US11056436B2 (en) 2016-06-07 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out structure with rugged interconnect
US10354114B2 (en) 2016-06-13 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fingerprint sensor in InFO structure and formation method
US10050024B2 (en) 2016-06-17 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10854579B2 (en) 2016-06-23 2020-12-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure
US10475769B2 (en) 2016-06-23 2019-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10431738B2 (en) 2016-06-24 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method for fabricating the same
US10229901B2 (en) 2016-06-27 2019-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion interconnections for semiconductor devices and methods of manufacture thereof
US9812426B1 (en) 2016-06-29 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package, semiconductor device, and method of fabricating the same
US9859254B1 (en) 2016-06-30 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and a manufacturing method thereof
US9941186B2 (en) 2016-06-30 2018-04-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US9653391B1 (en) 2016-06-30 2017-05-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor packaging structure and manufacturing method thereof
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US10163800B2 (en) 2016-07-08 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with dummy feature in passivation layer
US9793230B1 (en) 2016-07-08 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming
US9824902B1 (en) 2016-07-12 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US9825007B1 (en) 2016-07-13 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US9661794B1 (en) 2016-07-13 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing package structure
US11469215B2 (en) 2016-07-13 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US9691708B1 (en) 2016-07-20 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9799615B1 (en) 2016-07-20 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures having height-adjusted molding members and methods of forming the same
US10062654B2 (en) 2016-07-20 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor structure and semiconductor manufacturing process thereof
US10276542B2 (en) 2016-07-21 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10276506B2 (en) 2016-07-21 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package
US9984960B2 (en) 2016-07-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10163860B2 (en) 2016-07-29 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure
US10083949B2 (en) 2016-07-29 2018-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Using metal-containing layer to reduce carrier shock in package formation
US10134708B2 (en) 2016-08-05 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package with thinned substrate
US10340206B2 (en) 2016-08-05 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dense redistribution layers in semiconductor packages and methods of forming the same
US10297551B2 (en) 2016-08-12 2019-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing redistribution circuit structure and method of manufacturing integrated fan-out package
US10658334B2 (en) 2016-08-18 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a package structure including a package layer surrounding first connectors beside an integrated circuit die and second connectors below the integrated circuit die
US10672741B2 (en) 2016-08-18 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with thermal-electrical-mechanical chips and methods of forming the same
US10120971B2 (en) 2016-08-30 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and layout method thereof
US9741690B1 (en) 2016-09-09 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US10128182B2 (en) 2016-09-14 2018-11-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and manufacturing method thereof
US10276548B2 (en) 2016-09-14 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having dummy connectors and methods of forming same
US9922896B1 (en) 2016-09-16 2018-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Info structure with copper pillar having reversed profile
US10529697B2 (en) 2016-09-16 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US9859245B1 (en) 2016-09-19 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with bump and method for forming the same
US9922964B1 (en) 2016-09-19 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with dummy die
US9911672B1 (en) 2016-09-30 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, method for fabricating integrated fan-out packages, and method for fabricating semiconductor devices
US9837359B1 (en) 2016-09-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10515899B2 (en) 2016-10-03 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with bump
US10157846B2 (en) 2016-10-13 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package involving cutting process
US10290609B2 (en) 2016-10-13 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US10163801B2 (en) 2016-10-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out structure
US11158619B2 (en) 2016-10-31 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US10304801B2 (en) 2016-10-31 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US10014260B2 (en) 2016-11-10 2018-07-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US10163813B2 (en) 2016-11-17 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure including redistribution structure and conductive shielding film
US9837366B1 (en) 2016-11-28 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor structure and semiconductor manufacturing process thereof
US10103125B2 (en) 2016-11-28 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10177078B2 (en) 2016-11-28 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package structure
US10692813B2 (en) 2016-11-28 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package with dummy bumps connected to non-solder mask defined pads
US10128193B2 (en) 2016-11-29 2018-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US10163802B2 (en) 2016-11-29 2018-12-25 Taiwan Semicondcutor Manufacturing Company, Ltd. Fan-out package having a main die and a dummy die, and method of forming
US10037963B2 (en) 2016-11-29 2018-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US10825780B2 (en) 2016-11-29 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with electromagnetic interference protection and method of manufacture
US10304793B2 (en) 2016-11-29 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US10183858B2 (en) 2016-11-29 2019-01-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US10163824B2 (en) 2016-12-02 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10312203B2 (en) 2016-12-13 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with antenna element
US10529671B2 (en) 2016-12-13 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US10535554B2 (en) 2016-12-14 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor die having edge with multiple gradients and method for forming the same
US9859233B1 (en) * 2016-12-25 2018-01-02 Powertech Technology Inc. Semiconductor device package with reinforced redistribution layer
US20180182682A1 (en) * 2016-12-25 2018-06-28 Powertech Technology Inc. Semiconductor device package with stress relief layer
US9972581B1 (en) 2017-02-07 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Routing design of dummy metal cap and redistribution line
US10354964B2 (en) 2017-02-24 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated devices in semiconductor packages and methods of forming same
US10529698B2 (en) 2017-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming same
US10937719B2 (en) 2017-03-20 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US10872850B2 (en) 2017-03-30 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming thereof
US10784220B2 (en) 2017-03-30 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Plurality of semiconductor devices encapsulated by a molding material attached to a redistribution layer
US10672729B2 (en) 2017-03-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming package structure
US10157808B2 (en) 2017-03-30 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming package structure
US10854568B2 (en) 2017-04-07 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
DE102017123449B4 (de) 2017-04-10 2023-12-28 Taiwan Semiconductor Manufacturing Co. Ltd. Gehäuse mit Si-substratfreiem Zwischenstück und Ausbildungsverfahren
US10522449B2 (en) 2017-04-10 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
US10685896B2 (en) 2017-04-13 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method of fabricating the same
US10510709B2 (en) 2017-04-20 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package and manufacturing method thereof
US10163848B2 (en) 2017-04-28 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package
US10074604B1 (en) 2017-04-28 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10276536B2 (en) 2017-04-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out structure
US10460987B2 (en) 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
US10283470B2 (en) 2017-05-19 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US10163781B1 (en) 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US10461060B2 (en) 2017-05-31 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with redistribution layers
US10515923B2 (en) 2017-05-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor package structure with twinned copper layer
US10163803B1 (en) 2017-06-20 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out packages and methods of forming the same
US10157888B1 (en) 2017-06-20 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out packages and methods of forming the same
US10312112B2 (en) 2017-06-20 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package having multi-band antenna and method of forming the same
US10276481B2 (en) 2017-06-26 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having a plurality of conductive balls having narrow width for the ball waist
US10269728B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with shielding structure for cross-talk reduction
US10283474B2 (en) 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10872885B2 (en) 2017-06-30 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming same
US10170341B1 (en) 2017-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Release film as isolation film in package
US10263064B2 (en) 2017-06-30 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
DE102018109028B4 (de) 2017-06-30 2023-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit Abschirmstruktur zur Verringerung von Übersprechen und Verfahren zur Herstellung derselben
DE102018108409B4 (de) 2017-06-30 2023-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte schaltkreis-packages und verfahren zu deren herstellung
DE102017126028B4 (de) 2017-06-30 2020-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gehäuse und Herstellungsverfahren mit einem Trennfilm als Isolierfilm
US10283428B2 (en) 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
US10290605B2 (en) 2017-06-30 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fan-out package structure and method for forming the same
US10269589B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a release film as isolation film in package
US10727198B2 (en) 2017-06-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
US10269587B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming same
US10276551B2 (en) 2017-07-03 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device package and method of forming semiconductor device package
US10867924B2 (en) 2017-07-06 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with redistribution structure and pre-made substrate on opposing sides for dual-side metal routing
US10186492B1 (en) * 2017-07-18 2019-01-22 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10522476B2 (en) 2017-07-18 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure, integrated fan-out package and method of fabricating the same
US10461034B2 (en) 2017-07-26 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10854570B2 (en) 2017-07-27 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package and method of fabricating the same
US10515921B2 (en) 2017-07-27 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating semiconductor package
US10157864B1 (en) 2017-07-27 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming the same
US10157862B1 (en) 2017-07-27 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10162139B1 (en) 2017-07-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package
US10290611B2 (en) 2017-07-27 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming same
US10134685B1 (en) 2017-07-27 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method of fabricating the same
US10522526B2 (en) 2017-07-28 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. LTHC as charging barrier in InFO package formation
US10157850B1 (en) 2017-07-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and manufacturing method thereof
US11335767B2 (en) 2017-07-31 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US10475747B2 (en) 2017-08-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method for fabricating the same
US10276428B2 (en) 2017-08-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating semiconductor package
US10636757B2 (en) * 2017-08-29 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit component package and method of fabricating the same
US11075132B2 (en) 2017-08-29 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package, package-on-package structure, and manufacturing method thereof
US10879197B2 (en) 2017-08-30 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating package structure
US10861773B2 (en) 2017-08-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10276404B2 (en) 2017-08-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package
US11107680B2 (en) 2017-08-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Mask assembly and method for fabricating a chip package
DE102018103061A1 (de) 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Maskenanrdnung und Verfahren zum Herstellen eines Chip-Package
US10157834B1 (en) 2017-09-18 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Electronic apparatus
US10510631B2 (en) 2017-09-18 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fan out package structure and method of manufacturing the same
US11417569B2 (en) 2017-09-18 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having integrated circuit component with conductive terminals of different dimensions
US10290571B2 (en) 2017-09-18 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with si-substrate-free interposer and method forming same
US20190088504A1 (en) * 2017-09-19 2019-03-21 Nxp B.V. Wafer level package and method of assembling same
US10867938B2 (en) 2017-09-25 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US10276537B2 (en) 2017-09-25 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and manufacturing method thereof
US10297544B2 (en) 2017-09-26 2019-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10340253B2 (en) 2017-09-26 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10157870B1 (en) 2017-09-26 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10319707B2 (en) 2017-09-27 2019-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component, package structure and manufacturing method thereof
US10629540B2 (en) 2017-09-27 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10276920B2 (en) 2017-09-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure, electronic device and method of fabricating package structure
US10276508B2 (en) 2017-09-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming the same
US10181449B1 (en) 2017-09-28 2019-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US10504865B2 (en) 2017-09-28 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10510693B2 (en) 2017-09-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure
US10347574B2 (en) 2017-09-28 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out packages
US10269773B1 (en) 2017-09-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US10727217B2 (en) 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device that uses bonding layer to join semiconductor substrates together
US10483617B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure
US10790244B2 (en) 2017-09-29 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10510732B2 (en) 2017-09-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. PoP device and method of forming the same
US10157871B1 (en) 2017-10-12 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and manufacturing method thereof
US10163858B1 (en) 2017-10-26 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and manufacturing methods thereof
US10074615B1 (en) 2017-10-26 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10163825B1 (en) * 2017-10-26 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10276543B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor device package and method of forming semicondcutor device package
US10163832B1 (en) 2017-10-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package, redistribution circuit structure, and method of fabricating the same
US10636775B2 (en) 2017-10-27 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10461023B2 (en) 2017-10-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming the same
US10763206B2 (en) 2017-10-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating integrated fan-out packages
TWI736780B (zh) 2017-10-31 2021-08-21 台灣積體電路製造股份有限公司 晶片封裝及其形成方法
US10515827B2 (en) 2017-10-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package with recessed interposer substrate
US11322449B2 (en) 2017-10-31 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package with fan-out structures
US10629509B2 (en) 2017-10-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution circuit structures and methods of forming the same
US10861814B2 (en) 2017-11-02 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US10283473B1 (en) 2017-11-03 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10446521B2 (en) 2017-11-07 2019-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating an integrated fan-out package
US10522440B2 (en) 2017-11-07 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10629539B2 (en) 2017-11-07 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10283377B1 (en) 2017-11-07 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and manufacturing method thereof
US10553533B2 (en) 2017-11-08 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and manufacturing method thereof
US10741404B2 (en) 2017-11-08 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US11482491B2 (en) 2017-11-08 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with porous conductive structure and manufacturing method thereof
US10283468B1 (en) 2017-11-09 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures
US10957672B2 (en) 2017-11-13 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10163827B1 (en) 2017-11-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with protrusion structure
US10529650B2 (en) 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US10566261B2 (en) 2017-11-15 2020-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages with embedded heat dissipation structure
US11410918B2 (en) 2017-11-15 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making an integrated circuit package including an integrated circuit die soldered to a bond pad of a carrier
US10784203B2 (en) 2017-11-15 2020-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US11031342B2 (en) 2017-11-15 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US11177201B2 (en) 2017-11-15 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages including routing dies and methods of forming same
US10515922B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip integrated fan-out package
DE102018122228B4 (de) 2017-11-15 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integriertes Multichip-Fan-Out-Package sowie Verfahren zu dessen Herstellung
DE102018106038A1 (de) 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte schaltkreis-packages und verfahren zu deren herstellung
US10361139B2 (en) 2017-11-16 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package and manufacturing method thereof
US10522501B2 (en) 2017-11-17 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US10679947B2 (en) 2017-11-21 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package and manufacturing method thereof
US10381309B2 (en) 2017-11-21 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having connecting module
US10658208B2 (en) 2017-11-21 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Polyimide composition for package structure, package structure and method of fabricating the same
US10734323B2 (en) 2017-11-22 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures
US10797007B2 (en) 2017-11-28 2020-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10773381B2 (en) * 2017-11-30 2020-09-15 Skygrid, Llc Secure distributed system using blockchain for self-policing of autonomous agents
US10468339B2 (en) 2018-01-19 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Heterogeneous fan-out structure and method of manufacture
US10361162B1 (en) 2018-01-23 2019-07-23 Globalfoundries Singapore Pte. Ltd. Magnetic shielding of STT-MRAM in multichip packaging and method of manufacturing the same
US10762319B2 (en) 2018-01-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Fingerprint sensor and manufacturing method thereof
US10510704B2 (en) 2018-01-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10510650B2 (en) 2018-02-02 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device packaging structure having through interposer vias and through substrate vias
US10510646B2 (en) 2018-02-26 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Packae structure, RDL structure and method of forming the same
US10573573B2 (en) 2018-03-20 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package and package-on-package structure having elliptical conductive columns
US11315891B2 (en) 2018-03-23 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor packages having a die with an encapsulant
US11069671B2 (en) 2018-03-23 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US10741477B2 (en) 2018-03-23 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US11488881B2 (en) 2018-03-26 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11158555B2 (en) 2018-03-29 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having sensor die with touch sensing electrode, and method of fabricating the same
US11062915B2 (en) 2018-03-29 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution structures for semiconductor packages and methods of forming the same
US10665537B2 (en) 2018-03-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10872862B2 (en) 2018-03-29 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having bridge structure for connection between semiconductor dies and method of fabricating the same
US10546845B2 (en) 2018-04-20 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Package on package structure
US10510686B2 (en) 2018-04-27 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US10504858B2 (en) 2018-04-27 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10529593B2 (en) 2018-04-27 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package comprising molding compound having extended portion and manufacturing method of semiconductor package
US10276511B1 (en) 2018-04-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package and manufacturing method thereof
US10741508B2 (en) 2018-04-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having antenna and manufacturing method thereof
US10672681B2 (en) 2018-04-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages
US10631392B2 (en) 2018-04-30 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. EUV collector contamination prevention
US10510595B2 (en) 2018-04-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
IT201800005106A1 (it) 2018-05-07 2019-11-07 Dispositivo, procedimento e sistema elettro-ottico corrispondenti
US10438934B1 (en) 2018-05-15 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Package-on-package structure and manufacturing method thereof
US10756037B2 (en) 2018-05-15 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and fabricating method thereof
US10748861B2 (en) 2018-05-16 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10366966B1 (en) 2018-05-17 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing integrated fan-out package
US10748831B2 (en) 2018-05-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages having thermal through vias (TTV)
US10622321B2 (en) 2018-05-30 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures and methods of forming the same
US10658287B2 (en) 2018-05-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a tapered protruding pillar portion
US10700008B2 (en) 2018-05-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having redistribution layer structures
US10811404B2 (en) 2018-05-31 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10658263B2 (en) 2018-05-31 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US11289426B2 (en) 2018-06-15 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10879220B2 (en) 2018-06-15 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure and manufacturing method thereof
US11114407B2 (en) 2018-06-15 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package and manufacturing method thereof
US10333623B1 (en) 2018-06-25 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Optical transceiver
US10879166B2 (en) 2018-06-25 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having redistribution structure with photosensitive and non-photosensitive dielectric materials and fabricating method thereof
US10643943B2 (en) 2018-06-25 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure, package-on-package structure and manufacturing method thereof
US10340249B1 (en) 2018-06-25 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10483174B1 (en) 2018-06-25 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit component and package structure having the same
US10854552B2 (en) 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10867962B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging process and manufacturing method
US11145564B2 (en) 2018-06-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer passivation structure and method
US10886231B2 (en) 2018-06-29 2021-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming RDLS and structure formed thereof
US10872855B2 (en) 2018-06-29 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Chip package and method of fabricating the same
US11049805B2 (en) 2018-06-29 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US10510591B1 (en) 2018-06-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package-on-package structure and method of manufacturing package
US11004803B2 (en) 2018-07-02 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy dies for reducing warpage in packages
US10825696B2 (en) 2018-07-02 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Cross-wafer RDLs in constructed wafers
KR102086363B1 (ko) * 2018-07-03 2020-03-09 삼성전자주식회사 반도체 패키지
US10522470B1 (en) 2018-07-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10504835B1 (en) 2018-07-16 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure, semiconductor chip and method of fabricating the same
US11075159B2 (en) 2018-07-16 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US11410923B2 (en) 2018-07-16 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, integrated fan-out package and method of forming the same
US10950554B2 (en) 2018-07-16 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with electromagnetic interference shielding layer and methods of forming the same
US11469198B2 (en) 2018-07-16 2022-10-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device manufacturing method and associated semiconductor die
US10510668B1 (en) 2018-07-16 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device
US10867925B2 (en) 2018-07-19 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package structure
US11424197B2 (en) 2018-07-27 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package, package structure with redistributing circuits and antenna elements and method of manufacturing the same
US11239180B2 (en) 2018-07-30 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with stacked semiconductor dies
US11075439B2 (en) 2018-07-31 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US11289373B2 (en) 2018-07-31 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10658333B2 (en) 2018-07-31 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10790210B2 (en) 2018-07-31 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US10515848B1 (en) 2018-08-01 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US10978424B2 (en) 2018-08-03 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11011501B2 (en) 2018-08-14 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, package-on-package structure and method of fabricating the same
US11088124B2 (en) 2018-08-14 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US10720416B2 (en) 2018-08-15 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package including thermal relaxation block and manufacturing method thereof
US10665572B2 (en) 2018-08-15 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US11107801B2 (en) 2018-08-29 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Multi fan-out package structure and method for forming the same
US10756058B2 (en) 2018-08-29 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US11171090B2 (en) 2018-08-30 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11270953B2 (en) 2018-08-31 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with shielding structure
US11309294B2 (en) 2018-09-05 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US11164839B2 (en) 2018-09-11 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11264300B2 (en) 2018-09-17 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with lid and method for forming the same
US11004812B2 (en) 2018-09-18 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US10914895B2 (en) 2018-09-18 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11004827B2 (en) 2018-09-18 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method of semiconductor package
US10867919B2 (en) 2018-09-19 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US10796990B2 (en) 2018-09-19 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, package structure, and manufacturing method thereof
US10665545B2 (en) 2018-09-19 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, semiconductor packages and methods of forming the same
US11062997B2 (en) 2018-09-20 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package structure
US10832985B2 (en) 2018-09-27 2020-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Sensor package and method
US10658348B2 (en) 2018-09-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having a plurality of first and second conductive strips
US10868353B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US10825773B2 (en) 2018-09-27 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with reinforcement structures in a redistribution circuit structure and method of manufacturing the same
US10998202B2 (en) 2018-09-27 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11171098B2 (en) 2018-09-27 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US10672728B2 (en) 2018-09-27 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package
US11177192B2 (en) 2018-09-27 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including heat dissipation structure and fabricating method of the same
US11158600B2 (en) 2018-09-28 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process for semiconductor packaging and structures resulting therefrom
US11164754B2 (en) 2018-09-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out packages and methods of forming the same
DE102019101999B4 (de) 2018-09-28 2021-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung mit mehreren polaritätsgruppen
US10861841B2 (en) 2018-09-28 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multiple polarity groups
US11322450B2 (en) 2018-10-18 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Chip package and method of forming the same
US10510713B1 (en) 2018-10-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package and method of manufacturing the same
US10679915B2 (en) 2018-10-28 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10665520B2 (en) 2018-10-29 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11626343B2 (en) 2018-10-30 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with enhanced thermal dissipation and method for making the same
US11545370B2 (en) 2018-10-30 2023-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pattern and manufacturing method of package
US11307500B2 (en) 2018-10-30 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing photoresistor layer, method of forming a pattern and method of manufacturing a package
US10638616B1 (en) 2018-10-30 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit carrier and manifacturing method thereof
US10840197B2 (en) 2018-10-30 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11430739B2 (en) 2018-10-30 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with fan-out structure
US10879224B2 (en) * 2018-10-30 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, die and method of manufacturing the same
US11075173B2 (en) 2018-10-31 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
US10692795B2 (en) 2018-11-13 2020-06-23 International Business Machines Corporation Flip chip assembly of quantum computing devices
US11637186B2 (en) 2018-11-20 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor having gate contact and source/drain contact separated by a gap
DE102019111085A1 (de) 2018-11-27 2020-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Abschirmstrukturen
US10867939B2 (en) 2018-11-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US10861810B2 (en) 2018-11-27 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Shielding structures
US10962711B2 (en) 2018-11-29 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10867947B2 (en) 2018-11-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US11139223B2 (en) 2018-11-29 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11282761B2 (en) 2018-11-29 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US11121089B2 (en) 2018-11-30 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11217538B2 (en) 2018-11-30 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10964609B2 (en) 2018-11-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for detecting end point
US11011451B2 (en) 2018-12-05 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11217546B2 (en) 2018-12-14 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded voltage regulator structure and method forming same
US11094634B2 (en) 2018-12-24 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package structure comprising rigid-flexible substrate and manufacturing method thereof
US11069642B2 (en) 2018-12-24 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11342295B2 (en) 2018-12-24 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic assembly, package structure having hollow cylinders and method of fabricating the same
US11538735B2 (en) 2018-12-26 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming integrated circuit packages with mechanical braces
US10777531B2 (en) 2018-12-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Package contact structure, semiconductor package and manufacturing method thereof
US11456268B2 (en) 2019-01-21 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10790269B2 (en) 2019-01-29 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and semiconductor structures
US10818651B2 (en) 2019-01-29 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US10978382B2 (en) 2019-01-30 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10818588B2 (en) 2019-01-31 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, package structure and method of fabricating the same
US10658258B1 (en) 2019-02-21 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package and method of forming the same
US10756038B1 (en) 2019-02-21 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US11002927B2 (en) 2019-02-21 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11081369B2 (en) 2019-02-25 2021-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US10872842B2 (en) 2019-02-25 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11024581B2 (en) 2019-02-25 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US11018215B2 (en) 2019-03-14 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11694967B2 (en) 2019-03-14 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US10985101B2 (en) 2019-03-14 2021-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11164814B2 (en) 2019-03-14 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10985116B2 (en) 2019-03-14 2021-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US10867963B2 (en) 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US11037877B2 (en) 2019-03-14 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11004786B2 (en) 2019-03-15 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11600590B2 (en) * 2019-03-22 2023-03-07 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package
US11239173B2 (en) 2019-03-28 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out feature
US11374303B2 (en) 2019-03-28 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US10879170B2 (en) 2019-04-21 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10923421B2 (en) 2019-04-23 2021-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10867966B2 (en) 2019-04-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, package-on-package structure and method of fabricating the same
US11145560B2 (en) 2019-04-30 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacturing
US10903090B2 (en) 2019-05-16 2021-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of singulate a package structure using a light transmitting film on a polymer layer
US11075145B2 (en) 2019-05-16 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including through die via and manufacturing method thereof
US10879221B2 (en) 2019-05-16 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure
US10777518B1 (en) 2019-05-16 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US11133289B2 (en) 2019-05-16 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method of semiconductor package having plurality of encapsulating materials
US11164819B2 (en) 2019-05-30 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11088094B2 (en) 2019-05-31 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Air channel formation in packaging process
US10950519B2 (en) 2019-05-31 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11024605B2 (en) 2019-05-31 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11133282B2 (en) 2019-05-31 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. COWOS structures and methods forming same
US11380620B2 (en) 2019-06-14 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including cavity-mounted device
US11088059B2 (en) 2019-06-14 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, RDL structure comprising redistribution layer having ground plates and signal lines and method of forming the same
US11127701B2 (en) 2019-06-17 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing intergrated fan-out package with redistribution structure
US11004758B2 (en) 2019-06-17 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11387177B2 (en) 2019-06-17 2022-07-12 Taiwan Semiconductor Manufacturing Company Ltd. Package structure and method for forming the same
US11056453B2 (en) 2019-06-18 2021-07-06 Deca Technologies Usa, Inc. Stackable fully molded semiconductor structure with vertical interconnects
US11600573B2 (en) 2019-06-26 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with conductive support elements to reduce warpage
US11282791B2 (en) 2019-06-27 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a heat dissipation structure connected chip package
US11410897B2 (en) 2019-06-27 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a dielectric layer edge covering circuit carrier
US11562983B2 (en) 2019-06-28 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package having multiple chips integrated therein and manufacturing method thereof
US11004796B2 (en) 2019-07-17 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package
US11063019B2 (en) 2019-07-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, chip structure and method of fabricating the same
US11031376B2 (en) 2019-07-17 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Chip package and method of forming the same
US11133258B2 (en) 2019-07-17 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package with bridge die for interconnection and method forming same
US10833053B1 (en) * 2019-07-17 2020-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US11018083B2 (en) 2019-07-17 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11264316B2 (en) 2019-07-17 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11387191B2 (en) 2019-07-18 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11239135B2 (en) 2019-07-18 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11195816B2 (en) 2019-07-23 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages comprising a plurality of redistribution structures and methods of forming the same
US11728238B2 (en) 2019-07-29 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with heat dissipation films and manufacturing method thereof
US10978412B2 (en) 2019-07-30 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing method of package structure
US11127688B2 (en) 2019-08-22 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10867892B1 (en) 2019-08-22 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US11075131B2 (en) 2019-08-22 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US11177156B2 (en) 2019-08-22 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package, manufacturing method of semiconductor device and semiconductor package
US11088069B2 (en) 2019-08-22 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and semiconductor device
US11296051B2 (en) 2019-08-22 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and forming method thereof
US11062998B2 (en) 2019-08-22 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11270927B2 (en) 2019-08-22 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming the same
US10879114B1 (en) 2019-08-23 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive fill
US11195810B2 (en) 2019-08-23 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure and method of forming same
US11456287B2 (en) 2019-08-28 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11264368B2 (en) 2019-08-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mask transfer method (and related apparatus) for a bumping process
US11233039B2 (en) 2019-08-29 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages
US11264343B2 (en) 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
US11784091B2 (en) 2019-08-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out feature
US11569159B2 (en) 2019-08-30 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with through vias
US11164855B2 (en) 2019-09-17 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with a heat dissipating element and method of manufacturing the same
US11081447B2 (en) 2019-09-17 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Graphene-assisted low-resistance interconnect structures and methods of formation thereof
US11133283B2 (en) 2019-09-17 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out device
US10957645B1 (en) 2019-09-17 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having conductive patterns with crystal grains copper columnar shape and method manufacturing the same
US11856800B2 (en) 2019-09-20 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with system on chip devices
US11557581B2 (en) 2019-09-23 2023-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11251121B2 (en) 2019-09-24 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11398416B2 (en) 2019-09-24 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11251119B2 (en) 2019-09-25 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, package-on-package structure and method of fabricating the same
US11854984B2 (en) 2019-09-25 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11251100B2 (en) 2019-09-25 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an anti-arcing pattern disposed on a passivation layer and method of fabricating the semiconductor structure
US11244879B2 (en) 2019-09-26 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
CN112563229A (zh) 2019-09-26 2021-03-26 台湾积体电路制造股份有限公司 半导体封装及其制造方法
US11417606B2 (en) 2019-09-26 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11195802B2 (en) 2019-09-26 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including shielding plate in redistribution structure, semiconductor package including conductive via in redistribution structure, and manufacturing method thereof
US11355428B2 (en) 2019-09-27 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11798857B2 (en) 2019-09-27 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Composition for sacrificial film, package, manufacturing method of package
US11476201B2 (en) 2019-09-27 2022-10-18 Taiwan Semiconductor Manufacturing Company. Ltd. Package-on-package device
US11450641B2 (en) 2019-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structure
US11342297B2 (en) 2019-09-27 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11374136B2 (en) 2019-09-27 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and forming method thereof
US11322477B2 (en) 2019-09-27 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
DE102020108481B4 (de) 2019-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Die-Package und Herstellungsverfahren
US11581276B2 (en) 2019-09-28 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution layers and methods of fabricating the same in semiconductor devices
CN112582276A (zh) 2019-09-28 2021-03-30 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US11355418B2 (en) 2019-09-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11289396B2 (en) 2019-09-29 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Sensing component encapsulated by an encapsulation layer with a roughness surface having a hollow region
US11362010B2 (en) 2019-10-16 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out feature
US11018113B2 (en) 2019-10-17 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory module, semiconductor package including the same, and manufacturing method thereof
US11133269B2 (en) 2019-10-17 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11211371B2 (en) 2019-10-18 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
DE102020114141B4 (de) 2019-10-18 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integriertes schaltungspackage und verfahren
US11145614B2 (en) 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11387222B2 (en) 2019-10-18 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11031325B2 (en) 2019-10-18 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Low-stress passivation layer
US11532533B2 (en) 2019-10-18 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11482465B2 (en) 2019-10-18 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal interface materials, 3D semiconductor packages and methods of manufacture
KR20210047607A (ko) 2019-10-22 2021-04-30 삼성전자주식회사 반도체 패키지
US11195817B2 (en) 2019-10-28 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10978405B1 (en) 2019-10-29 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package
US11462509B2 (en) 2019-10-29 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with electronic device in cavity substrate and method for forming the same
DE102020119181A1 (de) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterpackages und verfahren zu deren herstellung
US11532531B2 (en) 2019-10-29 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11688693B2 (en) 2019-10-29 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and method of manufacture
US11417587B2 (en) 2019-10-30 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11735487B2 (en) 2019-10-30 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
CN114901604A (zh) 2019-11-12 2022-08-12 康宁股份有限公司 高cte、高uv透射率和高杨氏模量玻璃
US11328975B2 (en) 2019-11-26 2022-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11380645B2 (en) 2019-11-26 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure comprising at least one system-on-integrated-circuit component
US11322453B2 (en) 2019-11-26 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package having channels formed between through-insulator-vias
US11569562B2 (en) 2019-12-12 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11450628B2 (en) 2019-12-15 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure including a solenoid inductor laterally aside a die and method of fabricating the same
US11682654B2 (en) 2019-12-17 2023-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a sensor device and method of manufacturing the same
US11145639B2 (en) 2019-12-17 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11574872B2 (en) 2019-12-18 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11232971B2 (en) 2019-12-18 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Workpiece holding mechanism, process system and manufacturing method of semiconductor structure
US11862594B2 (en) 2019-12-18 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with solder resist underlayer for warpage control and method of manufacturing the same
US11211341B2 (en) 2019-12-19 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabrcating the same
US11227837B2 (en) 2019-12-23 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11508692B2 (en) 2019-12-25 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11417698B2 (en) 2019-12-26 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US11515173B2 (en) 2019-12-27 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11482461B2 (en) 2019-12-31 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method for making the same
US11387204B2 (en) 2020-01-16 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11462418B2 (en) 2020-01-17 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11515224B2 (en) 2020-01-17 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Packages with enlarged through-vias in encapsulant
US11211360B2 (en) 2020-01-17 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Passive device module, semiconductor package including the same, and manufacturing method thereof
US11616026B2 (en) 2020-01-17 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11227795B2 (en) 2020-01-17 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11302650B2 (en) 2020-01-21 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11682626B2 (en) 2020-01-29 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chamfered die of semiconductor package and method for forming the same
US11894341B2 (en) 2020-01-30 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with through vias and stacked redistribution layers and manufacturing method thereof
US11270921B2 (en) 2020-01-30 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including dies having high-modulus dielectric layer and manufacturing method thereof
US11355466B2 (en) 2020-01-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method of package structure thereof
CN113206072A (zh) 2020-01-31 2021-08-03 台湾积体电路制造股份有限公司 半导体封装
US11637054B2 (en) 2020-01-31 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11145592B2 (en) 2020-02-11 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Process for forming metal-insulator-metal structures
US11532576B2 (en) 2020-02-11 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11114373B1 (en) 2020-02-26 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal structure
US11215753B2 (en) 2020-02-27 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
US11482484B2 (en) 2020-02-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Symmetrical substrate for semiconductor packaging
CN113314505A (zh) 2020-02-27 2021-08-27 台湾积体电路制造股份有限公司 半导体封装及其制造方法
US11495573B2 (en) 2020-03-02 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11393746B2 (en) 2020-03-19 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Reinforcing package using reinforcing patches
US11177218B2 (en) 2020-03-20 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package including metallic bolstering pattern and manufacturing method of the package
US11244939B2 (en) 2020-03-26 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11270956B2 (en) 2020-03-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and fabricating method thereof
US11515229B2 (en) 2020-03-31 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11347001B2 (en) 2020-04-01 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11502072B2 (en) 2020-04-16 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11594498B2 (en) 2020-04-27 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method
US11264359B2 (en) 2020-04-27 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chip bonded to a redistribution structure with curved conductive lines
US11948930B2 (en) 2020-04-29 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacturing the same
US11929261B2 (en) 2020-05-01 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11942417B2 (en) 2020-05-04 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Sensor package and method
US11444034B2 (en) 2020-05-18 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution structure for integrated circuit package and method of forming same
DE102020126648A1 (de) 2020-05-18 2021-11-18 Taiwan Semiconductor Manufacturing Co., Ltd. Umverteilungsstruktur für integrierte-schaltung-package und deren herstellungsverfahren
US11355463B2 (en) 2020-05-20 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method
US11244906B2 (en) 2020-05-22 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11508633B2 (en) 2020-05-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having taper-shaped conductive pillar and method of forming thereof
US11264362B2 (en) 2020-05-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
DE102020130962A1 (de) 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und herstellungsverfahren
US11894318B2 (en) 2020-05-29 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11430776B2 (en) * 2020-06-15 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing
US11552074B2 (en) 2020-06-15 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of fabricating the same
US11508666B2 (en) 2020-06-29 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11574853B2 (en) 2020-06-30 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11322421B2 (en) 2020-07-09 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11424235B2 (en) 2020-07-09 2022-08-23 International Business Machines Corporation Interposer-less multi-chip module
US11670601B2 (en) 2020-07-17 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking via structures for stress reduction
US11398422B2 (en) 2020-07-21 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and fabricating method thereof
US11270975B2 (en) 2020-07-21 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages including passive devices and methods of forming same
US11646293B2 (en) 2020-07-22 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method
US11532524B2 (en) 2020-07-27 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit test method and structure thereof
US11527518B2 (en) 2020-07-27 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Heat dissipation in semiconductor packages and methods of forming same
US11444002B2 (en) * 2020-07-29 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11652037B2 (en) 2020-07-31 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacture
US11605600B2 (en) 2020-08-06 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with reinforced element and formation method thereof
US11450581B2 (en) 2020-08-26 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11515276B2 (en) 2020-08-30 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, package structure, and manufacturing method of package structure
US11417582B2 (en) 2020-08-30 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
KR20220030005A (ko) 2020-09-02 2022-03-10 삼성전자주식회사 반도체 패키지 및 반도체 패키지의 제조 방법
US11424213B2 (en) 2020-09-10 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure including a first surface mount component and a second surface mount component and method of fabricating the semiconductor structure
US11454888B2 (en) 2020-09-15 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11868047B2 (en) 2020-09-21 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Polymer layer in semiconductor device and method of manufacture
US11378886B2 (en) 2020-09-29 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing resist layer, and method of manufacturing semiconductor
US11830821B2 (en) 2020-10-19 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11637072B2 (en) 2020-11-06 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11670581B2 (en) 2020-11-25 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure
US11640033B2 (en) 2021-01-04 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Optical device, optical system and method of forming the same
US11830746B2 (en) 2021-01-05 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11538761B2 (en) 2021-01-07 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package having molded die and semiconductor die and manufacturing method thereof
US11640936B2 (en) 2021-01-08 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of fabrication thereof
US11587887B2 (en) 2021-01-14 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11482497B2 (en) 2021-01-14 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure including a first die and a second die and a bridge die and method of forming the package structure
US11804468B2 (en) 2021-01-15 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing method of semiconductor package using jig
US11600592B2 (en) 2021-01-21 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package
US11728312B2 (en) 2021-01-22 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packaging and methods of forming same
US11721883B2 (en) 2021-02-25 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with antenna and method of forming the same
US11756873B2 (en) 2021-02-26 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11978715B2 (en) 2021-02-26 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11557559B2 (en) 2021-02-26 2023-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11527457B2 (en) 2021-02-26 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with buffer layer embedded in lid layer
US11837567B2 (en) 2021-02-26 2023-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming thereof
US11587900B2 (en) 2021-02-26 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure including IPD and method of forming the same
US11587916B2 (en) 2021-03-04 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11515268B2 (en) 2021-03-05 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11532596B2 (en) 2021-03-05 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11756872B2 (en) 2021-03-11 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11664315B2 (en) 2021-03-11 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure with interconnection die and method of making same
US11715717B2 (en) 2021-03-18 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming integrated circuit packages having adhesion layers over through vias
US11809000B2 (en) 2021-03-19 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic integrated circuit and package structure
US11616034B2 (en) 2021-03-19 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure, and method for forming thereof
US11854927B2 (en) 2021-03-24 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming same
US11830796B2 (en) 2021-03-25 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit substrate, package structure and method of manufacturing the same
US11830800B2 (en) 2021-03-25 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Metallization structure and package structure
US11574861B2 (en) 2021-03-25 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11823991B2 (en) 2021-03-26 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Frames stacked on substrate encircling devices and manufacturing method thereof
US11855011B2 (en) 2021-03-30 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11658134B2 (en) 2021-03-30 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Inductor structure, semiconductor package and fabrication method thereof
US11594477B2 (en) 2021-04-15 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing semiconductor package
US11764118B2 (en) 2021-04-29 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11791387B2 (en) 2021-04-30 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with backside via and methods thereof
US11961880B2 (en) 2021-05-06 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insulator-metal structure
US11855003B2 (en) 2021-05-13 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11768338B2 (en) 2021-05-27 2023-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Optical interconnect structure, package structure and fabricating method thereof
US11855004B2 (en) 2021-06-17 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11721643B2 (en) 2021-06-17 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11705406B2 (en) 2021-06-17 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method for forming the same
US11804433B2 (en) 2021-06-18 2023-10-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for forming the same
US11855057B2 (en) 2021-07-08 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11978697B2 (en) 2021-07-16 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11869822B2 (en) 2021-07-23 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11855006B2 (en) 2021-07-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, package structure and fabricating method thereof
US11967591B2 (en) 2021-08-06 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Info packages including thermal dissipation blocks
US11915994B2 (en) 2021-08-12 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure comprising a semiconductor die with a thermoelectric structure and manufacturing method thereof
US11916025B2 (en) 2021-08-13 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device die and method for fabricating the same
US11848234B2 (en) 2021-08-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method comprising formation of redistribution structure and interconnecting die
US11862549B2 (en) 2021-08-27 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having conductive patterns of redistribution structure having ellipse-like shape
US11854964B2 (en) 2021-08-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with conductive bumps
US11990440B2 (en) 2021-08-27 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with conductive bumps
US11978722B2 (en) 2021-08-27 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package containing chip structure with inclined sidewalls
US11935761B2 (en) 2021-08-27 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming thereof
US11784130B2 (en) 2021-08-27 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with underfill
US11715731B2 (en) 2021-08-29 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11791371B2 (en) 2021-08-30 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor structure
US11817413B2 (en) 2021-08-30 2023-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package structure comprising via structure and redistribution layer structure and method for forming the same
US11996342B2 (en) 2021-08-30 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package comprising heat dissipation plates
US11942451B2 (en) 2021-08-30 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US11676916B2 (en) 2021-08-30 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with warpage-control element
US11854929B2 (en) 2021-08-30 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US11855058B2 (en) 2021-08-30 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11901256B2 (en) 2021-08-31 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor package, and methods of manufacturing the same
US11908764B2 (en) 2021-08-31 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including a circuit substrate having a cavity and a floor plate embedded in a dielectric material and a semiconductor die disposed in the cavity

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090278263A1 (en) * 2008-05-09 2009-11-12 Texas Instruments Incorporated Reliability wcsp layouts
US20090321918A1 (en) * 2007-01-03 2009-12-31 Chipmos Technologies Inc. Chip package

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW577160B (en) 2002-02-04 2004-02-21 Casio Computer Co Ltd Semiconductor device and manufacturing method thereof
JP3953027B2 (ja) 2003-12-12 2007-08-01 ソニー株式会社 半導体装置およびその製造方法
TW200816421A (en) * 2006-09-29 2008-04-01 Novatek Microelectronics Corp Chip package, chip structure and manufacturing process thereof
US20080122078A1 (en) 2006-11-08 2008-05-29 Jun He Systems and methods to passivate on-die redistribution interconnects
US7915741B2 (en) * 2009-02-24 2011-03-29 Unisem Advanced Technologies Sdn. Bhd. Solder bump UBM structure
KR101718011B1 (ko) * 2010-11-01 2017-03-21 삼성전자주식회사 반도체 패키지 및 그 제조방법
JP5879030B2 (ja) * 2010-11-16 2016-03-08 新光電気工業株式会社 電子部品パッケージ及びその製造方法
US8829676B2 (en) * 2011-06-28 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for wafer level package

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090321918A1 (en) * 2007-01-03 2009-12-31 Chipmos Technologies Inc. Chip package
US20090278263A1 (en) * 2008-05-09 2009-11-12 Texas Instruments Incorporated Reliability wcsp layouts

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103745937A (zh) * 2014-02-08 2014-04-23 华进半导体封装先导技术研发中心有限公司 扇出型圆片级封装的制作工艺
CN103745937B (zh) * 2014-02-08 2016-06-01 华进半导体封装先导技术研发中心有限公司 扇出型圆片级封装的制作工艺
US10096553B2 (en) 2014-02-27 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Metal pad for laser marking
US10269723B2 (en) 2014-05-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark design for packages
US11742298B2 (en) 2014-05-29 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark design for packages
US10522473B2 (en) 2014-05-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark design for packages
CN105321912A (zh) * 2014-05-30 2016-02-10 台湾积体电路制造股份有限公司 用于激光标刻的金属焊盘
CN105321912B (zh) * 2014-05-30 2018-05-25 台湾积体电路制造股份有限公司 用于激光标刻的金属焊盘
CN105742198A (zh) * 2014-12-26 2016-07-06 台湾积体电路制造股份有限公司 管芯接合器及其使用方法
US10964663B2 (en) 2014-12-26 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Die bonder and methods of using the same
US10950572B2 (en) 2014-12-26 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Die bonder and methods of using the same
CN105742198B (zh) * 2014-12-26 2019-11-08 台湾积体电路制造股份有限公司 管芯接合器及其使用方法
US10475764B2 (en) 2014-12-26 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Die bonder and methods of using the same
CN104617001A (zh) * 2014-12-30 2015-05-13 南通富士通微电子股份有限公司 半导体再布线封装工艺
TWI614850B (zh) * 2015-10-05 2018-02-11 聯發科技股份有限公司 半導體封裝結構及其形成方法
CN106560918A (zh) * 2015-10-05 2017-04-12 联发科技股份有限公司 半导体封装结构及其形成方法
US10163834B2 (en) 2016-09-09 2018-12-25 Powertech Technology Inc. Chip package structure comprising encapsulant having concave surface
CN111128753A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US11031289B2 (en) 2018-10-31 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and methods of forming the same
CN111128753B (zh) * 2018-10-31 2021-10-22 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US11837502B2 (en) 2018-10-31 2023-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and methods of forming the same
US11399438B2 (en) 2019-01-07 2022-07-26 Delta Electronics (Shanghai) Co., Ltd. Power module, chip-embedded package module and manufacturing method of chip-embedded package module
WO2021013097A1 (en) * 2019-07-25 2021-01-28 Nantong Tongfu Microelectronics Co., Ltd. Packaging structure and formation method thereof
TWI765327B (zh) * 2019-08-28 2022-05-21 台灣積體電路製造股份有限公司 積體電路及其形成方法、半導體封裝的形成方法

Also Published As

Publication number Publication date
US20130168848A1 (en) 2013-07-04
CN103187388B (zh) 2016-04-06
DE102012109484A1 (de) 2013-07-04
US9312148B2 (en) 2016-04-12
DE102012109484B4 (de) 2020-07-16
US20150187605A1 (en) 2015-07-02
US9000584B2 (en) 2015-04-07

Similar Documents

Publication Publication Date Title
CN103187388B (zh) 封装的半导体器件及封装半导体器件的方法
US10553458B2 (en) Chip packaging method
CN100592511C (zh) 一种半导体封装体
US20190139865A1 (en) Chip package structure
US9818684B2 (en) Electronic device with a plurality of redistribution structures having different respective sizes
US9318429B2 (en) Integrated structure in wafer level package
CN104795371B (zh) 扇出型封装件及其形成方法
EP3093877B1 (en) Semiconductor package
CN103515260B (zh) 封装内封装及其形成方法
US20140312492A1 (en) Package with a Fan-out Structure and Method of Forming the Same
US10978362B2 (en) Semiconductor structure with conductive structure
CN105990291A (zh) 用于管芯探测的结构
CN106684047A (zh) 封装件及其制造方法
CN105390455A (zh) 用于晶圆级封装件的互连结构及其形成方法
CN102637608A (zh) 半导体器件和形成用于3d fo-wlcsp的垂直互连结构的方法
CN102683279A (zh) 半导体器件和形成牺牲保护层以在单体化期间保护半导体管芯边缘的方法
US10140498B2 (en) Wafer-level packaging sensing device and method for forming the same
CN103915353A (zh) 半导体器件以及使用标准化载体形成嵌入式晶片级芯片尺寸封装的方法
CN103295986A (zh) 形成用于堆叠封装件的连接件的机构
US10163770B2 (en) Fan-out package structure and method
US9711425B2 (en) Sensing module and method for forming the same
CN110783282A (zh) 封装结构
US20140252592A1 (en) Pad defined contact for wafer level package
EP3657534A2 (en) Semiconductor package structure and method for forming the same
CN107437512A (zh) 测试、制造和封装半导体器件的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant