CN101911281B - 用以在处理腔室内支撑、定位及旋转基板的设备与方法 - Google Patents

用以在处理腔室内支撑、定位及旋转基板的设备与方法 Download PDF

Info

Publication number
CN101911281B
CN101911281B CN2009801023953A CN200980102395A CN101911281B CN 101911281 B CN101911281 B CN 101911281B CN 2009801023953 A CN2009801023953 A CN 2009801023953A CN 200980102395 A CN200980102395 A CN 200980102395A CN 101911281 B CN101911281 B CN 101911281B
Authority
CN
China
Prior art keywords
substrate
port
fluid
treatment
fitted
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2009801023953A
Other languages
English (en)
Other versions
CN101911281A (zh
Inventor
布莱克·凯尔梅尔
亚历山大·N·勒纳
约瑟夫·M·拉内什
凯达尔纳什·桑格姆
库赫斯特·索瑞伯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101911281A publication Critical patent/CN101911281A/zh
Application granted granted Critical
Publication of CN101911281B publication Critical patent/CN101911281B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明的实施例涵盖处理期间用来支撑、定位及旋转基板的方法、设备和系统。本发明的实施例还包括控制处理腔室内基板与基板支撑件间的传热的方法。在一或多个处理步骤,例如快速热处理(RTP)工艺、化学汽相沉积(CVD)工艺、物理汽相沉积(PVD)工艺、原子层沉积(ALD)工艺、干法蚀刻工艺、湿式清洁工艺及/或激光退火处理期间,所述设备和方法不需复杂、昂贵又常不可靠的组件来正确定位及旋转基板。

Description

用以在处理腔室内支撑、定位及旋转基板的设备与方法
技术领域
本发明大体上是有关半导体处理领域,且特别是关于半导体器件生产期间在处理腔室中支撑、定位或旋转基板的设备和方法。
背景技术
在集成电路和显示器生产中,半导体、介电质和导电材料形成在基板、例如硅基板或玻璃基板上。材料可利用化学汽相沉积(CVD)、原子层沉积(ALD)、物理汽相沉积(PVD)、离子注入、等离子体或热氧化和氮化工艺形成。随后,沉积材料经蚀刻形成特征结构,例如栅极、通孔、接触孔和互连线。在典型的沉积或蚀刻工艺中,基板在基板处理腔室中暴露于等离子体,以沉积或蚀刻基板表面的材料。其他在基板上执行的典型工艺包括热处理技术,热处理技术包括快速热处理(RTP)、闪光灯或激光退火处理。
集成电路和显示设备已发展成复杂设备,所述设备的单一芯片或显示基板区域包括数百万个晶体管、电容器和电阻器。芯片设计不断演进而需要更快的电路和更高的电路密度,以满足越来越多的精密生产工艺需求。一常用的生产工艺为离子注入。
离子注入对于在半导体上形成晶体管结构尤其重要,且可在芯片制造期间实行多次。离子注入时,硅基板遭带电离子束(一般称为掺杂)轰击。注入改变材料性质,其注入掺杂而获得特殊电性等级。控制射向基板的能量束的离子数量和基板通过能量束的次数,可决定掺杂浓度。能量束大小通常决定掺杂注入深度。掺杂经加速达容许掺杂穿过或注入薄膜至预定深度的能量大小。
离子注入时,经注入的薄膜常产生大量的内应力。为释放应力及进一步控制注入形成的薄膜性质,薄膜一般经热处理,例如退火。离子注入后退火一般是在快速热处理(RTP)腔室中进行,RTP使基板经非常短暂、但高度控制的热循环处理,以在10秒内从室温加热基板到超过1000℃。RTP释放注入引起的应力,并进一步改变薄膜性质,例如改变薄膜电性。
一般来说,RTP腔室包括辐射热源或照灯、腔室主体和基板支撑环。照灯一般装设在腔室主体顶表面,照灯产生的辐射能量照射腔室主体内支撑环支撑的基板。石英窗口一般置于腔室主体顶表面,以协助能量传递于照灯与基板之间。支撑环一般包含碳化硅,且从腔室主体底部延伸而利用支撑环的外缘支撑基板。外接电动机用来转动基板和支撑环,以补偿照灯产生的辐射能量照射整个基板表面的差异性,以免基板加热不均匀。一般来说,RTP工艺是在大气压或低压下进行,以减少基板的微粒和化学剂污染。
虽然RTP工艺可快速加热及冷却基板,但RTP工艺常会加热整个基板厚度。基板表面各处加热不均匀是RTP或其他传统基板加热工艺常面临的问题。例如,支撑环接触基板外缘的区域常发生温度差异。辐射加热源照射基板顶表面(基板的不同表面区段包括不同器件材料)也会造成基板温度差异。由于不同器件材料具有很宽的辐射率,因此造成温度的不同。
在上述工艺期间,基板通常托在具有基板接收面的基板支撑件上。支撑件具有埋入电极,埋入电极在处理期间作为等离子体产生装置,及/或也可静电托住基板。支撑件还具有电阻式加热组件,以于处理时加热基板,及/或具有水冷却系统,以冷却基板或冷却支撑件。问题之一在于随着器件尺寸缩小而降低整个基板差异容限,导致基板相对基板支撑件、遮蔽环或其他腔室部件的对准和定位将影响基板处理结果的均匀性。在一些例子中,处理腔室的一或多个区域无法均匀产生等离子体(如,等离子体增强化学汽相沉积(PECVD)、PVD)、均匀传热至基板(如,RTP、PECVD),及/或因处理腔室的气体入口或排气装置设置方位而有不均匀气流区域,故一般需旋转基板来平均处理腔室中不同处理区的不均匀性。在处理腔室中旋转基板通常为昂贵又复杂的工艺,该工艺需在低于大气压的压力、高温下处理基板,及/或需一或多个可旋转的电连接器将功率输送到基板支撑件的一或多个部件(如加热组件)。如此将因需使用可靠、不产生微粒的高温旋转部件(如轴承)、精密昂贵的电动机、复杂的控制系统、可靠的旋转电连接器和可靠的旋转真空密封垫,以致提高复杂度和成本。
因此,需有改良系统,以于基板处理期间支撑、定位及/或旋转基板,该系统不需直接接触基板、使用和维修成本低、处理结果佳、可靠且容易控制。
发明内容
本发明大致上提出处理腔室,该处理腔室包含基板支撑件,支撑件包含多个具一或多个孔的端口,所述端口各自接收流自一或多个流量控制器的流体,其中各端口引导接收流体朝与其他端口主流方向不同的主流方向;传感器,该传感器设置成监视放置在基板支撑件上的基板位置,基板支撑件位于处理腔室的处理区内;以及控制器,该控制器用来接收来自传感器的信号,及通过控制流自一或多个流量控制器并由各端口输送的流体而控制基板位置。
本发明的实施例还提出处理腔室,该处理腔室包含多个端口,所述端口各自提供流体流至基板表面,其中多个端口包含第一端口、第二端口以及第三端口,第一端口用以接收出自第一流量控制器的流体及引导流体朝第一方向,第二端口用以接收出自第二流量控制器的流体及引导流体朝第二方向,第三端口用以接收出自第三流量控制器的流体及引导流体朝第三方向,其中至少一部分流自多个端口的流体用来支撑基板重量;传感器,该传感器设置成监视位于处理腔室的处理区内的基板位置;以及控制器,该控制器用来接收来自传感器的信号,及通过控制流自第一、第二和第三流量控制器的流体而控制基板位置。
本发明的实施例还提出处理基板的方法,该方法包含输送流体流向多个端口,其中多个端口包含第一端口、第二端口和第三端口,第一端口用以接收出自第一流量控制器的流体及引导流体朝第一方向,第二端口用以接收出自第二流量控制器的流体及引导流体朝第二方向,第三端口用以接收出自第三流量控制器的流体及引导流体朝第三方向,其中至少一部分流自多个端口的流体用来支撑基板重量;将基板放到位于处理腔室的处理区的多个端口上;利用传感器监视位于处理区内的基板边缘位置;以及利用传感器控制流自第一、第二和第三端口的流体,进而控制基板位置。
本发明的实施例还提出处理基板的方法,该方法包含将基板放到处理腔室的处理区内,其中基板具有多个半导体器件形成在基板的处理表面;输送流体流向三或多个端口,其中三或多个端口包含第一端口、第二端口和第三端口,第一端口用以接收出自第一流量控制器的流体及引导流体朝第一方向,第二端口用以接收出自第二流量控制器的流体及引导流体朝第二方向,第三端口用以接收出自第三流量控制器的流体及引导流体朝第三方向,其中至少一部分流自三或多个端口的流体用来支撑基板重量,且三或多个端口没有一个引导流体朝同一方向;在三或多个端口上接收基板;利用传感器监视位于处理区内的基板边缘位置;以及通过控制流自第一、第二和第三端口的流体与来自传感器的信号而控制基板边缘位置。
附图说明
为让本发明的上述特征更明显易懂,可配合参考实施例说明,部分实施例绘示于附图中。须注意的是,虽然附图公开了本发明特定实施例,但并非用以限定本发明的精神与范围,任何本领域技术人员,当可作各种的更动与润饰而得其他等效实施例。
图1为根据本发明一实施例的处理腔室的局部立体剖视图;
图2为根据本发明一实施例的处理腔室和基板支撑组件区域的截面图;
图3A为根据本发明一实施例的端口的截面图;
图3B为根据本发明一实施例的端口的截面图;
图3C为根据本发明一实施例的端口的截面图;
图3D为根据本发明一实施例的端口的截面图;
图4为根据本发明一实施例的端口的局部立体剖视图;
图5A为根据本发明一实施例的基板支撑组件的立体视图;
图5B为根据本发明一实施例的基板支撑组件的局部立体剖视图;
图6A-6C为根据本发明一实施例的基板支撑组件的简化示意图;
图7A-7C为根据本发明一实施例的基板支撑组件的简化示意图;
图8为根据本发明一实施例的基板支撑组件的简化示意图;
图9A为根据本发明一实施例的端口组件的局部立体剖视图;
图9B-9D为根据本发明一实施例的端口组件的立体视图;
图10A为根据本发明一实施例的处理腔室的局部立体剖视图;
图10B为根据本发明一实施例的处理腔室的局部立体剖视图;
图11A为根据本发明一实施例的基板支撑件和处理腔室的截面图;
图11B为根据本发明一实施例的基板支撑件和处理腔室的截面图;
图12为根据本发明一实施例的端口的截面图;
图13A-13C为根据本发明一实施例的处理腔室的截面图;
图14A为根据本发明一实施例的处理腔室的截面图;
图14B为根据本发明一实施例的基座的特写截面图;
图15绘示根据本发明一实施例的基板支撑与定位组件的透视图;
图16绘示根据本发明另一实施例的基板支撑与定位组件的透视图;
图17绘示根据本发明一实施例,位于支撑与定位组件上的热边缘阻障的局部截面图;
图18绘示根据本发明一实施例的空气轴承边缘辊的透视图;
图19绘示根据本发明一实施例的下底板的俯视图;
图20绘示根据本发明一实施例的支撑与定位组件的透视图,在该支撑与定位组件上具有基板;
图21绘示根据本发明一实施例的底板上的基板的正视图;
图22绘示根据本发明一实施例的底板的透视图;
图23为根据本发明一实施例的处理腔室的截面图;
图24绘示根据本发明一实施例的处于装载模式的支撑组件的侧视图;以及
图25绘示根据本发明一实施例的处于处理模式的支撑组件的侧视图。
为助于了解,各图中相同的组件符号代表相似的组件。
具体实施方式
本发明的实施例涵盖处理期间用来支撑、定位及旋转基板的方法、设备和系统。本发明的实施例还包括控制处理腔室内基板与基板支撑件间的热传递的方法。在一或多个处理步骤期间,例如快速热处理(RTP)工艺、化学汽相沉积(CVD)工艺、物理汽相沉积(PVD)工艺、原子层沉积(ALD)工艺、湿式清洁工艺(如取自美国应用材料公司的TempestTM处理腔室)、干法蚀刻工艺及/或激光退火处理,所述设备和方法不需复杂、昂贵又常不可靠的部件来正确定位及旋转基板。可利用所述方法、设备和系统处理的基板包括200毫米(mm)、300mm或更大的单晶硅(Si)、多结晶(multi-crystalline)硅、多晶硅、锗(Ge)、碳化硅(SiC)、玻璃、砷化镓(GaAs)、碲化镉(CdTe)、硫化镉(CdS)、硒化铜铟镓(CIGS)、硒化铜铟(CuInSe2)、磷化镓铟(GaInP2)和异质结单元,例如GaInP/GaAs/Ge或ZnSe/GaAs/Ge基板,但不以此为限。较佳地,基板为圆形,但也可为任何预期形状。在一实施例中,基板为半导体基板,该半导体基板具多个半导体器件形成在处理表面。如图2所示,基板的处理表面或器件侧一般为基板W下表面W1的对侧。
一般来说,本发明的实施例提供基板支撑件,基板支撑件能利用出自基板支撑组件100(图1)的三或多个端口的流体来支撑、定位及/或旋转基板。已发现通过控制从三或多个端口输送到基板表面的流体特性,例如出自三或多个端口的流体“流”的流速和位向,可正确支撑、定位及/或旋转基板。支撑、定位及/或旋转基板是由流体流过基板表面的摩擦力和原子动量转移引起。可依需求使用封闭回路控制系统结合各流体流施予的力量,以移动及定位基板。随着器件尺寸缩小,微粒污染容限大幅减低,故一般定位、移动或旋转基板时,乐见避免基板W的下表面W1(图2)接触腔室部件,例如基板支撑面110A。流体一般为气体,例如氮气、氦气、氩气、氪气、氖气、氢气或其组合物,但在一些应用中为液体,例如水。
图1为处理腔室101的局部立体剖视图,腔室101含有一或多个壁面102、盖子103和位于处理腔室101的处理区104内的基板支撑组件100。一般来说,处理腔室101为RTP、CVD、PVD、ALD、湿式清洁、干法蚀刻、激光退火腔室或其他类似的基板处理腔室。基板支撑组件100一般含有基板支撑件110、流体输送系统130和感测组件123,所述基板支撑件110、流体输送系统130和感测组件123皆连接系统控制器120。
在一实施例中,如图1所示,基板“W”托在流体“B”上,流体“B”从流体输送系统130输送到基板支撑件110的端口111(仅绘示一个)。图2为处理腔室101的局部截面图,处理腔室101含有基板支撑组件100设于处理腔室101的壁面102。如此,输送通过端口111的流体“B”将基板W支撑在基板支撑件110上方,而于基板W与基板支撑件110间产生间隙“G”。流体B造成的间隙“G”为约1微米(μm)至约1000μm,较佳约100μm至约500μm。在一实施例中,基板抬高约500μm。视情况而定,可设置传感器(未绘示),例如光学传感器,以侦测基板何时达基板支撑面上方的预定高度。在一实施例中,光学传感器和系统控制器120通过调整一或多个端口111输送的流量而控制预定间隙“G”。
在一实施例中,基板支撑件110由金属、陶瓷、塑料、半导体或其他常用于处理时支撑基板的材料构成。在一实施例中,基板支撑件110由金属构成,例如铝和不锈钢。在另一实施例中,基板支撑件110由陶瓷材料构成,例如石英、蓝宝石、碳化硅、氧化铝、氧化锆、氮化铝或氮化硼。
流体输送系统130一般含有一或多个流体控制部件,以提供及控制流体输送到基板支撑件110的端口111。在一实施例中,流体输送系统130含有一或多个流体源(如流体源133A-133B),流体源利用流体控制装置(如流体控制装置131A-131B)及选择性利用一或多个控制阀(如控制阀132A-132B)来输送流体至各端口111。流体控制装置利用系统控制器120送出的指令,控制流体输送到端口111的流量、速度及/或压力。在一实施例中,流体控制装置为传统流量控制器(MFC),该流量控制器连接系统控制器120。在另一实施例中,流体控制装置为固定孔口,以在已知压力下输送预定流量。基板移动控制也受一或多个端口输送的流体种类(如气体、液体)影响,故需考虑黏度、原子量、压力和密度。流体选择通常还需考虑该流体对处理区104进行的工艺的影响。在一实施例中,输送通过端口111的流体为气体,该气体组成不同于处理期间处理腔室101的处理区104(图1)的气体成分。例如,当处理腔室101为LPCVD腔室时,处理区104含有用于沉积材料至基板表面的气体或蒸汽(如含硅烷前驱物),出自端口111的气体为不反应或惰性气体,例如氮气或氩气。
感测组件123一般含有光源121和传感器122,光源121和传感器122设置成感应出基板相对基板支撑件110的位置,及传递信息给系统控制器120,如此通过输送流体至端口111可主动控制基板W位置。在此构造中,光源121和传感器122设置成当基板位于处理腔室101内的预定位置时,系统控制器120监视出自光源121且由传感器122接收的至少一部分光线“E”(图1-2)。此构造一般已知为“穿透光束”感应构造。在一实施例中,如图1及2所示,传感器122设于基板支撑件110的开孔115。在一实施例中,传感器122用来监视基板边缘的特征结构,以感应出基板切口位置,并且记录特征结构通过传感器窗口的频率而测量转速。虽然图1及2感测组件123绘示“穿透光束”型传感器构造或甚至是采用光线的传感器构造,但本发明的范围不限于这些构造,任何监视基板位置的装置均可使用,此并不脱离本发明的基本范围。在一实施例中,回归反射传感器构造用来感应出基板位置或移动。回归反射传感器通常发射光线及接收沿着相同或类似路径自预定对象反射的光线。
系统控制器120用来控制完成基板支撑组件100和处理腔室101的各部件。系统控制器120通常用来协助整个处理腔室的控制及自动化,且一般包括中央处理单元(CPU)(未绘示)、内存(未绘示)和支持电路(或I/O)(未绘示)。CPU可为任一型式的计算机处理器,该计算机处理器用于工业设定来控制不同的系统功能、腔室工艺和支持硬件(如侦测器、机械臂、电动机、流体源等),及监视工艺(如基板支撑件温度、电源变量、腔室工艺时间、I/O信号等)。内存连接CPU,且可为一或多种容易取得的内存,例如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、或其他本地或远程的数字储存器。软件指令与数据可加以编码及存入内存,用以指示CPU。支持电路也连接CPU,以通过传统方式支持处理器。支持电路包括高速缓冲储存器、电源供应器、时钟电路、输入/输出电路、次系统等。系统控制器120可读取的程序(或计算机指令)决定施行于基板的任务。较佳地,程序为系统控制器120可读取的软件,该软件包括进行监视、基板移动、支撑、定位及/或旋转的执行与控制、和处理腔室101中进行的各种处理程序任务与腔室工艺方法步骤相关的任务的编码。在一实施例中,系统控制器使用传统PID控制算法来控制流体输送到端口,以主动控制基板的支撑、定位及/或旋转。
在处理腔室101的一实施例中,如图2及17所示,基板支撑组件100增设边缘阻障5,以改善处理时基板的热均匀性。热边缘阻障5为环形主体,该环形主体至少部分环绕基板W边缘及限制基板在该环形主体边界内移动。边缘阻障5一般也用来接收热或传热至基板边缘。热边缘阻障5可利用照灯、埋置电阻式加热组件或其他类似装置控制温度,进而控制热边缘阻障5与基板边缘间的热传过程。热边缘阻障5可由减少基板表面刮划或污染并具预定发射率或能吸收辐射能的材料构成。例如,热边缘阻障5的构成材料例如为碳化硅、不锈钢、铝、硅、氧化铝或高温聚合物。热边缘阻障5的其他性质将进一步详述于后。
图3A-3C绘示端口111的不同实施例,端口111用来支撑、定位及/或旋转放在基板支撑件110上的基板W。本发明的实施例大致上提供确定基板支撑件110的一或多个端口111方向,使流出端口111或端口111内的流体互相作用而依需求支撑、定位及旋转基板。调整流体与基板表面互相作用的速度、流量和角度,将可利用流体与基板间引起的动量转换和摩擦力,相对基板支撑件110来动态定位、移动及/或旋转基板。已发现在次音速流量辖域(如马赫数<1)流动可大幅增进流体与基板的耦合效率。故一般期望设计孔及在促使流体以次音速流出孔及/或扼制流量的压力下将流体输送到喷嘴。
在一实施例中,期望配设一或多个端口111使所述端口以超音速(如马赫数>1)输送流体,由于射出高速流体,因此端口周围将产生低压区而用来定位基板。在一实施例中,端口111的孔112加工制作成具有收束区段和放射区段,当压降大于临界点时,此喷嘴形状(如de Laval喷嘴)得以产生超音速流量。可料想到以超音速输送气体,使端口输送超音速流量将产生低压区,导致气体流向低压区而引起摩擦力,进而造成基板移动。故在一或多个基板处理步骤处理基板期间,通过输送出自一或多个策略性配置的端口的超音速流量,可控制基板移动。在一实施例中,还期望采用能输送超音速流量的端口和能输送次音速流量的端口,以移动及/或定位基板。输送次音速流量通过端口的优点在于可引起方向性流动(即朝低压点),而不需在基板支撑件中加工制作斜向孔。若基板支撑件例如由陶瓷材料构成,则难以在基板支撑件中形成斜向孔。
在一实施例中,如图3A所示,端口111含有孔112,孔112定向成基本垂直基板W下表面W1的位向。在此构造中,通过孔112的输入流Bi撞击基板W下表面W1,导致流体往不同方向流动,例如方向BO1、BO2。当流体输送系统130输送通过孔112的输入流Bi流量及/或压力够大时,基板W与基板支撑件110间将形成间隙114。由于孔112垂直下表面W1,故输入流Bi倾向仅以垂直方向(即Z方向)支撑基板及移动基板。应注意流动流体产生的间隙114大小将取决于流动流体与处理区104(图1)的相对压力、基板W的重量、流体冲击角度α、流体性质和流体流出孔112的速度。
图3B为端口111的截面图,端口111定向成与基板W的下表面W1夹角度α,如此可依需求支撑及移动基板W。垂直下表面W1的输入流体流分量(如Bi×Sin(α),在此Bi为流体流矢量)有助于支撑晶圆,正切下表面W1的流分量(如Bi×Cos(α),在此Bi为流体流矢量)则有助于沿着方向M移动基板。由于输入流Bi倾斜下表面W1,造成流动流体与基板下表面W1间产生摩擦力,故输入流Bi将有助于沿着方向“M”移动基板。虽然一部分的输入流Bi可朝其他方向流动,例如流矢量B2,但因孔112位向和流体流性质所致,大多朝主流矢量B1的方向流动。流动流体抵抗基板表面的摩擦力造成主流矢量B1产生力量作用于基板W,促使基板沿着方向M移动及远离基板支撑件110A,该方向M朝主流矢量B1的方向。应注意为清楚说明,图3A-3D一般未显示倾斜输入流Bi的主流矢量B1和其他有助于支撑基版的流矢量(如流矢量B2)的Z方向分量。
在一实施例中,如图3B所示,端口111还含有一或多个排气孔113,以留存由孔112注入的至少一部分输入流Bi。此构造容许各端口111输送的流体流依需求自给自足,而可避免出自基板支撑件110的端口111的流体流与出自其他相隔端口111的流体流互相作用。在一例子中,期望限制流体流过排气孔113,让一部分的输入流Bi经由排气孔113离开端口111,一部分的输入流Bi流入下表面W1与基板支撑件110的基板支撑面110A间的间隙114(即间隙流BG)。
在另一实施例中,基板处理步骤期间,期望利用控制排气阀134A,选择性抑制注入的输入流Bi不同时经由排气孔113离开。控制排气阀134A可连接排气泵或类似排气系统,所述排气泵或排气系统能减压及提高排气孔113流量。关闭排气孔113将造成注入的流体在下表面W1与基板支撑件的基板支撑面110A间的间隙114内流动(即间隙流BG),故可改善基板支撑。
图3C为端口111的截面图,端口111设有孔112定向成基本垂直基板W的下表面W1、和排气孔113定向成与下表面W1夹角度β。在此构造中,输入流Bi引起的力量F1主要用来支撑基板W,倾斜设置的排气孔113提供力量Fx,力量Fx为输出流沿着流动方向BO1产生的力量F2的分量且作用于基板。力量Fx用来朝预定方向M移动或定位基板W。通过将多个选择性控制排气孔以不同预定方向及/或预定角度(如角度β)设置分散在基板支撑件110周围,可轻易控制基板移动。在此构造中,移动可稍微与输入流Bi的流动性质分离。又,在此构造中,输入流Bi能减低基板W下表面W1接触腔室基板支撑件110的风险,故可减少产生微粒或破坏基板W下表面W1。
图3D为端口111的截面图,端口111定向成与基板W的下表面W1夹角度α,如此可依需求支撑及移动基板W。图3D不同于图3B-3C及4,图3D绘示端口111的构造仅含有一或多个孔112形成于基板支撑件110(即,图3D只显示一个),所述孔112与基板支撑面110A相交。在此构造中,不需额外进行加工制作步骤形成下表面110C(图3B及4)、凹部110B的特征结构(图4)及/或排气孔113(图3B-3C及4),故可降低基板支撑件110的成本和复杂度。如上所述,输入流体流定向成与基板W的下表面W1夹角度α,而可产生主流矢量来依需求支撑及移动基板W。
图4绘示端口111的局部立体剖视图,端口111形成于基板支撑件110的基板支撑面110A。在一实施例中,端口111含有多个孔112和多个排气孔113,所述孔112和排气孔113设在基板支撑件110的基板支撑面110A的凹部110B。多个排列的孔112及/或排气孔113有助于增进端口111输送到基板的流体耦合,进而协助改善控制基板移动。
如图4所示,类似图3B,孔112定向成与基板W夹角度α,排气孔113定向成与基板W夹角度β,由此支撑、定位及/或旋转基板。凹部110B的下表面110C相对基板W的下表面W1的距离和形状可调整成提供最有效的基板控制。在一实施例中,从下表面110C到基板支撑面110A的距离为约10μm至约1000μm。在一实施例中,端口111呈矩形,且外侧长度和宽度大于约10mm,较佳约10mm至约50mm。
在一实施例中,通过控制一或多个孔112输送的输入流Bi性质,可控制支撑、定位及/或旋转基板的方式。在一实施例中,输入流Bi的流动特性(如压力、速度)受控于流体输送系统130的部件。如图4所示,流体输送系统130含有流体源133、流体控制装置131和选择性控制阀132。流体控制装置(如流量控制器)通常利用系统控制器120送出的指令控制输送到端口111的流体性质。在一实施例中,控制阀132和至少一流体控制装置131连接到端口111的各孔112,如此系统控制器120可于处理程序的任何时候分别控制出自孔112的输入流Bi。在一实施例中,控制阀134连接到端口111的各排气孔113,如此系统控制器120可于处理程序的任何时候分别控制输出流BO。在一实施例中,通过控制流过一或多个排气孔113的流动以控制输出流BO性质,从而控制支撑、定位及/或旋转基板的方式。
图5A为基板支撑件110的立体视图,基板支撑件110含有八个具不同特征结构的端口(即端口111A-111H),例如定向孔112及/或排气孔113,用以移动放置于基板支撑件110上的基板(为清楚说明,并未绘示)。如图5A所示,端口111A、111C、111E、111G的主流矢量X1、Y1、X2、Y2分别借由输送流体通过各端口的特征结构而沿着X或Y方向移动基板。例如,端口111A借由朝主流矢量X1输送流体而沿着+X方向移动基板,端口111C借由朝主流矢量Y1输送流体而沿着+Y方向移动基板,端口111E借由朝主流矢量X2输送流体而沿着-X方向移动基板,端口111G借由朝主流矢量Y2输送流体而沿着-Y方向移动基板。在一实施例中,如图5A所示,端口111A、111C、111E、111G的主流方向矢量各自通过共通点“C”,例如基本上为基板支撑件110的中心,如此可沿着X和Y方向放置基板,而不需旋转基板。
在一实施例中,端口111B、111D、111F、111H设有特征结构,由于各端口的特征结构分别产生力量矢量R2、R1,故可顺时针或逆时针旋转基板。在此构造中,端口111B、111D、111F、111H的主流方向垂直基板径向。为使基板顺时针旋转,控制系统输送流体到端口111B、111F的特征结构,为使基板逆时针旋转,控制系统120输送流体到端口111D、111H的特征结构。本领域技术人员将理解,若端口111的主流方向不通过基板重心,则旋转分量和转移分量均作用于基板。为仅仅获得旋转动作,X方向和Y方向上的力量总和须等于零,同时留下相距基板重心一段距离的力量引起的转矩。例如,参照图5A,若端口111D、111H反向传递相距基板重心距离“d”的力量矢量R1,则作用在基板的逆时针转矩量等于约2(R1×d)。又因基板中心一般是相对端口移动,故系统控制器120需进行主动转移和旋转修正,以确保基板仍朝预定位向及/或依需求位于处理腔室内。
在一实施例中,如图5A所示,各端口111A-111H定向成使主流方向朝基板边缘。为使主流方向朝基板边缘,一般来说,主流方向上的径向分量(即垂直径向)等于零,或者当基板置于基板支撑件的中心时,使主流方向指向背离基板中心。已发现将主流方向定向朝基板边缘或背离基板中心有助于减少相邻端口因各端口输送流重迭而互相作用。在一实施例中,期望适当配置相邻端口,以减少端口互相作用。
如图5A所示,基板支撑件110还含有多个开孔115,所述开孔115配合感测组件123的部件(图1及2)来主动感应出基板支撑件110上的基板位置(图5A未绘示),如此可调整端口111A-111G的流量,以于处理时主动支撑、定位及/或旋转处理腔室101内的基板。在一实施例中,出自端口111A-111G的流动特性(如压力、速度)由上述流体输送系统130的部件和系统控制器120分别控制。已发现基板转速可轻易达1000转/分(rpm)以上,且定位准确度小于约0.2mm。在一实施例中,基板转速为约1rpm至约3000rpm。在处理腔室101中进行一或多个处理步骤处理基板时,可调整转速。例如,处理腔室为低压CVD腔室时,在缓慢CVD沉积速率步骤期间,基板以较低转速旋转,然后在快速CVD沉积速率步骤期间,以较高转速旋转。
图5B为基板支撑件110的立体视图,基板支撑件110含有多个具有孔112的端口(即端口111A-111F),用以输送出自流体输送系统130的流体及移动放置于基板支撑件110上的基板(为清楚说明,并未绘示)。一般来说,端口可朝任一预定位向,例如下述图6A-8的构造。在一实施例中,如图5B所示,单一孔112按斜向孔位向设定的方向提供流体至基板表面。孔112的直径为约0.001英寸(0.025mm)至约0.063英寸(1.6mm),较佳约0.001英寸至约0.032英寸。孔可倾向基板支撑件110的顶表面,倾斜角度约10度至约80度,较佳约30度至约60度。虽然图5B绘示六个端口,但当可包含任何数量的孔来控制基板旋转。在一实施例中,隔离特征结构1110(该特征结构将描述于下)用来防止端口输送的流体流入处理腔室101的处理区。通常期望将端口设置靠近基板半径范围中间,以减低流体从孔112流入处理区104(图1)的机会。在一实施例中,处理300mm的半导体基板时,端口设置相距基板支撑件110的中心约25mm至约100mm。
图6A-6C为基板支撑件110的不同实施例的平面视图,基板支撑件110分别设有不同数量的端口111来定位及/或旋转基板。图6A绘示的基板支撑件110类似图5A,该基板支撑件110含有八个端口111且具定向成不同方向的主流方向,由此控制支撑、定位及/或旋转放置于基板支撑件110上的基板。图6B绘示的基板支撑件110设有四个端口,所述端口利用主流矢量BX1、BY1、BX2、BY2来主导支撑基板及定位基板于X-Y平面。图6C绘示的基板支撑件110设有三个端口,所述端口利用主流矢量B31、B32、B33来主导支撑及定位基板于X-Y平面。本领域技术人员将理解,若系统控制器120进行的定位处理能考虑各端口(即端口111A-111C)输送流体产生的主流矢量特性(如强度和方向),则图6C基板支撑件110可执行与图6A及6B基板支撑件一样的定位功能。在一实施例中,主流矢量B32、B33对称于主流矢量B31且夹角度α1。应注意图5A、6A-6C、7A-7C及8大体上皆绘示主流矢量的分量与表面W1(图3B-3D及4)或平行表面W1的平面平行。为清楚说明,并且由于平行表面W1的主流矢量分量一般用来定位及/或旋转基板,故图5A、6A-6C、7A-7C及8未显示有助于支撑基板的主流矢量分量(图3D的Z方向),此将配合附图详述于后。
尽管大体而言,基板支撑件的端口数量越多,基板的移动控制越精确,然一般也期望减少端口数量,以降低基板支撑组件100的成本和复杂度及减少用于支撑、定位及/或旋转基板的流体量。若处理腔室101进行的工艺易受注入到处理区104(图1)的流体影响,则期望减少用来支撑、定位及/或旋转基板的流体流量。在一实施例中,低压化学汽相沉积(LPCVD)工艺期间(该工艺将详述于后),期望减少所有端口的气流总量至低于约5标准公升/分钟(slm)。在一实施例中,所有端口的气流总量保持为约3slm至约5slm。
图7A-7C绘示基板支撑件110的不同实施例,基板支撑件110设有四个端口111来支撑、定位及旋转放置于基板支撑件110上的基板。图7A绘示的基板支撑件110含有四个端口111且具定向成+X、-X、+Y、-Y方向的主流方向,由此控制支撑、定位及旋转放置于基板支撑件110上的基板。在此构造中,相较于图6B的主流方向穿过基板支撑件中心,其出自各端口111的主流力量矢量偏离大致放在基板支撑件110中心上的基板中心,故旋转转矩将作用于基板。端口111A及/或111C的主流矢量BX1、BX2分别在距离D2、D4处产生施予力量,造成逆时针转矩作用于基板。端口111B及/或111D的主流矢量BY1、BY2分别在距离D3、D1处产生施予力量,导致顺时针转矩作用于基板。应注意系统控制器120可使转矩总和等于零,即{(Bx1×D2)+(Bx2×D4)}减去{(BY1×D3)+(BY2×D1)}等于零,以致基板仅往X与Y方向移动(即无旋转动作)。
图7B-7C绘示基板支撑件110的实施例,基板支撑件110设有四个端口来主导支撑、定位及旋转基板。图7B绘示的基板支撑件110设有四个端口来主导支撑、定位及顺时针旋转基板。由于在同一顺时针转矩方向上,端口的主流方向产生的转矩偏离大致放在基板支撑件中心上的基板重心,因此出自一或多个端口111的流体将一直在基板上施予顺时针旋转动作。
图7C绘示的基板支撑件110设有四个端口来主导支撑、定位及逆时针旋转基板。由于在同一逆时针转矩方向上,端口的主流方向产生的转矩偏离大致放在基板支撑件中心上的基板重心,因此出自一或多个端口111的流体将一直在基板上施予逆时针旋转动作。在图7B-7C的任一实施例中,当系统控制器120使流自端口111A-111D的流体施予X与Y方向上的力量总和分别等于零时,将引起仅往顺时针或逆时针旋转的动作。
图8绘示基板支撑件110的实施例,基板支撑件110设有三个端口111来支撑、定位及旋转放置于基板支撑件110上的基板。图8绘示的基板支撑件110含有三个端口111A-111C且具定向成三个不同方向的主流方向,由此控制支撑、定位及旋转放置于基板支撑件110上的基板。在一实施例中(参见图8),在此构造中,相较于图6C的主流矢量B31、B32、B33穿过基板支撑件中心,其出自端口111A、111B的主流方向偏离大致放在基板支撑件110中心上的基板中心,故旋转转矩将作用于基板。端口111B的主流矢量B32在相距放在基板支撑件中心“S”上的基板中心的距离D2处产生施予力量,造成逆时针转矩作用于基板;端口111A的主流矢量B31在相距放在基板支撑件中心“S”上的基板中心的距离D1处产生施予力量,导致逆时针转矩作用于基板。
尽管图8绘示一主流方向与基板支撑件中心和基板中心一致,然本发明的保护范围不限于此构造。虽然图6A-6C绘示端口的主流方向分量投射在平行基板表面W1的平面且通过共通点“C”,但本发明的保护范围不限于此构造,一或多个主流方向当可对准远离共通点,此并不影响本设计的基本功能。然若平行基板表面W1的主流方向分量未通过单一共通点,则系统控制器120应用的定位控制算法通常因需考虑转矩矢量及/或产生力量的不均衡状态而变得更复杂。又,在一实施例中,主流矢量B32、B33非对称(如图8所示)于主流矢量B31(即角度C1不等于角度C2)。在一实施例中,共通点“C”为在与基板表面W1平行的平面上通过基板支撑件中心的轴投影。
图9A绘示端口组件900的局部立体剖视图,端口组件900含有端口111,并利用流体源130输送的流体来支撑、定位及/或旋转基板W。在一实施例中,如图9A所示,端口111形成在与基板平板145分离的部件941上,且利用致动器组件960相对基板平板145移动。基板平板145可为静电吸座、传统基板加热器或具基板支撑面的简易块体,以于处理腔室101进行一或多个基板处理步骤期间支撑基板。致动器组件960含有连接系统控制器120的致动器961,例如气动汽缸、直流(DC)伺服马达和导螺栓或类似装置,以于处理腔室101处理基板的不同阶段,可靠地相对基板支撑件110定位端口组件900和基板。已发现内径至少0.125英寸(3.2mm)的孔112或顶表面942直径至少0.125英寸(3.2mm)的端口组件900可使用压力为5磅/平方英寸(psig)的氮气输入流Bi来精确、反复支撑放置于该端口组件上的基板。
图9B-9D为端口组件900的不同实施例的截面图,用以支撑、定位及/或旋转放置于该端口组件上的基板。图9A绘示的端口组件900设有孔112,孔112提供垂直基板W下表面W1的输入流,借此主要支撑基板重量Fw。图9B绘示端口组件900的实施例,端口组件900具有孔112提供倾斜基板W下表面W1的输入流Bi,由此支撑及移动基板W。图9C绘示端口组件900的实施例,端口组件900具有孔112B提供垂直基板W下表面W1的输入流Bi1,借此主要支撑基板,及提供出自端口112C且倾斜基板W下表面W1的输入流Bi2,借此支撑及移动基板W。孔112B-112C的尺寸可调整成预定量支撑及/或移动基板。图9D绘示端口组件900的实施例,端口组件900具有孔112提供倾斜基板W下表面W1的输入流Bi,借此支撑及移动基板W。
在一实施例中,如图10A及10B所示,端口组件900为升降组件1000的一部分,用以接收来自机器臂(未绘示)的基板(为清楚说明,并未绘示),机器臂设在处理腔室101的一或多个壁面102围住的处理区104外面(图1)。在此构造中,可利用基板升降组件1000的端口组件900的端口111支撑、定位及/或旋转基板。升降组件1000一般含有端口组件支撑平板1001和致动器1002,以经由孔洞144相对基板平板145定位端口组件900。致动器1002可为气动汽缸、DC伺服马达或类似装置,致动器1002连接系统控制器120并能可靠地相对基板平板145定位端口组件900和基板。
图10A为处理腔室101的局部立体剖视图,其中升降组件1000和端口组件900处于“下降”位置,在此可进行处理或机器臂叶片插进或移出步骤。在一实施例中,“下降”位置容许端口111置于基板平板145表面上方的距离H1。图10B为处理腔室101的局部立体剖视图,其中升降组件1000和端口组件900处于“上升”位置而容许进行机械装置传送步骤,在一实施例中,处于“上升”位置时,端口111和基板置于基板平板145表面上方的距离H2,其中H2大于H1。在一实施例中,“下降”位置容许基板放在基板平板145的基板支撑面145A。应注意图10A-10B实施例可配合应用任一所述实施例。例如,升降组件1000可配合其他附图的基板支撑件100构造使用。
参照图1、2、10A及10B,在一实施例中,利用升降组件1000将基板传送进出处理腔室101的程序包括下列步骤:(1)移动升降组件至“下降”位置;(2)插入含有基板的机器臂;(3)连接一或多个端口组件900的流体输送系统130开始流进流体而支撑基板;(4)利用致动器1002移动端口组件支撑平板1001,以将基板移开机器臂及接收端口组件900上的基板;(5)缩回机器臂;(6)利用端口组件900和致动器1002,将基板移到预定处理腔室中的处理位置;(7)利用感测组件123、系统控制器120和流体源130来支撑、定位及/或旋转基板;(8)在处理腔室101(如CVD、RTP、PVD、蚀刻)中进行预定工艺;(9)终止基板处理;(10)移动升降组件至“上升”位置;(11)插入机器臂来接收基板;(12)通过移动升降组件至“下降”位置,以将基板放到机器臂上;(13)缩回机器臂;以及(14)关闭流体流出一或多个端口组件900。在一实施例中,基板于预定基板处理步骤(即步骤8)期间经旋转及主动定位。故所述设备和方法不需利用高度精确的机器臂放置处理腔室中的基板,因基板支撑组件100已可主动修正误差。
图11A-11B为基板支撑组件100的另一实施例的截面图,基板支撑组件100设于处理腔室101的处理区104。为减少或降低出自端口111的流体流BF进入处理区104及/或影响基板处理,一或多个隔离特征结构1110用来获取输送流体。在一实施例中,形成于基板支撑件110的一或多个隔离特征结构1110连接排气系统1100,以收集及防止流体流入处理区104。图11A绘示一构造,其中隔离特征结构1110含有沟槽1111,沟槽1111环绕基板支撑件110的边缘且经由一或多个排气口1112连接排气系统,以收集端口111提供的流体(即流体流BE)。在一实施例中,隔离特征结构1110设置靠近基板边缘,如此流自处理区的流体(即流体流Ba)将使基板偏离环形沟槽而移动基板。在一实施例中,沟槽1111相对基板支撑面110A的深度为约20μm至约80μm。
在一实施例中,如图11B所示,隔离特征结构1110含有多个沟槽1111,沟槽1111环绕基板支撑件110的各端口111并连接排气系统1100(如粗抽泵、流体泵),如此输送通过端口的流体将不会影响其他端口111或处理区104进行的工艺。
参照图11A-11B,在一实施例中,处理期间,基板支撑面110A和基板设置倾斜水平,以缩小处理腔室所需的水平占地面积。倾斜角度可为约0度(即如图11A-11B般呈水平)至约90度或呈垂直位向(未绘示)。在一实施例中,基板支撑面110A和基板相对水平的角度为约0.1度至约85度。以流体托住基板时,为抵消造成基板移离基板支撑件110的重力,出自多个端口111的流体需施予基板一定的偏压力来抵消基板重量。在一些例子中,隔离特征结构1110还用来协助提供偏压力,该偏压力利用排气系统1100于沟槽1111周围形成的低压区而将基板留在基板支撑面110A上。
在本发明的一态样中,处理腔室101的处理区进行一或多个处理步骤期间,通过自端口111注入流体至基板支撑件110与基板W间的间隙114内,可控制热量传进及/或传出基板。图12绘示一实施例,其中于处理期间,热量(Q)因支撑、定位及/或旋转基板时发生的传导或对流热传过程而传递到基板支撑件。在一实施例中,具预定热性质(如高导热性)的气体注入间隙114中,含有氦气(He)、氮气(N2)、氩气(Ar)或其组合物的气体可改善热传过程。
快速热退火腔室构造
图13A-13C为根据本发明一实施例的热处理系统1310的截面图。热处理系统1310包含腔室主体1335,腔室主体1335限定处理容积1314来退火处理内含基板W。腔室主体1335可由不锈钢构成且可制作石英衬里。处理容积1314经热处理系统1310的石英窗口1318上的加热组件1316辐射加热。热处理系统和其使用方法实例进一步描述于美国专利申请案序号11/623,238[文件编号11364]、2007年1月15日申请的申请案,该专利申请一并附上供作参考。
流量阀1330设在腔室主体1335侧边,以供基板W通往处理容积1314。气体入口1344连接气源1345,以提供处理气体、净化气体及/或清洁气体至处理容积1314。真空泵1355经由出口1354连通处理容积1314,以泵抽处理容积1314。
环形通道1322形成在腔室主体1335的底部附近。磁性转子1321设于环形通道1322。管状竖板1339安置在磁性转子1321上或耦接磁性转子1321。在一实施例中,热处理系统1310进行一或多个处理步骤期间,基板W由管状竖板1339的边环1320周缘支撑。磁性定子1323设在磁性转子1321外面并磁耦合穿过腔室主体1335而诱导电动机1326转动磁性转子1321,进而转动边环1320和托在边环上的基板W。磁性定子1323还可用来调整磁性转子1321的高度,以抬高处理基板W。额外的磁旋转和磁浮信息可获自美国专利证书号6,800,833,该专利一并附上供作参考。
腔室主体1335在基板W背侧附近包括反射器平板1327。反射器平板1327具有光学反射面1328面对基板W背侧,以增强基板W的发射率。在一实施例中,反射器平板1327为水冷式。反射面1328和基板W背侧限定反射腔体1315。在一实施例中,反射器平板1327的直径略大于处理基板W的直径。例如,若热处理系统1310用来处理300mm的基板,则反射器平板1327的直径为约13英寸。在一实施例中,外环1319耦接于腔室主体1335和边环1320间,以隔开反射腔体1315和处理容积1314。反射腔体1315和处理容积1314可具不同环境。
净化气体经由连接净化气源1346的净化气体入口1348提供至反射器平板1327。由反射器平板1327射出的净化气体有助于冷却反射器平板1327。
加热组件1316包含多个排列的加热组件1337。多个排列的加热组件1337可为UV灯、卤素灯、激光二极管、电阻式加热器、微波动力加热器、发光二极管(LED)或任何其他适合的加热组件。多个排列的加热组件1337可置于反射器主体1353的垂直孔洞内。在一实施例中,加热组件1337排列成六边形图案。冷却通道1340形成在反射器主体1353,以于处理期间冷却多个排列的加热组件1337。
多个排列的加热组件1337连接控制器1352,控制器1352能调整多个排列的加热组件1337的加热效果。在一实施例中,多个排列的加热组件1337按多个同心区域分成多个加热组来加热基板W。各加热组经个别控制,提供预定温度分布遍及基板W半径范围。加热组件1316的详细说明可参见美国专利证书号6,350,964和6,927,169,该专利申请一并附上供作参考。
在一实施例中,主要用来加热边环1320的边环加热组件1338设在多个排列的加热组件1337外面。边环加热组件1338连接控制器1352,控制器1352能调整边环加热组件1338的加热功率。边环加热组件1338和多个排列的加热组件1337可独立控制,故可个别控制边环1320和基板W的温度。在一实施例中,边环加热组件1338为多个排列的加热组件1337的其中一个加热组。
热处理系统1310还包含多个热探针1324,用以测量基板W的不同径向位置的热性质。在一实施例中,多个热探针1324为多个高温计,多个热探针1324光学耦接及设于反射器平板1327,以侦测基板W的不同径向位置的温度或其他热性质。类似温度探针的详细说明可参见美国专利证书号5,755,511,该专利一并附上供作参考。多个温度探针1324连接控制器1352,控制器1352可施行封闭回路控制来调整供给多个排列的加热组件1337的电源,以提供适当的径向热分布遍及基板W。
热处理系统1310还包含边环热探针1331,边环热探针1331设在边环1320附近的反射器平板1327上。边环热探针1331可为高温计,用以测量边环1320的温度或其他热性质。边环热探针1331连接控制器1352,控制器1352连接边环加热组件1338。控制器1352通常为上述系统控制器120的一部分。控制器1352利用边环热探针1331的测量而对边环加热组件1338施行封闭回路控制。在一实施例中,热处理期间,边环1320和基板W经个别加热达预定温度。
根据处理基板W的热性质,设计边环1320的热性质,例如热质量、发射率和吸收率,以改善基板温度分布。通过选择不同材料、不同厚度和不同涂层,可改变边环1320的热性质。边环设计的详细说明可参见美国专利证书号7,127,367(代理人文件编号APPM 8504),该专利申请一并附上供作参考。在一实施例中,边环1320由硅构成且具镍涂层。
在一实施例中,磁性转子1321转动边环1320和基板W,并将基板W定位在处理腔室内的预定高度。在大部分的工艺期间,目的为均匀地快速加热基板W达目标温度。在本发明的一实施例中,基板W的热传主要来自多个排列的加热组件1337的辐射和加热达预定温度的边环1320的传导及/或辐射。通过控制多个排列的加热组件1337及维持边环1320呈预定温度该预定温度通常不同于基板W的目标温度),可获得均匀的温度分布遍及基板W。然而,因需移动、支撑及控制处理区内(如反射腔体1315、处理容积1314)处于真空或隔离惰性环境中的部件,使用磁性转子1321和其支撑部件相当复杂又昂贵。所述基板支撑组件100和升降组件1000的实施例的优点在于能以非常简单的方式旋转基板,而不需使用磁性定子1323、磁性转子1321、管状竖板1339、环形通道1322、电动机1326及/或其他支撑部件。在一实施例中,边环1320保持固定不动,基板W则由基板支撑组件100及/或升降组件1000支撑、定位及/或旋转。
在一实施例中,如图13A所示,基板支撑组件100设在处理容积1314内,用以支撑、定位及/或旋转放置于基板支撑组件上的基板W。在此构造中,基板支撑组件100含有多个端口111、流体输送系统130、感测组件123和控制器1352,以于热处理期间,利用至少一上述工艺和构造来定位及/或旋转基板。感测组件123一般用来监视基板W的位置,且装设在靠近基板边缘的加热组件1316。在一实施例中,基板支撑件110由光学透明材料构成,例如石英或蓝宝石,以容许预定波长通过基板支撑件110并利用多个连接控制器1352的热探针1324感测基板温度。
在一实施例中,进行热处理步骤处理基板时,利用多个端口111旋转处理基板的转速为约100rpm至约3000rpm。快速旋转可平均加热组件的任何不均匀性,进而使基板获得均匀热分布。另外,因基板W不接触基板支撑面,故可保持最少的不均匀热损失。
在一实施例中,如图13B及13C所示,端口组件900为升降组件1000的一部分,用以接收来自机器臂(未绘示)的基板。在此构造中,可利用端口组件900的端口111支撑、定位及/或旋转基板。图13B为热处理系统1310的截面图,其中升降组件1000和端口组件900处于“处理”位置以进行处理步骤。在一实施例中,处理时,升降组件1000将基板W定位在处理腔室的预定高度。在一实施例中,升降组件1000从“上升”位置(未绘示)移动到“下降”位置(未绘示),“上升”位置一般位于处理位置上方而供端口组件拾起或放下基板至机器臂叶片,“下降”位置一般位于处理位置下方而容许机械装置进入有基板放在叶片上的热处理系统1310。在一实施例中,“下降”位置容许基板放在基板平板145或边环1320上。
在一实施例中,如图13B及13C所示,致动器组件1391用来移动及相对基板W和端口组件900定位基板平板145,以于热处理期间改变基板周围的热传特性。在此构造中,基板平板145(可由埋置电阻式加热组件146加热基板平板145)从进行一热处理步骤时接近基板的位置(图13B)移动到进行另一处理步骤时远离基板的位置(图13C),以改变热量传进或传出基板。在一实施例中,基板平板145包含光学透明材料,例如石英或蓝宝石,光学透明材料容许利用多个连接控制器1352的热探针1324通过基板平板145感测基板温度。
快速热处理期间,基板W经由流量阀1330传送到处理容积1314,且由出自基板支撑组件100的端口111的流体托住。在一实施例中,一些热处理步骤期间,基板W由边环1320支撑。在一实施例中,把基板放在边环1320上的预定位置前,期望利用出自端口111的流体和感测组件123,将基板对准及定位成热处理系统中的预定水平位置(X、Y方向)。利用端口111将基板主动定位在边环1320上的预定位置是很重要的,因这将有助于消除高温热处理常见的温度不均匀主因。基板支撑组件100也不需使用高度精确、高再现性的机器传送系统传送基板至处理腔室的处理区,因基板支撑组件100已可准确重新对准及放置基板至处理腔室内的预定位置。
利用多个热探针1324测量基板W半径范围的温度,可控制多个排列的加热组件1337。在一实施例中,多个热探针1324对应基板W半径而均匀分散遍及反射器平板1327。多个热探针1324测得的温度由控制器1352取样。控制器1352依据测量温度调整多个排列的加热组件1337,使得基板W半径范围各处的温度变均匀。在一实施例中,控制器1352按多个同心区域调整多个排列的加热组件1337。按多个区域控制加热组件的详细说明可参见美国专利证书号5,755,511和美国专利申请案序号11/195,395、公开号2006/0066193(代理人文件编号009150)的申请案,上述专利申请一并附上供作参考。
应注意尽管图13A-13C绘示热处理系统1310的加热组件1316设在基板W上方,然本发明的保护范围不限于此构造,加热组件1316当可依需求设于基板W下方或两侧来改善热处理,此并不脱离本发明的基本范围。如上所述,在一些例子中,基板支撑组件的部件(如基板支撑件110、基板平板145)期望由光学透明材料构成,以让基板接收热量或利用一或多个温度感测装置(如组件符号1324、1331)感测。
低压CVD腔室构造
图14A绘示可采用上述一或多个基板支撑组件实施例的设备。图14A大体上绘示POLYgen
Figure GSB00000748066700221
化学汽相沉积(CVD)腔室,该CVD腔室商业上取自美国加州圣克拉拉的应用材料公司。在一特殊实施例中,设备为低压CVD(LPCVD)腔室1400。图14A所示的LPCVD腔室1400一般是由可维持腔室压力约200托耳至约350托耳、沉积腔室温度约600℃至约800℃的材料构成。为此目的,LPCVD腔室1400的腔室容积为约5-6公升。图14A绘示腔室主体1445的内部处于“基板处理”位置。基板W以虚线表示,以表示基板位于LPCVD腔室1400内的位置。在一实施例中,LPCVD腔室1400托住单一基板,并可容纳直径大于约200mm的基板。
腔室主体1445界定反应腔室1490,其中处理气体经热分解而形成CVD沉积膜于基板W上,例如多晶硅膜。在一实施例中,LPCVD腔室1400为“冷壁”反应腔室,“冷壁”反应腔室由铝材料构成且内设冷却通道。晶座1405设在反应腔室1490内,晶座1405含有由轴杆1465支撑的电阻式加热器1480。晶座1405具有足够的表面积来支撑基板,例如半导体基板W(以虚线表示)。
图14A还绘示了加热器1480的局部截面,包括晶座1405主体截面和轴杆1465截面。如图所示,晶座1405的主体内设二加热组件,例如第一加热组件1450和第二加热组件1457,所述加热组件与构成晶座1405的材料相容。在另一实施例中,LPCVD腔室1400包括照灯,而非电阻式加热组件1450、1457。有用的晶座设计实例和有用的压力控制技术与温度控制技术进一步描述于美国专利申请案序号60/990,173[文件编号APPM 12283L]、2007年11月26日申请的申请案,上述专利申请一并附上供作参考。
LPCVD腔室1400能精确控制沉积环境的温度和压力。处理气体通过阻隔板1424和孔面板1425的优点在于能使气体均匀分配到基板W。适合用于反应腔室1490的材料应兼容于处理气体和其他化学剂,例如清洁化学剂(如三氟化氮(NF3)),所述处理气体和其他化学剂可引入反应腔室1490。
加热器1480的露出表面包含各种材料(假设材料与处理气体兼容)。例如,加热器1480的晶座1405和轴杆1465包含类似的氮化铝材料。在一实施例中,加热器1480的晶座1405利用扩散接合或铜焊耦接轴杆1465,因为此耦接类型能忍受反应腔室1490的环境。
处理时,处理气体经由腔室主体1445的腔室盖1430顶表面的气体分配口1420进入密封的反应腔室1490。处理气体接着流过阻隔板1424而将气体分配到与基板W表面区域一致的区域周围。随后,处理气体分散通过反应腔室1490内部的孔面板1425,孔面板1425设在加热器1480上方且耦接腔室盖1430。在一实施例中,结合使用阻隔板1424和面板1425可均匀分配处理气体到基板W的顶表面附近。
如图14A所示,基板W经由腔室主体1445侧部的进入端口1440放在反应腔室1490内加热器1480的晶座1405上。为容纳处理基板,降低加热器1480,使晶座1405表面低于进入端口1440。在一实施例中,基板W例如利用机器传送装置的传送叶片(未绘示)装载到反应腔室1490中并放到晶座1405的顶表面。装载基板W后,密封进入端口1440及利用升降组件1460将加热器1480往上推向面板1425,升降组件1460例如包括步进电动机。处于图14A的基板处理位置时,反应腔室1490分成二区域:高于晶座1405顶表面的第一区域1402和低于晶座1405底表面的第二区域1404。
基板W置于反应腔室1490内时,第一区域1402包括基板W上方的区域1488,其中基板W的顶表面有膜形成(如面对孔面板1425的基板表面有多晶硅膜)。在另一实施例中,通过将基板定位在晶座1405的顶表面上方而沉积基板背侧(如区域1489),可于基板两侧形成膜。
在气体分配盘的控制下流入反应腔室1490的处理气体经热分解而形成膜于基板上。同时,惰性底部净化气体(如氮气)引入第二区域1404中,以抑制膜形成于此区域。在一实施例中,电容式压力调节器将第一区域1402的压力维持呈约200托耳至约350托耳、温度为约600℃至约800℃,以沉积多晶硅膜于基板W上。
利用泵送板1485,抽出反应腔室1490的残余处理气体及送到腔室主体1445侧边的收集容器。设在反应腔室1490外面的泵1432提供泵送通道1441真空压力,以抽出反应腔室1490的处理气体和净化气体及引至泵1432。较佳地,控制器或处理器(未绘示)接收来自压力传感器的信号,以调整腔室压力及控制泵1432流速而保持预定压力。
一旦完成基板W处理,即可例如使用惰性气体(如氮气)来净化反应腔室1490。处理及净化后,利用升降组件1460降低加热器1480。随着加热器1480移动,举升销1495延伸穿过晶座1405表面的开口并接触位于反应腔室1490基底的升降板1475。升降组件1460持续降下加热器1480时,举升销1495仍保持不动且最后延伸至晶座1405上方而分离处理基板W和晶座1405表面。如此,晶座1405的表面移到进入端口1440下方的位置。一旦处理基板W离开晶座1405表面,机器机制的传送叶片移动穿过支撑基板W的举升销1495顶端底下的进入端口1440。接着,升降组件1460进一步降下加热器1480和升降板1475至“基板装载”位置。然后从进入端口1440取出处理基板W及传送到下一处理站。第二基板(未绘示)接着装载到反应腔室1490进行处理。接着反向进行上述步骤,以将新基板W送入处理位置。
LPCVD腔室1400包括处理器/控制器1470,该处理器/控制器1470类似上述系统控制器120。在一实施例中,LPCVD腔室1400包括指令和工艺参数,用以输送包括硅源气体与载气的混合气体至反应腔室1490、加热晶座1405达约640℃至约750℃的温度、及在反应腔室1490内产生约200托耳至约350托耳的压力,进而利用热化学汽相沉积来沉积多晶硅膜于基板W上。
在一实施例中,如图14A所示,晶座1405含有上述基板支撑组件100的部件。在此构造中,晶座1405用来支撑、定位及/或旋转放置于晶座上的基板W。非常类似基板支撑件110的加热器1480含有多个连接流体输送系统130的端口111,端口111结合感测组件123和控制器1352,以于处理期间定位及/或旋转基板。在一实施例中,进行处理步骤处理基板时,利用多个端口111旋转基板的转速为约100rpm至约3000rpm。通过旋转基板,可获得均匀的热分布。又,因晶座1405的部件和其他相关部件不需旋转,故可降低硬件复杂度及大幅提升腔室可靠度。此对需旋转晶座1405或其他相关部件的构造复杂度和可靠度改善尤其适用在高温(如>500℃)真空环境中进行处理的构造,其中晶座1405也需垂直移动。
在一实施例中,设于腔室盖1430内的感测组件123设置用来监视基板W的位置。在一实施例中,感测组件123含有传感器122,传感器122设置观察晶座1405上的基板边缘,如此系统控制器(即处理器/控制器1470)可控制出自端口111的流体来定位及移动基板。在一实施例中,一或多个窗口1493密接腔室盖1430的部件,例如阻隔板1424和孔面板1425,以提供光学路径让一或多个传感器(如回归反射型传感器)观察及监视基板移动。
图14B为基座1405的特写截面图,基座1405设有端口111来支撑、定位及/或旋转基板W。在一实施例中,多个端口111设置使流体流(即图14B的流F)有助于防止处理区中送入区域1488的反应处理元素“P”(如CVD前驱物组成)朝基板W的下表面W1前进。在一实施例中,附加的多个端口111连接流体源1494且设置靠近基座1405边缘,以抑制反应处理元素“P”移向基板W的下表面W1。一般来说,此构造的优点在于保护基板下表面W1所需的流体流与端口111对基板的移动及定位的控制无关。
边缘辊设计
图15绘示根据本发明一实施例的基板支撑与定位组件10的透视图。基板支撑与定位组件10包括底板1,底板1上设置多个空气轴承边缘辊3和热边缘阻障5。底板1为环形主体,且包括多个孔供气体流贯而抬起基板。还存在用于在基板与底板1间提供真空的孔。在一实施例中,多个孔以同心圆方式排列而于底板1上形成三圈的孔。在一实施例中,外圈孔7提供真空,中间圆圈的孔9提供气体,内圈孔11提供真空。任何数量的孔圆圈和提供真空与气体的孔构造皆可采用。孔7、9、11的直径为约1/2000英寸至约1/16英寸,较佳约1/1000英寸至约1/32英寸。底板1可以用减少刮划、化学或物理污染及/或毁损基板的适当材料制作,例如不锈钢、铝、金属合金、陶瓷或高温聚合物。底板1或可以用透明材料制作,例如石英、蓝宝石或硬透明材料。底板1的厚度为约1/16英寸至约2英寸,较佳约1/8英寸。孔7、9、11处设有平头钻孔,以将孔处的底板1厚度缩减成能激光钻孔或微机械加工孔7、9、11的厚度。在一实施例中,外环13黏接于底板1。外环13可为碳基材料,例如碳化硅,该碳基材料直接涂布在底板1的上表面。视情况而定,外环13可以用减少刮划基板的材料制作,例如碳化硅。外环利用黏着或接合工艺耦接底板1的上表面。
图16绘示根据本发明另一实施例的基板支撑与定位组件20的透视图。基板支撑与定位组件20包括底板21,底板21上设置多个空气轴承边缘辊3和热边缘阻障5。底板21为环形主体,且包括一或多个环形沟槽25供气体流贯而抬起基板。一或多个环形沟槽23、27设置来排空底板21的区域。在一实施例中,如图16所示,沟槽以同心圆方式排列在底板21上。在一实施例中,环形沟槽23提供排空区域,中间沟槽25提供气体,内部沟槽27提供排空区域。任何数量的沟槽和提供真空与气体的沟槽构造皆可采用。底板21可以用减少刮划、化学或物理污染及/或毁损基板的适当材料制作,例如不锈钢、铝、金属合金、陶瓷或高温聚合物。底板21或可以用透明材料制作,例如石英、蓝宝石或硬透明材料。底板21的厚度为约1/16英寸至约2英寸,较佳约1/8英寸。沟槽23、25、27的宽度为约1/2000英寸至约1/16英寸,较佳约1/1000英寸至约1/32英寸,深度则为约1/1000英寸至约1/4英寸,较佳约1/32英寸至约1/16英寸。通道24、26、28分别提供沟槽23、25、27气体或真空,且直径为约1/32英寸至约1/8英寸。
图17为根据本发明一实施例的支撑与定位组件的局部截面图,图17绘示热边缘阻障5装设在底板1或21的顶部。热边缘阻障5为环形主体,该环形主体至少部分环绕基板2及限制基板在环形主体边界内移动。热边缘阻障5可设置及固定于底板1或21的环形沟槽15。热边缘阻障5可利用激光加热、专用照灯区域或电阻式加热组件控制温度。故边缘阻障5可通过减少边缘损失或增进基板的热耦合而改善处理基板的热均匀性。热边缘阻障5可以用能减少刮划、化学或物理污染及/或毁损基板表面的材料制作,例如碳化硅、不锈钢、铝、陶瓷或高温聚合物。或者,使用与底板相同的材料将热边缘阻障与底板制作成单一构件。热边缘阻障5的内径比处理基板的直径大约1%至约20%,较佳约2%至约5%。
在一实施例中,边缘阻障5的边缘5A经塑形成抛物线状反射器(未绘示),该抛物线状反射器环绕基板边缘以传递或接收基板边缘与边缘阻障5间转移的热量。抛物线型反射器可用来收集、集中及/或优化地反射能量至基板边缘区域。
图18绘示空气轴承边缘辊3的一实施例。空气轴承边缘辊用来定位基板2,又不会让基板接触热边缘阻障5。空气轴承边缘辊3安置在沟槽17的底板上,且可以用能减少刮划、化学或物理污染及/或毁损基板表面的材料制作,例如高温聚合物、碳化硅、石墨或铝。浮动套管31环绕空气轴承边缘辊3。浮动套管31置于空气轴承轴头或升降机33(于装载位置与处理位置间抬起基板2)顶部。空气轴承边缘辊3设置使浮动套管31的部分外缘与热边缘阻障5的边缘5A(图17)同轴、或略微径向突出热边缘阻障5。如图15及16所示,热边缘阻障5和浮动套管界定挡住基板2的边界。浮动套管31的外径为约5mm至约150mm,较佳约20mm至约50mm,且可由低质量密度材料构成,例如蓝宝石或石英。气流通道34均等相隔并流进气体来抬起浮动套管31,如此浮动套管遭受最小磨擦力而可自由旋转。视情况而定,多个空气轴承边缘辊3(较佳三个)用来在热边缘阻障内定位基板。
图19绘示根据本发明一实施例的下底板50的俯视图。下底板50为环形主体,且包括一或多个环形沟槽53、55、57。下底板50可配合底板1使用,并设有通道供应气体和真空给底板1的孔。下底板50设在下方且接触底板1,使得环形沟槽55对准图15中间圆圈的孔9。环形沟槽55和底板1限定供气体流向孔9的导管。还设置一或多个环形沟槽53、57提供真空。环形沟槽53对准外圈孔7,环形沟槽57对准内圈孔11。在一实施例中,外部沟槽53自通道54提供真空,环形沟槽55自通道56提供气体,内部沟槽57自通道58提供真空。任何数量的沟槽和提供真空与气体的沟槽构造皆可采用。下底板50可以用减少刮划、化学或物理污染及/或毁损基板表面的适当材料制作,例如不锈钢、铝、金属合金、陶瓷或高温聚合物。下底板50或可以用透明材料制作,例如石英、蓝宝石或硬透明材料。下底板50的厚度为约1/16英寸至约2英寸,较佳约1/8英寸。沟槽53、55、57的宽度为约1/2000英寸至约1/16英寸,较佳约1/1000英寸至约1/32英寸,深度则为约1/1000英寸至约1/4英寸,较佳约1/32英寸至约1/16英寸。通道54、56、58分别提供沟槽53、55、57气体或真空,且直径为约1/32英寸至约1/8英寸。
图20绘示根据本发明一实施例的支撑与定位组件的透视图,在支撑与定位组件上放置基板2。处理期间,由于气流流过底板1的多个孔9或流出底板21的沟槽25,造成位于基板2与底板1或21间的流体层抬起基板2并浮在底板1或21(图15-16)上方。在一实施例中,为达预定垂直位置,经由多个孔9或出自沟槽25输送流体,及经由多个孔7、11或沟槽23、27排放流体。不同孔特性可用于真空和注入元件,以增进垂直刚性和位置准确度所需的性能。
图21绘示根据本发明一实施例的当作缓冲垫的流体流。流体流70例如从孔9或沟槽25引入,以做为气流缓冲垫71。气流缓冲垫71抬高基板2约1μm至约1000μm,较佳约5μm至约100μm。在一实施例中,基板抬高约60μm。气体输出流72经由孔7、11或沟槽23、27离开。视情况而定,传感器(未绘示)(如光学传感器)设在底板1外面,用以侦测基板何时达预定高度(如上升处理位置或下降基板传送位置)。
在一实施例中,设置多个斜向孔可旋转基板2;如上述图1-14所示,底板1或21增设斜向孔供气体流贯而旋转基板,可确保处理期间均匀加热。在一实施例中,多个端口111(类似图3A-4及5B)设于孔7或沟槽23(图15)与热边缘阻障5之间,以支撑、定位或旋转基板。因不需使用运转部件来旋转基板,故处理时多个端口111可改善基板上任何不均匀温度分布及提供许多其他处理优点。气流透过图19下底板50的对应通道供应至底板1或21的端口。
图22为根据本发明一实施例的底板90的透视图,类似图5A的基板支撑件110,图22绘示旋转及定位基板2的另一方法。底板90包括气流气穴91、93,气流气穴91、93埋置于底板90,用以施予基板2旋转力量。在一实施例中,气流气穴91、93类似上述图3A-4及5A的端口111。气流气穴91施予逆时针旋转力量,气流气穴93施予顺时针旋转力量。各气流气穴相对底板90顶表面的深度为约10μm至约30μm。气流气穴91、93的宽度为约10mm或以上,较佳约10mm至约50mm。在一实施例中,宽度为约35mm。气流气穴91、93的长度为约10mm或以上,较佳约10mm至约50mm。在一实施例中,长度为约35mm。
气流气穴91、93包括沟槽92、94,沟槽92、94设在气穴相对端且延伸跨及气穴宽度。沟槽92、94相对底板90顶表面的深度为约20μm至约80μm。沟槽92提供流体而变成压力“井”,沟槽94提供真空而变成低压“井”。气体和真空分别透过图19下底板50的对应通道供给沟槽92、94。在一实施例中,气流气穴91、93放射状设在沟槽23、25、27之间,并用来抬起基板2及控制基板2和热边缘阻障5的温度。虽然附图绘示八个气穴,但当可包含任何数量的气穴。通过分别调节供给沟槽92、94的气流和真空压力,可达到控制基板旋转的目的。虽然图22绘示二个气流气穴91和二个气流气穴93,但当可包含任何数量的气穴来控制基板旋转。
底板90还可包括气流气穴97,用以施予横向力量做为作用于基板2的定位力量。各气流气穴97相对底板90顶表面的深度为约10μm至约30μm。气流气穴97的宽度为约10mm或以上,较佳约10mm至约50mm。在一实施例中,宽度为约35mm。气流气穴97的长度为约10mm或以上,较佳约10mm至约50mm。在一实施例中,长度为约35mm。
气流气穴97包括沟槽98、99,沟槽98、99设在气穴相对端且延伸跨及气穴长度。沟槽98、99相对底板90顶表面的深度为约20μm至约80μm。沟槽98提供气体而变成压力井,沟槽99提供真空而变成低压井。在一实施例中,如图22所示,沟槽由环形沟槽99组成,且气流气穴97自沟槽99向外延伸。在此实施例中,气体从沟槽98流向沟槽99,因而施加力量至基板使基板朝向底板90的中心。多个气流气穴97经控制以将基板放在底板90的中心。虽然图22绘示四个气流气穴97,但当可包含任何数量的气穴来控制基板旋转。在一实施例中,沟槽98和沟槽99可颠倒设置,如此流动气体的力量朝远离底板90中心的方向。气体和真空分别透过图19下底板50的对应通道供给沟槽98、99。
另一快速热退火腔室构造
图23绘示热处理腔室200的另一实施例的简化截面图,热处理腔室200具有设备来支撑、定位及旋转放置于腔室内的基板。尽管所述设备在此是用于热处理腔室200内,然设备当可用于其他基板处理系统和辅助装置,例如用于机器臂传递的基板支撑平台、定向装置、沉积腔室、蚀刻腔室、电化学工艺设备和化学机械研磨装置等,尤其是在希望能够减少微粒产生的情况。热处理腔室实例也描述于同在申请中的美国专利申请案序号11/746,392[文件编号APPM 11194]、2007年5月9日申请的申请案,该专利申请一并附上供作参考。
基板2由照灯组件216辐射加热。照灯组件216包括多个置于水套组件的蜂巢管。各管含有反射器和钨丝卤素灯组件,所述反射器和钨丝卤素灯组件构成类似上述加热组件1316的蜂巢状管道配置。
基板2经由接取口230进出。在腔室内,基板2由上述支撑与定位组件10支撑。图23绘示腔室同时包括底板201和下底板50。底板201可为上述任一底板,例如底板1、21、90。底板201和下底板50可由透明材料构成,例如石英、蓝宝石或硬透明材料。透明底板201容许设在基板2底下的照灯组件216辐射加热基板。辐射热穿过透明基板支撑结构而加热基板2的底表面。
气体入口246连接下底板50,气体入口246连接过滤器286。气体入口246提供气体来抬起基板2。利用真空泵247、248施予下底板真空。施予气体和真空,使提供气体的孔与提供真空的孔间的压力差为约5磅/平方英寸至约50磅/平方英寸,较佳约10磅/平方英寸至约30磅/平方英寸。在一实施例中,压力差为约20磅/平方英寸。用于端口111和气流气穴91、93、97的气源(未绘示)也可连接下底板50。此外,利用多个泵(未绘示)施予气流气穴91、93、97真空。施予气体和真空至气流气穴,使压力井与低压井间的压力差为约5磅/平方英寸至约100磅/平方英寸,较佳约10磅/平方英寸至约30磅/平方英寸。在一实施例中,压力差为约20磅/平方英寸。
上述基板2为镀镍铝反射平板组件222,镀镍铝反射平板组件222具光学反射涂面以增强基板2的有效发射率。光学反射涂层进一步描述于共同让渡的美国专利证书号6,839,50,2005年1月5日授予Adams和Hunter的申请案,该专利申请一并附上供作参考。反射平板组件222装设在水冷式基底223上。气体输入255供应的气体经由反射平板的孔洞射出更可增强冷却基板。
在设计用来处理8英寸(200mm)硅晶圆的系统中,反射平板组件222的直径为约8.9英寸,基板2与反射平板组件222的表面相隔约15mm至约25mm。在设计用来处理12英寸(300mm)硅晶圆的系统中,反射平板组件222的直径为约13英寸,基板2与反射平板组件222的表面相隔约20mm至约30mm。
基板2的局部区域温度由多个温度探针224测量,温度探针224用来测量基板上不同径向位置的基板温度。温度探针224经由光学端口225(光学端口225延伸穿过反射平板组件222的顶表面)接收来自处理腔室内部的光线。虽然处理腔室200一般约设有十个温度探针,但图23仅显示部分探针。于反射平板表面上,各光学端口的直径为约0.08英寸。蓝宝石光管将光学端口接收的光线传递至各光学侦测器(如高温计),以测定基板2的局部区域温度。控制器228(如类似系统控制器120)接收光学侦测器的温度测量并控制照灯组件216的辐射输出。产生的反馈回路将增进处理腔室均匀加热基板2的能力。空气轴承边缘辊3装在反射器平板组件222上。入口256供应气体通过过滤器286而至空气轴承边缘辊。
为让光学侦测器测量基板2温度时不受漏光影响,底板1设有外环13与底板黏接。在此构造中,底环制成深色以吸收照灯组件的漏光。外环13可为碳基材料,例如碳化硅。
退火处理期间,用于退火气氛(ambient)的气体经由气体输入255引入处理腔室200中。气氛气体流过基板2的顶表面,并与加热基板反应。泵系统234经由气氛气体输出232抽出处理腔室200的过量气氛气体和任何反应副产物。
用来抬起(透过孔9或沟槽25引进)、旋转(透过端口111、气流气穴91、93或空气喷嘴引进)及定位(经由空气轴承边缘辊3及/或气流气穴97)的气体可与做为处理气体的气体相同。或者,气体可为惰性气体,例如氮气、氦气、氩气或其混合物。
一或多个传感器(未绘示)耦接腔室主体。传感器一般用来侦测基板在腔室主体的内部空间内的高度,及提供输出指示基板与底板1的顶部间的距离。传感器也可用来侦测基板转速,及提供输出指示基板在支撑组件上旋转多快。
控制器228耦接传感器、照灯和处理腔室的其他组件。控制器采用获自传感器的位置度量来调整基板高度,如此可相对照灯组件调整放在支撑组件上的基板的高度和平面性。
气氛控制系统也耦接腔室主体的内部空间。气氛控制系统包括节流阀和泵系统234,以控制腔室压力。气氛控制系统另包括气体输入255来提供处理气体或其他气体给内部空间。气氛控制系统一般提供用于热沉积程序的处理气体。
在处理腔室中支撑、定位及旋转基板的方法储存于控制器的内存,该内存通常当作软件程序。软件程序还储存及/或由第二CPU(未绘示)执行,第二CPU设置为远离CPU控制的硬件。
由CPU执行该方法时,容许控制器128控制处理腔室内的基板定位及旋转,而可进行热或其他处理。虽然本发明实施例的工艺说明是采用软件程序,但所述一些方法步骤当可应用到硬件并由软件控制器执行。因此,本发明的实施例可施行于软件以于计算机系统上执行、施行于硬件做为特定功能集成电路或其他硬件类型、或软件与硬件的组合。
在另一实施例中,图15-22所示的基板支撑单元可用于传统腔室,其中照灯组件216设在基板2上方。
图24绘示根据本发明一实施例,处于装载模式的快速热处理腔室200的支撑与定位组件10和反射平板组件222的截面图。空气轴承边缘辊3抬高至装载位置,使图18升降机33得以支撑基板2。用真空代替气体通过入口256可抬起升降机33。空气轴承边缘辊3抬高至装载位置后,透过腔室接取口将基板送入或移出腔室。若基板被送入腔室,则升降机33下降以定位基板成处理模式前,气体入口246和真空泵247、248将开始提供流体缓冲垫。
一旦基板2处于处理模式(图25),则供应气体至底板1的端口111或提供气流气穴91及/或93气体和真空,以旋转基板2。基板抵达底板1上方的预定高度且达到预定转速和预定处理气流后,照灯组件216开始进行基板加热。照灯组件可运作约1/2秒至约15秒,较佳约1秒至约5秒。照灯组件的运作时间视处理基板和处理气体化学反应而定。
通过快速旋转基板,可得到均匀的热分布。另外,因基板2不接触基板支撑面,故可保持最少的不均匀热损失。尽管基板2接触空气轴承边缘辊3的浮动套管31会造成部分热损失,然相较于传统基板支撑件的热损失,此损失极微,且通过施予气穴97的横向力量将基板2定位在底板90中心内,损失可降至最低。再者,因基板未接触支撑组件,故可减少污染。
虽然本发明已以较佳实施例揭露如上,然其并非用以限定本发明,任何本领域技术人员,在不脱离本发明的精神和范围内,当可作各种的更动与润饰,因此本发明的保护范围当视后附的权利要求书所界定者为准。

Claims (20)

1.一种处理腔室,至少包含:
基板支撑件,包含多个具一或多个孔的端口,该些端口各自接收来自一或多个流量控制器的流体流,其中各个该些端口适以引导接收的流体朝主流方向,且该主流方向与其他该些端口的主流方向不同;
传感器,设置来监视放在该基板支撑件上的基板的位置,该基板支撑件位于该处理腔室的处理区中;以及
控制器,用以接收来自该传感器的信号,并适以通过控制来自该一或多个流量控制器并由各个该些端口输送的流体流来控制该基板的位置。
2.如权利要求1所述的处理腔室,还包含:
泵,适以降低该处理区中的压力至小于大气压力;以及
该基板支撑件还包含隔离特征结构,当基板放在该基板支撑件上时,该隔离特征结构配置来防止接收的该流体流入该处理区。
3.如权利要求1所述的处理腔室,其中该些端口包含各自相对该基板支撑件的中心点而设置的三个端口。
4.如权利要求1所述的处理腔室,其中各个该些端口输送的主流方向的径向分量指向背离放在该基板支撑件上的基板的中心。
5.如权利要求1所述的处理腔室,其中该些端口至少一者具有一主流方向,该主流方向促使该基板绕着垂直于该基板的处理表面的轴旋转。
6.如权利要求1所述的处理腔室,其中该基板支撑件包含光学透明材料。
7.一种处理腔室,至少包含:
多个端口,适以各自提供流体流至基板的表面,其中该些端口包含:
第一端口,适以接收来自第一流量控制器的流体并引导该流体朝第一方向;
第二端口,适以接收来自第二流量控制器的流体并引导该流体朝第二方向;以及
第三端口,适以接收来自第三流量控制器的流体并引导该流体朝第三方向,其中至少一部分来自该些端口的该些流体流适以支撑该基板的重量;
传感器,设置来监视位于该处理腔室的处理区中的该基板的位置;以及
控制器,用来接收来自该传感器的信号,并适以通过控制来自该第一流量控制器、该第二流量控制器和该第三流量控制器的该些流体流来控制该基板的位置。
8.如权利要求7所述的处理腔室,还包含:
泵,适以降低该处理区中的压力至小于大气压力;以及
隔离特征结构,环绕该第一端口、该第二端口或该第三端口的至少其一,当基板放在该些端口上时,该隔离特征结构用以防止来自该第一端口、该第二端口或该第三端口的至少其一的流体流入该处理区。
9.如权利要求7所述的处理腔室,其中各个该些端口输送的主流方向的径向分量指向背离放在该基板支撑件上的基板的中心。
10.如权利要求7所述的处理腔室,其中该些端口包含:
该第一端口,适以接收来自该第一流量控制器的流体并引导该流体朝该第一方向;
该第二端口,适以接收来自该第二流量控制器的流体并引导该流体朝该第二方向;
该第三端口,适以接收来自该第三流量控制器的流体并引导该流体朝该第三方向;
第四端口,适以接收来自第四流量控制器的流体并引导该流体朝第四方向,其中该第一方向、该第二方向、该第三方向和该第四方向为不同方向;
第五端口,适以接收来自第五流量控制器的流体并引导该流体朝第五方向;
第六端口,适以接收来自第六流量控制器的流体并引导该流体朝第六方向,其中该第五方向、该第六方向投射在与该基板的表面平行的平面上的分量是平行的。
11.如权利要求9所述的处理腔室,其中所述第一、第二或第三端口中的至少一者具有一主流方向,该主流方向促使该基板绕着垂直于该基板的处理表面的轴旋转。
12.如权利要求9所述的处理腔室,其中该些端口还包括第四端口,该第四端口适以接收来自第四流量控制器的流体并引导该流体朝第四方向,其中该第一方向、该第二方向、该第三方向和该第四方向为不同方向。
13.如权利要求10所述的处理腔室,还包含:
第七端口,适以接收来自第七流量控制器的流体并引导该流体朝第七方向;和
第八端口,适以接收来自第八流量控制器的流体并引导该流体朝第八方向,其中该第七方向、该第八方向投射在与该基板的表面平行的平面上的分量是平行的。
14.一种处理基板的方法,至少包含:
输送流体流至多个端口,其中该些端口包含:
第一端口,适以接收来自第一流量控制器的流体并引导该流体朝第一方向;
第二端口,适以接收来自第二流量控制器的流体并引导该流体朝第二方向;以及
第三端口,适以接收来自第三流量控制器的流体并引导该流体朝第三方向,其中至少一部分来自该些端口的该些流体流适以支撑基板的重量;
将该基板放到该些端口上,该些端口位于处理腔室的处理区中;
利用传感器,监视位于该处理区内的该基板的边缘的位置;以及
利用该传感器,控制该第一端口、该第二端口和该第三端口输送的流体流,来控制该基板的位置。
15.如权利要求14所述的方法,其中各个该些端口输送的主流方向的径向分量指向背离位于该些端口上的基板的中心。
16.如权利要求14所述的方法,还包含:
在基板支撑件上形成该些端口;
在该基板支撑件上形成特征结构,该特征结构环绕该些端口的至少其一;和
排空该特征结构内的区域,以最小化从该些端口中的至少其一流入处理区的流体量。
17.一种处理基板的方法,至少包含:
将基板放到处理腔室的处理区中,其中该基板具有多个半导体器件形成在该基板的处理表面上;
输送流体流至三或更多个端口,其中该三或更多个端口包含:
第一端口,适以接收来自第一流量控制器的流体并引导该流体朝第一方向;
第二端口,适以接收来自第二流量控制器的流体并引导该流体朝第二方向;以及
第三端口,适以接收来自第三流量控制器的流体并引导该流体朝第三方向,其中至少一部分来自该三或更多个端口的该些流体流适以支撑该基板的重量,且该三或更多个端口没有一个适以引导该流体朝同一方向;
在该三或更多个端口输送的流体流上支撑该基板;
利用传感器,监视位于该处理区中的该基板的边缘的位置;以及
通过控制该第一端口、该第二端口和该第三端口输送的流体流与来自该传感器的信号,以控制该基板的边缘位置。
18.如权利要求17所述的方法,其中各个该三或更多个端口输送的主流方向的径向分量指向背离放在该基板支撑件上的基板的中心。
19.如权利要求17所述的方法,其中该三或更多个端口可相对位于该处理区内的基板支撑面移动。
20.如权利要求17所述的方法,还包含通过沿垂直于该处理表面的方向移动该三个或更多个端口,将基板放置在该处理区中。
CN2009801023953A 2008-01-21 2009-01-14 用以在处理腔室内支撑、定位及旋转基板的设备与方法 Expired - Fee Related CN101911281B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/017,293 US8057602B2 (en) 2007-05-09 2008-01-21 Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US12/017,293 2008-01-21
PCT/US2009/030996 WO2009094275A2 (en) 2008-01-21 2009-01-14 Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber

Publications (2)

Publication Number Publication Date
CN101911281A CN101911281A (zh) 2010-12-08
CN101911281B true CN101911281B (zh) 2012-08-22

Family

ID=40901598

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801023953A Expired - Fee Related CN101911281B (zh) 2008-01-21 2009-01-14 用以在处理腔室内支撑、定位及旋转基板的设备与方法

Country Status (5)

Country Link
US (1) US8057602B2 (zh)
KR (1) KR101633056B1 (zh)
CN (1) CN101911281B (zh)
TW (3) TWI399826B (zh)
WO (1) WO2009094275A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3050135A4 (en) * 2014-01-21 2016-12-07 Kateeva Inc DEVICE AND TECHNIQUES FOR THE PLASTERING OF AN ELECTRONIC DEVICE
US9579905B2 (en) 2014-01-21 2017-02-28 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
US9586226B2 (en) 2014-04-30 2017-03-07 Kateeva, Inc. Gas cushion apparatus and techniques for substrate coating
EP3787016B1 (en) * 2013-12-26 2023-09-20 Kateeva, Inc. Apparatus and techniques for thermal treatment of electronic devices

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006098443A1 (ja) * 2005-03-17 2006-09-21 Hamamatsu Photonics K.K. 顕微鏡画像撮像装置
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US7513410B2 (en) * 2007-06-11 2009-04-07 International Business Machines Corporation Air bearing gap control for injection molded solder heads
US8367981B2 (en) * 2008-05-15 2013-02-05 Macronix International Co., Ltd. Baking apparatus, baking method and method of reducing gap width
US11975546B2 (en) 2008-06-13 2024-05-07 Kateeva, Inc. Gas enclosure assembly and system
US10434804B2 (en) 2008-06-13 2019-10-08 Kateeva, Inc. Low particle gas enclosure systems and methods
TWI421945B (zh) * 2008-11-06 2014-01-01 Applied Materials Inc 含有微定位系統之快速熱處理腔室與處理基材之方法
US8314371B2 (en) * 2008-11-06 2012-11-20 Applied Materials, Inc. Rapid thermal processing chamber with micro-positioning system
TWI418047B (zh) * 2009-01-07 2013-12-01 Ind Tech Res Inst Ib-iiia-via2化合物半導體薄膜之製造裝置
US20100193154A1 (en) * 2009-01-28 2010-08-05 Applied Materials, Inc. Rapid cooling of a substrate by motion
US8135560B2 (en) 2009-01-30 2012-03-13 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
DE112010000737T5 (de) * 2009-02-11 2013-01-17 Applied Materials, Inc. Nichtkontakt-Bearbeitung von Substraten
US9282592B2 (en) * 2009-02-27 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Rotatable heating-cooling plate and element in proximity thereto
US9685186B2 (en) * 2009-02-27 2017-06-20 Applied Materials, Inc. HDD pattern implant system
US8461022B2 (en) * 2009-04-20 2013-06-11 Applied Materials, Inc. Methods and apparatus for aligning a substrate in a process chamber
EP2281921A1 (en) * 2009-07-30 2011-02-09 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition.
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
WO2011081645A2 (en) 2009-12-15 2011-07-07 Lam Research Corporation Adjusting substrate temperature to improve cd uniformity
US20120237695A1 (en) * 2009-12-23 2012-09-20 2-Pye Solar, LLC Method and apparatus for depositing a thin film
CN102315106B (zh) * 2010-07-08 2013-09-04 中芯国际集成电路制造(上海)有限公司 一种激光热退火方法
JP5614326B2 (ja) * 2010-08-20 2014-10-29 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びその基板搬送方法を実行させるためのプログラムを記録した記録媒体
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8834073B2 (en) * 2010-10-29 2014-09-16 Corning Incorporated Transport apparatus having a measuring system and methods therefor
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
CN102618934B (zh) * 2011-01-27 2015-08-26 无锡华润上华科技有限公司 一种减少快速热退火处理装置内腔的颗粒产生的方法
US9245786B2 (en) * 2011-06-02 2016-01-26 Applied Materials, Inc. Apparatus and methods for positioning a substrate using capacitive sensors
US20120309115A1 (en) * 2011-06-02 2012-12-06 Applied Materials, Inc. Apparatus and methods for supporting and controlling a substrate
KR101830976B1 (ko) * 2011-06-30 2018-02-22 삼성디스플레이 주식회사 원자층 증착장치
CN103748669B (zh) 2011-08-16 2016-08-24 应用材料公司 用于在腔室内感测基板的方法及设备
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
WO2013031390A1 (ja) * 2011-08-26 2013-03-07 東京エレクトロン株式会社 液処理装置及び液処理方法
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
KR101829676B1 (ko) * 2011-12-29 2018-02-20 삼성전자주식회사 웨이퍼 열 처리 방법
US8980767B2 (en) * 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
DE102012101923B4 (de) * 2012-03-07 2019-11-07 Osram Opto Semiconductors Gmbh Substratträgeranordnung, Beschichtungsanlage mit Substratträgeranordnung und Verfahren zur Durchführung eines Beschichtungsverfahrens
CN102637594B (zh) * 2012-03-19 2017-08-22 晶能光电(江西)有限公司 对外延片进行退火合金的装置及方法
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
KR102073802B1 (ko) * 2012-04-25 2020-02-05 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 측정 및 제어
US10174422B2 (en) 2012-10-25 2019-01-08 Applied Materials, Inc. Apparatus for selective gas injection and extraction
CN104813460A (zh) * 2012-11-27 2015-07-29 盛美半导体设备(上海)有限公司 基板支撑装置
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
JP2014165217A (ja) * 2013-02-21 2014-09-08 Tokyo Electron Ltd 基板搬送装置および剥離システム
US9443714B2 (en) * 2013-03-05 2016-09-13 Applied Materials, Inc. Methods and apparatus for substrate edge cleaning
JP5843292B2 (ja) * 2013-03-21 2016-01-13 株式会社日本製鋼所 アニール処理半導体基板の製造方法、走査装置およびレーザ処理装置
TWI514505B (zh) * 2013-06-04 2015-12-21 Motech Ind Inc 定位裝置
JP6340693B2 (ja) * 2013-07-18 2018-06-13 株式会社ブイ・テクノロジー 基板の保持装置及び密着露光装置並びに近接露光装置
US9748118B2 (en) * 2013-07-31 2017-08-29 Semes Co., Ltd. Substrate treating apparatus
CN103632998B (zh) * 2013-11-22 2016-05-04 沈阳拓荆科技有限公司 等离子体处理装置
US9334566B2 (en) * 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
CN104716071B (zh) * 2013-12-12 2018-08-24 北京北方华创微电子装备有限公司 一种加热腔室
US9287151B2 (en) * 2014-01-10 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd Systems and method for transferring a semiconductor substrate
DE102014104356A1 (de) 2014-03-28 2015-10-01 Lobbe Industrieservice Gmbh & Co Kg Verfahren und Vorrichtung zum Reinigen von Rohrbündeln
WO2015153756A1 (en) * 2014-04-01 2015-10-08 Entegris, Inc. Heated electrostatic chuck
US9543171B2 (en) 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
JP5800969B1 (ja) * 2014-08-27 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
CN104377158A (zh) * 2014-11-17 2015-02-25 上海华力微电子有限公司 一种激光退火机台的加热承载平台
US9506147B2 (en) 2015-02-13 2016-11-29 Eastman Kodak Company Atomic-layer deposition apparatus using compound gas jet
US9499908B2 (en) 2015-02-13 2016-11-22 Eastman Kodak Company Atomic layer deposition apparatus
US9528184B2 (en) 2015-02-13 2016-12-27 Eastman Kodak Company Atomic-layer deposition method using compound gas jet
US9499906B2 (en) 2015-02-13 2016-11-22 Eastman Kodak Company Coating substrate using bernoulli atomic-layer deposition
US10597779B2 (en) 2015-06-05 2020-03-24 Applied Materials, Inc. Susceptor position and rational apparatus and methods of use
CN107735857B (zh) * 2015-06-05 2022-01-11 应用材料公司 基座定位及旋转设备及使用方法
CN107667418B (zh) * 2015-06-05 2022-03-01 应用材料公司 用于降低基板温度非均匀性的改良式装置
TWI574342B (zh) * 2015-06-12 2017-03-11 漢民科技股份有限公司 自動化處理方法
JP6373803B2 (ja) * 2015-06-23 2018-08-15 東京エレクトロン株式会社 基板処理装置、基板処理方法および記憶媒体
US10269557B2 (en) * 2015-10-20 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus of processing semiconductor substrate
US10163732B2 (en) * 2015-10-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Moving pyrometer for use with a substrate chamber
DE102015016002A1 (de) * 2015-12-10 2017-06-14 Centrotherm Photovoltaics Ag Verfahren und Vorrichtung zum thermischen Behandeln von Substraten sowie Aufnahmeeinheit für Substrate
JP6577385B2 (ja) * 2016-02-12 2019-09-18 株式会社荏原製作所 基板保持モジュール、基板処理装置、および基板処理方法
JP6877133B2 (ja) * 2016-03-28 2021-05-26 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US20170278730A1 (en) * 2016-03-28 2017-09-28 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US10312121B2 (en) * 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10438860B2 (en) * 2016-04-22 2019-10-08 Applied Materials, Inc. Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
US10699923B2 (en) * 2016-04-27 2020-06-30 Mit Semiconductor Pte Ltd Transfer system for flipping and multiple checking of electronic devices
US10260149B2 (en) * 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
US10501844B2 (en) * 2016-07-25 2019-12-10 Applied Materials, Inc. Fine leveling of large carousel based susceptor
JP6742849B2 (ja) * 2016-07-29 2020-08-19 株式会社アルバック 基板搬送ロボット、真空処理装置
US20190177851A1 (en) * 2016-08-09 2019-06-13 Singulus Technologies Ag System and method for gas phase deposition
JP6786307B2 (ja) * 2016-08-29 2020-11-18 株式会社ニューフレアテクノロジー 気相成長方法
TWI766897B (zh) 2016-11-09 2022-06-11 美商東京威力科創Fsi股份有限公司 用於處理一微電子基板的設備及其方法
TWI765936B (zh) 2016-11-29 2022-06-01 美商東京威力科創Fsi股份有限公司 用以對處理腔室中之微電子基板進行處理的平移與旋轉夾頭
KR20190085148A (ko) 2016-12-07 2019-07-17 티이엘 에프에스아이, 인코포레이티드 반도체 디바이스를 제조하기 위한 웨이퍼 에지 리프트 핀
CN110268513A (zh) 2017-01-27 2019-09-20 东京毅力科创Fsi公司 用于在工艺室中旋转和平移衬底的系统和方法
KR102322767B1 (ko) 2017-03-10 2021-11-08 삼성디스플레이 주식회사 기판과 스테이지 간의 분리 기구가 개선된 기판 처리 장치 및 그것을 이용한 기판 처리 방법
US9889995B1 (en) * 2017-03-15 2018-02-13 Core Flow Ltd. Noncontact support platform with blockage detection
US10658204B2 (en) * 2017-08-08 2020-05-19 Lam Research Ag Spin chuck with concentrated center and radial heating
JP6942615B2 (ja) * 2017-11-20 2021-09-29 株式会社Screenホールディングス 熱処理方法および熱処理装置
CN108203817B (zh) * 2018-01-29 2020-01-10 福州京东方光电科技有限公司 Pecvd反应腔以及用于pecvd反应腔的支撑针
US11020774B2 (en) 2018-02-19 2021-06-01 Tel Manufacturing And Engineering Of America, Inc. Microelectronic treatment system having treatment spray with controllable beam size
KR20200121380A (ko) 2018-03-13 2020-10-23 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 분무 코팅을 갖는 지지 링
JP7178177B2 (ja) * 2018-03-22 2022-11-25 東京エレクトロン株式会社 基板処理装置
CN108648989B (zh) * 2018-05-16 2020-12-25 福建北电新材料科技有限公司 一种单晶碳化硅衬底晶片清洗方法
US11456203B2 (en) * 2018-07-13 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd Wafer release mechanism
US11545387B2 (en) 2018-07-13 2023-01-03 Tel Manufacturing And Engineering Of America, Inc. Magnetic integrated lift pin system for a chemical processing chamber
WO2020023409A1 (en) * 2018-07-24 2020-01-30 Applied Materials, Inc. Optically transparent pedestal for fluidly supporting a substrate
US11127583B2 (en) 2018-08-17 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating semiconductor substrate
US11004711B2 (en) * 2018-08-17 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Automated wafer monitoring
JP6979935B2 (ja) * 2018-10-24 2021-12-15 三菱電機株式会社 半導体製造装置および半導体製造方法
JP7035996B2 (ja) * 2018-12-25 2022-03-15 株式会社Sumco 気相成長装置およびエピタキシャルシリコンウェーハの製造方法
JP6987821B2 (ja) 2019-09-26 2022-01-05 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US20230009873A1 (en) * 2019-11-27 2023-01-12 The Texas A&M University System Nanopositioning systems and associated methods
US10925146B1 (en) * 2019-12-17 2021-02-16 Applied Materials, Inc. Ion source chamber with embedded heater
KR20210084892A (ko) * 2019-12-30 2021-07-08 (주)에이엔에이치 기판 처리장치의 서셉터 오토 레벨링 장치
KR20220067988A (ko) * 2020-11-18 2022-05-25 주식회사 원익아이피에스 기판 지지 조립체 및 기판 처리 장치
KR20230125618A (ko) * 2022-02-21 2023-08-29 (주) 디바이스이엔지 기판 식각 처리장치
TW202405996A (zh) * 2022-07-27 2024-02-01 美商應用材料股份有限公司 用於自動校正基板未對準的系統及方法
CN117316833A (zh) * 2023-11-28 2023-12-29 成都莱普科技股份有限公司 半导体晶圆热处理装置及热处理方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1440055A (zh) * 2002-01-30 2003-09-03 东京毅力科创株式会社 基板处理装置和基板处理方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS50122879A (zh) * 1974-03-13 1975-09-26
NL8103979A (nl) * 1981-08-26 1983-03-16 Bok Edward Methode en inrichting voor het aanbrengen van een film vloeibaar medium op een substraat.
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
NL8401776A (nl) * 1984-06-04 1986-01-02 Bok Edward Verbeterde double-floating wafer transport/processing installatie.
US4544446A (en) * 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
US5194406A (en) * 1988-12-01 1993-03-16 Edward Bok Installation for transport and processing under a pulsating double-floating condition
US4922853A (en) * 1989-05-16 1990-05-08 Libbey-Owens-Ford Co. Stripe coating on glass by chemical vapor deposition
JP2773934B2 (ja) * 1989-11-17 1998-07-09 古河電気工業株式会社 半導体ウエハの成膜装置
JP2583152B2 (ja) * 1990-11-06 1997-02-19 大日本スクリーン製造株式会社 基板回転式表面処理方法
US5226383A (en) * 1992-03-12 1993-07-13 Bell Communications Research, Inc. Gas foil rotating substrate holder
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
JP2762022B2 (ja) * 1993-08-25 1998-06-04 日本エー・エス・エム株式会社 Cvd装置に使用する回転機構、およびこの機構を利用して被処理体の温度を制御する方法
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
KR100660416B1 (ko) * 1997-11-03 2006-12-22 에이에스엠 아메리카, 인코포레이티드 개량된 저질량 웨이퍼 지지 시스템
US6005226A (en) * 1997-11-24 1999-12-21 Steag-Rtp Systems Rapid thermal processing (RTP) system with gas driven rotating substrate
WO1999041022A1 (en) * 1998-02-14 1999-08-19 Strasbaugh Accurate positioning of a wafer
US5997963A (en) * 1998-05-05 1999-12-07 Ultratech Stepper, Inc. Microchamber
EP1052682B1 (de) * 1999-04-28 2002-01-09 SEZ Semiconductor-Equipment Zubehör für die Halbleiterfertigung AG Vorrichtung und Verfahren zur Flüssigkeitsbehandlung von scheibenförmigen Gegenständen
US6402843B1 (en) * 1999-12-07 2002-06-11 Trusi Technologies, Llc Non-contact workpiece holder
CN1363116A (zh) * 2000-02-08 2002-08-07 松下电器产业株式会社 灯泡退火装置和显示元件用基片
US6720263B2 (en) * 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6839507B2 (en) * 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
EP1691940A2 (en) * 2003-04-03 2006-08-23 SC Fluid Technologies, Inc. Method and apparatus for rotation of a workpiece in supercritical fluid solutions for removing photo resist, residues and particles therefrom
US6883250B1 (en) * 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
US20050126605A1 (en) * 2003-12-15 2005-06-16 Coreflow Scientific Solutions Ltd. Apparatus and method for cleaning surfaces
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1440055A (zh) * 2002-01-30 2003-09-03 东京毅力科创株式会社 基板处理装置和基板处理方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开平11-3884A 1999.01.06

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3787016B1 (en) * 2013-12-26 2023-09-20 Kateeva, Inc. Apparatus and techniques for thermal treatment of electronic devices
EP3050135A4 (en) * 2014-01-21 2016-12-07 Kateeva Inc DEVICE AND TECHNIQUES FOR THE PLASTERING OF AN ELECTRONIC DEVICE
US9579905B2 (en) 2014-01-21 2017-02-28 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
EP3624175A1 (en) * 2014-01-21 2020-03-18 Kateeva, Inc. Method for electronic device encapsulation
US9586226B2 (en) 2014-04-30 2017-03-07 Kateeva, Inc. Gas cushion apparatus and techniques for substrate coating
EP3882961A1 (en) * 2014-04-30 2021-09-22 Kateeva, Inc. Gas cushion apparatus and techniques for substrate coating

Also Published As

Publication number Publication date
TWI399826B (zh) 2013-06-21
TW201320236A (zh) 2013-05-16
TW201320237A (zh) 2013-05-16
KR101633056B1 (ko) 2016-06-23
KR20100111298A (ko) 2010-10-14
US20080280453A1 (en) 2008-11-13
TWI430394B (zh) 2014-03-11
TW200943472A (en) 2009-10-16
TWI495036B (zh) 2015-08-01
CN101911281A (zh) 2010-12-08
US8057602B2 (en) 2011-11-15
WO2009094275A3 (en) 2009-10-29
WO2009094275A2 (en) 2009-07-30

Similar Documents

Publication Publication Date Title
CN101911281B (zh) 用以在处理腔室内支撑、定位及旋转基板的设备与方法
TWI488256B (zh) 非接觸性基板處理
US10364497B2 (en) Vapor based site-isolated processing systems and methods
KR102269469B1 (ko) 인젝터 대 기판 갭 제어를 위한 장치 및 방법들
CN101563751B (zh) 低温离子植入技术
CN101663101A (zh) 用以在处理腔室内支撑、定位及旋转基板的设备与方法
KR20180056388A (ko) 기판 처리 장치, 반응관 구조 및 반도체 장치의 제조 방법
CN102543831A (zh) 用于半导体制造中的可流动沉积的系统和装置
CN102859679A (zh) 具有倾斜边缘的晶片载体
US20190211446A1 (en) A Non-Contact Substrate Carrier for Simultaneous Rotation and Levitation of a Substrate
CN108140560A (zh) 用于钨膜的低电阻率物理气相沉积的系统和方法
CN100529198C (zh) 用于处理装置的支承系统
US10351956B2 (en) Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD
KR102374532B1 (ko) 다중-웨이퍼 캐러셀 ald에서 통합된 2-축 리프트-회전 모터 중심 페디스털
KR20220157468A (ko) 뱃치 열 프로세스 챔버
CN104025280A (zh) 用于处理基板的方法和设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120822

Termination date: 20190114