TWI495036B - 用以在處理腔室內支撐、定位及旋轉基板的設備與方法 - Google Patents

用以在處理腔室內支撐、定位及旋轉基板的設備與方法 Download PDF

Info

Publication number
TWI495036B
TWI495036B TW102101812A TW102101812A TWI495036B TW I495036 B TWI495036 B TW I495036B TW 102101812 A TW102101812 A TW 102101812A TW 102101812 A TW102101812 A TW 102101812A TW I495036 B TWI495036 B TW I495036B
Authority
TW
Taiwan
Prior art keywords
substrate
assembly
substrate support
support
gas
Prior art date
Application number
TW102101812A
Other languages
English (en)
Other versions
TW201320237A (zh
Inventor
Blake Koelmel
Alexander N Lerner
Joseph M Ranish
Kedarnath Sangam
Khurshed Sorabji
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201320237A publication Critical patent/TW201320237A/zh
Application granted granted Critical
Publication of TWI495036B publication Critical patent/TWI495036B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Description

用以在處理腔室內支撐、定位及旋轉基板的設備與方法
本發明大體上是有關半導體處理領域,且特別是關於半導體元件生產期間在處理腔室中支撐、定位或旋轉基板的設備和方法。
在積體電路和顯示器生產中,半導體、介電質和導電材料乃形成在基板上,例如矽基板或玻璃基板。材料可利用化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、離子佈植、電漿或熱氧化和氮化製程形成。隨後,沉積材料經蝕刻形成特徵結構,例如閘極、介層洞、接觸孔和內連線。在典型的沉積或蝕刻製程中,基板在基板處理腔室中接觸電漿,以沉積或蝕刻基板表面的材料。其他在基板上執行的典型製程包括熱處理技術,其包括快速熱處理(RTP)、閃光燈或雷射退火處理。
積體電路和顯示裝置已發展成複雜裝置,其單一晶片或顯示基板區域包括數百萬個電晶體、電容器和電阻器。晶片設計不斷演進而需要更快的電路和更高的電路密度,以滿足越來越多的精密生產製程需求。一常用的生產製程為離 子佈植。
離子佈植在形成電晶體結構至半導體方面尤其重要,且可在晶片製造期間採行多次。離子佈植時,矽基板遭帶電離子束(一般稱為摻質)轟擊。佈植改變材料性質,其植入摻質而獲得特殊電性等級。控制投射基板之能量束的離子數量和基板通過能量束的次數,可決定摻質濃度。能量束大小通常決定摻質置入深度。摻質經加速達容許摻質穿過或植入薄膜至預定深度的能量大小。
離子佈植時,經佈植之薄膜常產生大量的內應力。為釋放應力及進一步控制佈植形成的薄膜性質,薄膜一般經熱處理,例如退火。離子佈植後退火一般是在快速熱處理(RTP)腔室中進行,其使基板經非常短暫、但高度控制的熱循環處理,以在10秒內從室溫加熱基板到超過1000℃。RTP釋放佈植引起的應力,並進一步修改薄膜性質,例如改變薄膜電性。
一般來說,RTP腔室包括輻射熱源或照燈、腔室主體和基板支撐環。照燈一般裝設在腔室主體頂表面,讓照燈產生的輻射能量照射腔室主體內支撐環支撐的基板。石英視窗一般置於腔室主體頂表面,以協助能量傳遞於照燈與基板之間。支撐環一般包含碳化矽,且從腔室主體底部延伸而利用其外緣支撐基板。外接馬達用來轉動基板和支撐環,藉以補償照燈產生之輻射能量照射整個基板表面的變異性,以免基板加熱不均勻。一般來說,RTP製程是在大氣壓或減壓下進行,以減少基板的微粒和化學劑污染。
雖然RTP製程可快速加熱及冷卻基板,但RTP製程 常會加熱整個基板厚度。基板表面各處加熱不均勻乃RTP或其他傳統基板加熱製程常面臨的問題。例如,支撐環接觸基板外緣的區域常發生溫度變異。輻射加熱源照射基板頂表面(其不同表面區段包括不同裝置材料)也會造成基板溫度變異。不同裝置材料在不同溫度下的發射率範圍廣泛。
在上述製程期間,基板通常托在具基板接收面的基板支撐件上。支撐件具有埋置電極,其處理時做為電漿產生裝置,及/或其亦可靜電托住基板。支撐件還具有電阻式加熱元件,以於處理時加熱基板,及/或具有水冷卻系統,以冷卻基板或冷卻支撐件。其問題之一在於隨著裝置尺寸縮小而降低整個基板變異容限,導致基板相對基板支撐件、遮蔽環或其他腔室部件的對準和定位將影響基板處理結果的均勻性。在一些例子中,處理腔室的一或多個區域無法均勻產生電漿(如電漿輔助化學氣相沉積(PECVD)、PVD)、均勻傳熱至基板(RTP、PECVD),及/或因處理腔室的氣體入口或排氣裝置設置位向而有不均勻氣流區域,故一般需旋轉基板來平均處理腔室中不同處理區的不均勻性。在處理腔室中旋轉基板通常為昂貴又複雜的製程,其需在次大氣壓、高溫下處理基板,及/或需一或多個旋轉電連接器將功率輸送到基板支撐件的一或多個部件(如加熱元件)。如此將因需使用可靠、不產生微粒的高溫旋轉部件(如軸承)、精密昂貴的馬達、複雜的控制系統、可靠的旋轉電連接器和可靠的旋轉真空密封墊,以致提高複雜度和成本。
因此,需有改良系統,以於基板處理期間支撐、定 位及/或旋轉基板,其不需直接接觸基板、使用和維修成本低、處理結果佳、可靠且容易控制。
本發明大致上提出處理腔室,包含基板支撐件,支撐件包含複數個具一或多個穿孔的埠口,其各自接收流自一或多個流量控制器的流體,其中各埠口引導接收流體朝與其他埠口主流方向不同的主流方向;感測器,其設置監視放置在基板支撐件上的基板位置,基板支撐件位於處理腔室的處理區內;以及控制器,其用來接收來自感測器的訊號及藉由控制流自一或多個流量控制器並由各埠口輸送的流體而控制基板位置。
本發明之實施例更提出處理腔室,包含複數個埠口,其各自提供流體流至基板表面,其中複數個埠口包含第一埠口,用以接收出自第一流量控制器的流體及引導流體朝第一方向、第二埠口,用以接收出自第二流量控制器的流體及引導流體朝第二方向、以及第三埠口,用以接收出自第三流量控制器的流體及引導流體朝第三方向,其中至少一部分流自複數個埠口的流體用來支撐基板重量;感測器,其設置監視位於處理腔室之處理區內的基板位置;以及控制器,其用來接收來自感測器的訊號及藉由控制流自第一、第二和第三流量控制器的流體而控制基板位置。
本發明之實施例更提出處理基板的方法,包含輸送流體流向複數個埠口,其中複數個埠口包含第一埠口,用以接收出自第一流量控制器的流體及引導流體朝第一方向、第 二埠口,用以接收出自第二流量控制器的流體及引導流體朝第二方向、和第三埠口,用以接收出自第三流量控制器的流體及引導流體朝第三方向,其中至少一部分流自複數個埠口的流體用來支撐基板重量;將基板放到位於處理腔室之處理區的複數個埠口上;利用感測器監視位於處理區內的基板邊緣位置;以及利用感測器控制流自第一、第二和第三埠口的流體,進而控制基板位置。
本發明之實施例更提出處理基板的方法,包含將基板放到處理腔室的處理區內,其中基板具有複數個半導體元件形成在基板的處理表面;輸送流體流向三或多個埠口,其中三或多個埠口包含第一埠口,用以接收出自第一流量控制器的流體及引導流體朝第一方向、第二埠口,用以接收出自第二流量控制器的流體及引導流體朝第二方向、和第三埠口,用以接收出自第三流量控制器的流體及引導流體朝第三方向,其中至少一部分流自三或多個埠口的流體用來支撐基板重量,且三或多個埠口沒有一個用來引導流體朝同一方向;在三或多個埠口上接收基板;利用感測器監視位於處理區內的基板邊緣位置;以及藉由控制流自第一、第二和第三埠口的流體與來自感測器的訊號而控制基板邊緣位置。
1、21、50、90‧‧‧底板
2‧‧‧基板
3‧‧‧邊緣輥
5‧‧‧邊緣阻障
5A‧‧‧邊緣
7、9、11‧‧‧穿孔
10、20‧‧‧支撐與定位組件
13‧‧‧外環
15、17、23、25、27、53、55、57、92、94、98、99‧‧‧溝槽
24、26、28、34、54、56、58‧‧‧通道
31‧‧‧套管
33‧‧‧升降機
70‧‧‧流體流
71‧‧‧氣流緩衝墊
72‧‧‧出口流
91、93、97‧‧‧氣穴
100‧‧‧支撐組件
101‧‧‧腔室
102‧‧‧壁面
103‧‧‧蓋子
104‧‧‧處理區
110‧‧‧支撐件
110A‧‧‧支撐面
110B‧‧‧凹部
110C‧‧‧表面
111、111A-111H‧‧‧埠口
112、112B-C‧‧‧穿孔
113‧‧‧排氣孔
114‧‧‧間隙
115‧‧‧開孔
120‧‧‧控制器
121‧‧‧光源
122‧‧‧感測器
123‧‧‧感測組件
130‧‧‧流體輸送系統
131、131A-B‧‧‧控制裝置
132、132A-B‧‧‧控制閥
133、133A-B‧‧‧流體源
134A‧‧‧排氣閥
144‧‧‧孔洞
145‧‧‧平板
145A‧‧‧支撐面
146‧‧‧加熱元件
200‧‧‧熱處理腔室
201‧‧‧底板
216‧‧‧照燈組件
222‧‧‧反射器平板組件
223‧‧‧基底
224‧‧‧探針
225‧‧‧光學埠口
228‧‧‧控制器
230‧‧‧接取埠口
232‧‧‧輸出
234‧‧‧幫浦系統
246、256‧‧‧入口
247、248‧‧‧幫浦
255‧‧‧輸入
286‧‧‧過濾器
900‧‧‧埠口組件
941‧‧‧部件
942‧‧‧表面
960‧‧‧致動器組件
961‧‧‧致動器
1000‧‧‧升降組件
1001‧‧‧支撐平板
1002‧‧‧致動器
1100‧‧‧排氣系統
1110‧‧‧隔離特徵結構
1111‧‧‧溝槽
1112‧‧‧排氣口
1310‧‧‧熱處理系統
1314‧‧‧處理容積
1315‧‧‧反射腔體
1316、1338‧‧‧加熱組件
1318‧‧‧視窗
1319‧‧‧外環
1320‧‧‧邊環
1321‧‧‧轉子
1322、1340‧‧‧通道
1323‧‧‧定子
1324、1331‧‧‧探針
1326‧‧‧馬達
1327‧‧‧反射器平板
1328‧‧‧反射面
1330‧‧‧流量閥
1335‧‧‧腔室主體
1337‧‧‧加熱元件
1339‧‧‧豎板
1344、1348‧‧‧入口
1345、1346‧‧‧氣源
1352‧‧‧控制器
1353‧‧‧反射器主體
1354‧‧‧出口
1355‧‧‧幫浦
1391‧‧‧致動器組件
1400‧‧‧LPCVD腔室
1402、1404‧‧‧區域
1405‧‧‧晶座
1420‧‧‧氣體分配埠口
1424‧‧‧阻隔板
1425‧‧‧面板
1430‧‧‧腔室蓋
1432‧‧‧幫浦
1440‧‧‧進入埠口
1441‧‧‧泵送通道
1445‧‧‧主體
1450、1457‧‧‧加熱元件
1460‧‧‧升降組件
1465‧‧‧軸桿
1470‧‧‧處理器/控制器
1475‧‧‧升降板
1480‧‧‧加熱器
1485‧‧‧泵送板
1488、1489‧‧‧區域
1490‧‧‧反應腔室
1493‧‧‧視窗
1494‧‧‧流體源
1495‧‧‧舉升銷
1496‧‧‧埠口
B‧‧‧流體
B1-2 、B31-33 、BX1-X2 、BY1-Y2 、R1-2 、X1-2 、Y1-2 ‧‧‧向量
Ba 、BE 、BF 、F‧‧‧流
BG ‧‧‧間隙流
Bi 、Bi1-i2 ‧‧‧入口流
BO ‧‧‧出口流
BO1 、BO2 ‧‧‧方向
C‧‧‧共通點
C1-2 、α、α1 、β‧‧‧角度
d、D1-4 、H1-2 ‧‧‧距離
E‧‧‧光線
F1-2 、Fx-y ‧‧‧力量
Fw ‧‧‧重量
G‧‧‧間隙
M‧‧‧方向
P‧‧‧反應製程元素
Q‧‧‧熱量
S‧‧‧中心
W‧‧‧基板
W1 ‧‧‧表面
x、y‧‧‧水平方向
x+、y+‧‧‧軸
z‧‧‧垂直方向
為讓本發明之上述特徵更明顯易懂,可配合參考實施例說明,其部分乃繪示如附圖式。須注意的是,雖然所附圖式揭露本發明特定實施例,但其並非用以限定本發明之精神與範圍,任何熟習此技藝者,當可作各種之更動與潤飾而 得其他等效實施例。
第1圖為根據本發明一實施例之處理腔室的局部立體剖視圖;第2圖為根據本發明一實施例之處理腔室和基板支撐組件區域的截面圖;第3A圖為根據本發明一實施例之埠口的截面圖;第3B圖為根據本發明一實施例之埠口的截面圖;第3C圖為根據本發明一實施例之埠口的截面圖;第3D圖為根據本發明一實施例之埠口的截面圖;第4圖為根據本發明一實施例之埠口的局部立體剖視圖;第5A圖為根據本發明一實施例之基板支撐組件的立體視圖;第5B圖為根據本發明一實施例之基板支撐組件的局部立體剖視圖;第6A-6C圖為根據本發明一實施例之基板支撐組件的簡化示意圖;第7A-7C圖為根據本發明一實施例之基板支撐組件的簡化示意圖;第8圖為根據本發明一實施例之基板支撐組件的簡化示意圖;第9A圖為根據本發明一實施例之埠口組件的局部立體剖視圖;第9B-9D圖為根據本發明一實施例之埠口組件的立 體視圖;第10A圖為根據本發明一實施例之處理腔室的局部立體剖視圖;第10B圖為根據本發明一實施例之處理腔室的局部立體剖視圖;第11A圖為根據本發明一實施例之基板支撐件和處理腔室的截面圖;第11B圖為根據本發明一實施例之基板支撐件和處理腔室的截面圖;第12圖為根據本發明一實施例之埠口的截面圖;第13A-13C圖為根據本發明一實施例之處理腔室的截面圖;第14A圖為根據本發明一實施例之處理腔室的截面圖;第14B圖為根據本發明一實施例之基座的特寫截面圖;第15圖繪示根據本發明一實施例之基板支撐與定位組件的透視圖;第16圖繪示根據本發明另一實施例之基板支撐與定位組件的透視圖;第17圖繪示根據本發明一實施例,位於支撐與定位組件上之熱邊緣阻障的局部截面圖;第18圖繪示根據本發明一實施例之空氣軸承邊緣輥的透視圖; 第19圖繪示根據本發明一實施例之下底板的俯視圖;第20圖繪示根據本發明一實施例,其上具基板之支撐與定位組件的透視圖;第21圖繪示根據本發明一實施例,底板上之基板的正視圖;第22圖繪示根據本發明一實施例之底板的透視圖;第23圖為根據本發明一實施例之處理腔室的截面圖;第24圖繪示根據本發明一實施例,處於裝載模式之支撐組件的側視圖;以及第25圖繪示根據本發明一實施例,處於處理模式之支撐組件的側視圖。
為助於了解,各圖中相同的元件符號代表相似的元件。
本發明之實施例涵蓋處理期間用來支撐、定位及旋轉基板的方法、設備和系統。本發明之實施例還包括控制處理腔室內基板與基板支撐件間之熱傳的方法。在一或多個處理步驟期間,例如快速熱處理(RTP)製程、化學氣相沉積(CVD)製程、物理氣相沉積(PVD)製程、原子層沉積(ALD)製程、溼式清潔製程(如取自美國應用材料公司的TempestTM 處理腔室)、乾蝕刻製程及/或雷射退火處理,所述設備和方法不需複雜、昂貴又常不可靠的部件來正確定位及旋轉基板。可利用 所述方法、設備和系統處理的基板包括200毫米(mm)、300mm或更大的單晶矽(Si)、多結晶(multi-crystalline)矽、多晶矽、鍺(Ge)、碳化矽(SiC)、玻璃、砷化鎵(GaAs)、碲化鎘(CdTe)、硫化鎘(CdS)、硒化銅銦鎵(CIGS)、硒化銅銦(CuInSe2 )、磷化鎵銦(GaInP2 )和異質接合單元,例如GaInP/GaAs/Ge或ZnSe/GaAs/Ge基板,但不以此為限。較佳地,基板為圓形,但也可為任何預期形狀。在一實施例中,基板為半導體基板,其具複數個半導體元件形成在處理表面。如第2圖所示,基板的處理表面或裝置側一般為基板W下表面W1 的對側。
一般來說,本發明之實施例提出基板支撐件,其能利用出自基板支撐組件100(第1圖)之三或多個埠口的流體來支撐、定位及/或旋轉基板。已發現藉由控制從三或多個埠口輸送到基板表面的流體特性,例如出自三或多個埠口的流體「流」的流速和位向,可正確支撐、定位及/或旋轉基板。支撐、定位及/或旋轉基板是由流體流過基板表面的摩擦力和原子轉移動量引起。可依需求使用封閉迴路控制系統結合各流體流施予的力量,以移動及定位基板。隨著裝置尺寸縮小,微粒污染容限大幅減低,故一般定位、移動或旋轉基板時,樂見避免基板W的下表面W1 (第2圖)接觸腔室部件,例如基板支撐面110A。流體一般為氣體,例如氮氣、氦氣、氬氣、氪氣、氖氣、氫氣或其組合物,但在一些應用中為液體,例如水。
第1圖為處理腔室101的局部立體剖視圖,其含有一或多個壁面102、蓋子103和位於處理腔室101之處理區 104內的基板支撐組件100。一般來說,處理腔室101為RTP、CVD、PVD、ALD、溼式清潔、乾蝕刻、雷射退火腔室或其他類似的基板處理腔室。基板支撐組件100一般含有基板支撐件110、流體輸送系統130和感測組件123,其皆連接系統控制器120。
在一實施例中,如第1圖所示,基板「W」托在流體「B」上,其從流體輸送系統130輸送到基板支撐件110的埠口111(僅繪示一個)。第2圖為處理腔室101的局部截面圖,其含有基板支撐組件100設於處理腔室101的壁面102。如此,輸送通過埠口111的流體「B」將基板W支撐在基板支撐件110上方,而於基板W與基板支撐件110間產生間隙「G」。流體B造成的間隙「G」為約1微米(μm)至約1000 μm,較佳約100 μm至約500 μm。在一實施例中,基板抬高約500 μm。視情況而定,可設置感測器(未繪示),例如光學感測器,以偵測基板何時達基板支撐面上方的預定高度。在一實施例中,光學感測器和系統控制器120藉由調整一或多個埠口111輸送的流量而控制預定間隙「G」。
在一實施例中,基板支撐件110由金屬、陶瓷、塑膠、半導體或其他常用於處理時支撐基板的材料構成。在一實施例中,基板支撐件110由金屬構成,例如鋁和不銹鋼。在另一實施例中,基板支撐件110由陶瓷材料構成,例如石英、藍寶石、碳化矽、氧化鋁、氧化鋯、氮化鋁或氮化硼。
流體輸送系統130一般含有一或多個流體控制部件,以提供及控制流體輸送到基板支撐件110的埠口111。在 一實施例中,流體輸送系統130含有一或多個流體源(如流體源133A-133B),其利用流體控制裝置(如流體控制裝置131A-131B)及選擇性利用一或多個控制閥(如控制閥132A-132B)來輸送流體至各埠口111。流體控制裝置利用系統控制器120送出的指令,控制流體輸送到埠口111的流量、速度及/或壓力。在一實施例中,流體控制裝置為傳統流量控制器(MFC),其連接系統控制器120。在另一實施例中,流體控制裝置為固定孔口,以在已知壓力下輸送預定流量。基板移動控制亦受一或多個埠口輸送的流體種類(如氣體、液體)影響,故需考量黏度、原子量、壓力和密度。流體選擇通常還需考量其對處理區104進行之製程的影響。在一實施例中,輸送通過埠口111的流體為氣體,其組成不同於處理期間處理腔室101之處理區104(第1圖)的氣體成分。例如,當處理腔室101為LPCVD腔室時,處理區104含有用於沉積材料至基板表面的氣體或蒸汽(如含矽烷前驅物),出自埠口111的氣體為不反應或惰性氣體,例如氮氣或氬氣。
感測組件123一般含有光源121和感測器122,其設置感應出基板相對基板支撐件110的位置,及傳遞資訊給系統控制器120,如此藉由輸送流體至埠口111可主動控制基板W位置。在此構造中,光源121和感測器122設置成當基板位於處理腔室101內的預定位置時,系統控制器120監視出自光源121且由感測器122接收的至少一部分光線「E」(第1-2圖)。此構造一般已知為「穿透光束」感應構造。在一實施例中,如第1及2圖所示,感測器122設於基板支撐件110 的開孔115。在一實施例中,感測器122用來監視基板邊緣的特徵結構,以感應出基板切口位置,並且記錄特徵結構通過感測器視窗的頻率而測量轉速。雖然第1及2圖感測組件123繪示「穿透光束」型感測器構造或甚至是採用光線的感測器構造,但本發明之範圍不限於這些構造,任何監視基板位置的裝置均可使用,此並不脫離本發明之基本範圍。在一實施例中,復歸反射感測器構造用來感應出基板位置或移動。復歸反射感測器通常發射光線及接收沿著相同或類似路徑自預定靶材反射的光線。
系統控制器120用來控制完成基板支撐組件100和處理腔室101的各部件。系統控制器120通常用來協助整個處理腔室的控制及自動化,且一般包括中央處理單元(CPU)(未繪示)、記憶體(未繪示)和支援電路(或I/O)(未繪示)。CPU可為任一型式的電腦處理器,其用於工業設定來控制不同的系統功能、腔室製程和支援硬體(如偵測器、機械人、馬達、流體源等),及監視製程(如基板支撐件溫度、電源變量、腔室製程時間、I/O訊號等)。記憶體連接CPU,且可為一或多種容易取得的記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、或其他近端或遠端的數位儲存器。軟體指令與資料可加以編碼及存入記憶體,用以指示CPU。支援電路亦連接CPU,以藉由傳統方式支援處理器。支援電路包括高速緩衝儲存器、電源供應器、時鐘電路、輸入/輸出電路、次系統等。系統控制器120可讀取的程式(或電腦指令)決定施行於基板的任務。較佳地,程式為系統控制器120可 讀取的軟體,其包括進行監視相關任務的編碼、基板移動、支撐、定位及/或旋轉的執行與控制、和處理腔室101中進行的各種處理程序任務與腔室製程方法步驟。在一實施例中,系統控制器使用傳統PID控制演算法來控制流體輸送到埠口,以主動控制基板的支撐、定位及/或旋轉。
在處理腔室101之一實施例中,如第2及17圖所示,基板支撐組件100增設邊緣阻障5,以改善處理時基板的熱均勻性。熱邊緣阻障5為環形主體,其至少部分環繞基板W邊緣及限制基板在其邊界內移動。邊緣阻障5一般也用來接收熱或傳熱至基板邊緣。熱邊緣阻障5可利用照燈、埋置電阻式加熱元件或其他類似裝置控制溫度,進而控制熱邊緣阻障5與基板邊緣間的熱傳過程。熱邊緣阻障5可由減少基板表面刮劃或污染並具預定發射率或能吸收輻射能的材料構成。例如,熱邊緣阻障5的構成材料例如為碳化矽、不銹鋼、鋁、矽、氧化鋁或高溫聚合物。熱邊緣阻障5的其他性質將進一步詳述於後。
第3A-3C圖繪示埠口111的不同實施例,其用來支撐、定位及/或旋轉放在基板支撐件110上的基板W。本發明之實施例大致上提出確定基板支撐件110的一或多個埠口111方向,使流出埠口111或埠口111內的流體互相作用而依需求支撐、定位及旋轉基板。咸信調整流體與基板表面互相作用的速度、流量和角度,將可利用流體與基板間引起的動量轉換和摩擦力,相對基板支撐件110來動態定位、移動及/或旋轉基板。已發現在次音速流量轄域(如馬赫數<1)流動可大 幅增進流體與基板的耦合效率。故一般期設計穿孔及在促使流體以次音速流出穿孔及/或扼制流量的壓力下將流體輸送到噴嘴。
在一實施例中,期配設一或多個埠口111使其以超音速(如馬赫數>1)輸送流體,由於射出高速流體,因此埠口周圍將產生低壓區而用來定位基板。在一實施例中,埠口111的穿孔112機器製作成具有收束區段和放射區段,當壓降大於臨界點時,此噴嘴形狀(如de Laval噴嘴)得以產生超音速流量。咸信以超音速輸送氣體,使埠口輸送超音速流量將產生低壓區,導致氣體流向低壓區而引起摩擦力,進而造成基板移動。故在一或多個基板處理步驟處理基板期間,藉由輸送出自一或多個策略性配置之埠口的超音速流量,可控制基板移動。在一實施例中,尚期採用能輸送超音速流量的埠口和能輸送次音速流量的埠口,以移動及/或定位基板。輸送次音速流量通過埠口的優點在於可引起方向流(即朝低壓點),而不需在基板支撐件中機器製作斜向穿孔。若基板支撐件例如由陶瓷材料構成,則難以在基板支撐件中形成斜向穿孔。
在一實施例中,如第3A圖所示,埠口111含有穿孔112,其定向成朝實質垂直基板W下表面W1 的位向。在此構造中,通過穿孔112的入口流Bi 撞擊基板W下表面W1 ,導致流體往不同方向流動,例如方向BO1 、BO2 。當流體輸送系統130輸送通過穿孔112的入口流Bi 流量及/或壓力夠大時,基板W與基板支撐件110間將形成間隙114。由於穿孔112垂直下表面W1 ,故入口流Bi 傾向僅以垂直方向(即Z方 向)支撐基板及移動基板。應注意流動流體產生的間隙114大小將取決於流動流體與處理區104(第1圖)的相對壓力、基板W的重量、流體衝擊角度α、流體性質和流體流出穿孔112的速度。
第3B圖為埠口111的截面圖,其定向成與基板W的下表面W1 夾角度α,如此可依需求支撐及移動基板W。垂直下表面W1 的入口流體流分量(如Bi ×Sin(α),在此Bi 為流體流向量)有助於支撐晶圓,正切下表面W1 的流分量(如Bi ×Cos(α),在此Bi 為流體流向量)則有助於沿著方向M移動基板。由於入口流Bi 傾斜下表面W1 ,造成流動流體與基板下表面W1 間產生摩擦力,故入口流Bi 將有助於沿著方向「M」移動基板。雖然一部分的入口流Bi 可朝其他方向流動,例如流向量B2 ,但因穿孔112位向和流體流性質所致,大多朝主流向量B1 的方向流動。流動流體抵抗基板表面的摩擦力造成主流向量B1 產生力量作用於基板W,促使基板沿著方向M移動及遠離基板支撐件110A,其朝主流向量B1 的方向。應注意為清楚說明,第3A-3D圖一般未顯示主流向量B1 和其他傾斜入口流Bi且有助於支撐基版之流向量(如流向量B2 )的Z方向分量。
在一實施例中,如第3B圖所示,埠口111還含有一或多個排氣孔113,以獲取由穿孔112注入的至少一部分入口流Bi 。此構造容許各埠口111輸送的流體流依需求自給自足,而可避免出自基板支撐件110之埠口111的流體流與出自其他相隔埠口111的流體流互相作用。在一例子中,期限制流 體流過排氣孔113,讓一部分的入口流Bi 經由排氣孔113離開埠口111,一部分的入口流Bi 流入下表面W1 與基板支撐件110之基板支撐面110A間的間隙114(即間隙流BG )。
在另一實施例中,基板處理步驟期間,期利用控制排氣閥134A,選擇性抑制注入之入口流Bi 不同時經由排氣孔113離開。控制排氣閥134A可連接排氣幫浦或類似排氣系統,其能減壓及提高排氣孔113流量。關閉排氣孔113將造成注入之流體在下表面W1 與基板支撐件之基板支撐面110A間的間隙114內流動(即間隙流BG ),故可改善基板支撐。
第3C圖為埠口111的截面圖,其設有穿孔112定向成實質垂直基板W的下表面W1 、和排氣孔113定向成與下表面W1 夾角度β。在此構造中,入口流Bi 引起的力量F1 主要用來支撐基板W,傾斜設置的排氣孔113提供力量Fx ,其為出口流沿著流動方向BO1 產生的力量F2 分量且作用於基板。力量Fx 用來移動或定位基板W朝預定方向M。藉由將多個選擇性控制排氣孔以不同預定方向及/或預定角度(如角度β)設置分散在基板支撐件110周圍,可輕易控制基板移動。在此構造中,移動可稍微與入口流Bi 之流動性質脫鉤。又,在此構造中,入口流Bi 能減低基板W下表面W1 接觸腔室基板支撐件110的風險,故可減少產生微粒或破壞基板W下表面W1
第3D圖為埠口111的截面圖,其定向成與基板W的下表面W1 夾角度α,如此可依需求支撐及移動基板W。第3D圖不同於第3B-3C及4圖,其繪示埠口111的構造僅含有一或多個穿孔112形成於基板支撐件110(即第3D圖只顯示一 個),其與基板支撐面110A相交。在此構造中,不需額外進行機器製作步驟形成下表面110C(第3B及4圖)、凹部110B之特徵結構(第4圖)及/或排氣孔113(第3B-3C及4圖),故可降低基板支撐件110的成本和複雜度。如上所述,入口流體流定向成與基板W的下表面W1 夾角度α,而可產生主流向量來依需求支撐及移動基板W。
第4圖繪示埠口111的局部立體剖視圖,其形成於基板支撐件110的基板支撐面110A。在一實施例中,埠口111含有複數個穿孔112和複數個排氣孔113,其設在基板支撐件110之基板支撐面110A的凹部110B。穿孔112及/或排氣孔113的陣列有助於增進埠口111輸送到基板的流體耦合,進而協助改善控制基板移動。
如第4圖所示,其類似第3B圖,穿孔112定向成與基板W夾角度α,排氣孔113定向成與基板W夾角度β,藉以支撐、定位及/或旋轉基板。凹部110B之下表面110C相對基板W之下表面W1 的距離和形狀可調整成提供最有效的基板控制。在一實施例中,從下表面110C到基板支撐面110A的距離為約10 μm至約1000 μm。在一實施例中,埠口111呈矩形,且外側長度和寬度大於約10 mm,較佳約10 mm至約50 mm。
在一實施例中,藉由控制一或多個穿孔112輸送的入口流Bi 性質,可控制支撐、定位及/或旋轉基板的方式。在一實施例中,入口流Bi 的流動特性(如壓力、速度)受控於流體輸送系統130的部件。如第4圖所示,流體輸送系統130 含有流體源133、流體控制裝置131和選擇性控制閥132。流體控制裝置(如流量控制器)通常利用系統控制器120送出的指令控制輸送到埠口111的流體性質。在一實施例中,控制閥132和至少一流體控制裝置131連接埠口111的各穿孔112,如此系統控制器120可於處理程序的任何時候個別控制出自穿孔112的入口流Bi 。在一實施例中,控制閥134連接埠口111的各排氣孔113,如此系統控制器120可於處理程序的任何時候個別控制出口流BO 。在一實施例中,藉由控制流過一或多個排氣孔113以控制出口流BO ,可控制支撐、定位及/或旋轉基板的方式。
第5A圖為基板支撐件110的立體視圖,其含有八個具不同特徵結構的埠口(即埠口111A-111H),例如定向穿孔112及/或排氣孔113,用以移動放置其上的基板(為清楚說明,並未繪示)。如第5A圖所示,埠口111A、111C、111E、111G的主流向量X1 、Y1 、X2 、Y2 分別藉由輸送流體通過各埠口的特徵結構而沿著X或Y方向移動基板。例如,埠口111A藉由朝主流向量X1 輸送流體而沿著+X方向移動基板,埠口111C藉由朝主流向量Y1 輸送流體而沿著+Y方向移動基板,埠口111E藉由朝主流向量X2 輸送流體而沿著-X方向移動基板,埠口111G藉由朝主流向量Y2 輸送流體而沿著-Y方向移動基板。在一實施例中,如第5A圖所示,埠口111A、111C、111E、111G的主流方向向量各自通過共通點「C」,例如實質上為基板支撐件110的中心,如此可沿著X和Y方向放置基板,而不需旋轉基板。
在一實施例中,埠口111B、111D、111F、111H設有特徵結構,由於各埠口的特徵結構分別產生力量向量R2、R1,故可順時針或逆時針旋轉基板。在此構造中,埠口111B、111D、111F、111H的主流方向垂直基板徑向。為使基板順時針旋轉,控制系統輸送流體到埠口111B、111F的特徵結構,且為使基板逆時針旋轉,控制系統120輸送流體到埠口111D、111H的特徵結構。熟諳此技藝者將理解,若埠口111的主流方向不通過基板重心,則旋轉部件和轉移部件均作用於基板。為全然獲得旋轉動作,X方向和Y方向上的力量總和須等於零,同時留下相距基板重心一段距離之力量引起的扭矩。例如,參照第5A圖,若埠口111D、111H反向傳遞相距基板重心距離「d」的力量向量R1,則作用在基板的逆時針扭矩量等於約2(R1 ×d)。又因基板中心一般是相對埠口移動,故系統控制器120需進行主動轉移和旋轉修正,以確保基板仍朝預定位向及/或依需求位於處理腔室內。
在一實施例中,如第5A圖所示,各埠口111A-111H定向成使主流方向朝基板邊緣。為使主流方向朝基板邊緣,一般來說,主流方向上的徑向分量(即垂直徑向)等於零,或者當基板置於基板支撐件的中心時,使其指向背離基板中心。已發現將主流方向定向朝基板邊緣或背離基板中心有助於減少相鄰埠口因各埠口輸送流重疊而互相作用。在一實施例中,期適當配置相鄰埠口,以減少埠口互相作用。
如第5A圖所示,基板支撐件110還含有複數個開孔115,其配合感測組件123的部件(第1及2圖)來主動感應 出基板支撐件110上的基板位置(第5A圖未繪示),如此可調整埠口111A-111G的流量,以於處理時主動支撐、定位及/或旋轉處理腔室101內的基板。在一實施例中,出自埠口111A-111G的流動特性(如壓力、速度)由上述流體輸送系統130的部件和系統控制器120個別控制。已發現基板轉速可輕易達1000轉/分(rpm)以上,且定位準確度小於約0.2 mm。在一實施例中,基板轉速為約1 rpm至約3000 rpm。在處理腔室101中進行一或多個處理步驟處理基板時,可調整轉速。例如,處理腔室為低壓CVD腔室時,在緩慢CVD沉積速率步驟期間,基板以較低轉速旋轉,然後在快速CVD沉積速率步驟期間,以較高轉速旋轉。
第5B圖為基板支撐件110的立體視圖,其含有多個具穿孔112的埠口(即埠口111A-111F),用以輸送出自流體輸送系統130的流體及移動放置其上的基板(為清楚說明,並未繪示)。一般來說,埠口可朝任一預定位向,例如下述第6A-8圖之構造。在一實施例中,如第5B圖所示,單一穿孔112按斜向穿孔位向設定之方向提供流體至基板表面。穿孔112的直徑為約0.001吋(0.025 mm)至約0.063吋(1.6 mm),較佳約0.001吋至約0.032吋。穿孔可傾向基板支撐件110的頂表面,傾斜角度約10度至約80度,較佳約30度至約60度。雖然第5B圖繪示六個埠口,但當可包含任何數量的穿孔來控制基板旋轉。在一實施例中,隔離特徵結構1110(其將描述於下)用來防止埠口輸送的流體流入處理腔室101的處理區。通常期將埠口設置靠近基板半徑範圍中間,以減低流體從穿孔112 流入處理區104(第1圖)的機會。在一實施例中,處理300 mm之半導體基板時,埠口設置相距基板支撐件110的中心約25 mm至約100 mm。
第6A-6C圖為基板支撐件110之不同實施例的平面視圖,其分別設有不同數量的埠口111來定位及/或旋轉基板。第6A圖繪示之基板支撐件110類似第5A圖,其含有八個埠口111且具定向成不同方向的主流方向,藉以控制支撐、定位及/或旋轉放置其上的基板。第6B圖繪示之基板支撐件110設有四個埠口,其利用主流向量BX1 、BY1 、BX2 、BY2 來主導支撐基板及定位基板於X-Y平面。第6C圖繪示之基板支撐件110設有三個埠口,其利用主流向量B31 、B32 、B33 來主導支撐及定位基板於X-Y平面。熟諳此技藝者將理解,若系統控制器120進行的定位處理能考量各埠口(即埠口111A-111C)輸送流體產生的主流向量特性(如強度和方向),則第6C圖基板支撐件110可執行與第6A及6B圖基板支撐件一樣的定位功能。在一實施例中,主流向量B32 、B33 乃對稱於主流向量B31 且夾角度α1 。應注意第5A、6A-6C、7A-7C及8圖大體上皆繪示主流向量的分量與表面W1 (第3B-3D及4圖)或平行表面W1 的平面平行。為清楚說明,加上平行表面W1 的主流向量分量一般用來定位及/或旋轉基板,故第5A、6A-6C、7A-7C及8圖未顯示有助於支撐基板的主流向量分量(第3D圖之Z方向),此將配合圖式詳述於後。
儘管大體而言,基板支撐件的埠口數量越多,基板的移動控制越精確,然一般亦期減少埠口數量,以降低基板 支撐組件100的成本和複雜度及減少用於支撐、定位及/或旋轉基板的流體量。若處理腔室101進行的製程易受注入到處理區104(第1圖)的流體影響,則期減少用來支撐、定位及/或旋轉基板的流體流量。在一實施例中,低壓化學氣相沉積(LPCVD)製程期間(其將詳述於後),期減少所有埠口的氣流總量至少於約5標準公升/分鐘(slm)。在一實施例中,所有埠口的氣流總量保持為約3 slm至約5 slm。
第7A-7C圖繪示基板支撐件110的不同實施例,其設有四個埠口111來支撐、定位及旋轉放置其上的基板。第7A圖繪示之基板支撐件110含有四個埠口111且具定向成+X、-X、+Y、-Y方向的主流方向,藉以控制支撐、定位及旋轉放置其上的基板。在此構造中,相較於第6B圖的主流方向穿過基板支撐件中心,其出自各埠口111的主流力量向量偏離大致放在基板支撐件110中心上的基板中心,故旋轉扭矩將作用於基板。埠口111A及/或111C的主流向量BX1 、BX2 分別在距離D2 、D4 處產生施予力量,造成逆時針扭矩作用於基板。埠口111B及/或111D的主流向量BY1 、BY2 分別在距離D3 、D1 處產生施予力量,導致順時針扭矩作用於基板。應注意系統控制器120可使扭矩總和等於零,即{(Bx1 ×D2 )+(Bx2 ×D4 )}減去{(BY1 ×D3 )+(BY2 ×D1 )},以致基板全然往X與Y方向移動(即無旋轉動作)。
第7B-7C圖繪示基板支撐件110之實施例,其設有四個埠口來主導支撐、定位及旋轉基板。第7B繪示之基板支撐件110設有四個埠口來主導支撐、定位及順時針旋轉基板。 由於在同一順時針扭矩方向上,埠口的主流方向產生的扭矩偏離大致放在基板支撐件中心上的基板重心,因此出自一或多個埠口111的流體將一直在基板上施予順時針旋轉動作。
第7C圖繪示之基板支撐件110設有四個埠口來主導支撐、定位及逆時針旋轉基板。由於在同一逆時針扭矩方向上,埠口的主流方向產生的扭矩偏離大致放在基板支撐件中心上的基板重心,因此出自一或多個埠口111的流體將一直在基板上施予逆時針旋轉動作。在第7B-7C圖的任一實施例中,當系統控制器120使流自埠口111A-111D的流體施予X與Y方向上的力量總和分別等於零時,將引起全然往順時針或逆時針旋轉的動作。
第8圖繪示基板支撐件110的實施例,其設有三個埠口111來支撐、定位及旋轉放置其上的基板。第8圖繪示之基板支撐件110含有三個埠口111A-111C且具定向成三個不同方向的主流方向,藉以控制支撐、定位及旋轉放置其上的基板。在一實施例中(參見第8圖),在此構造中,相較於第6C圖的主流向量B31 、B32 、B33 穿過基板支撐件中心,其出自埠口111A、111B的主流方向偏離大致放在基板支撐件110中心上的基板中心,故旋轉扭矩將作用於基板。埠口111B的主流向量B32 在相距放在基板支撐件「S」中心上之基板中心的距離D2 處產生施予力量,造成逆時針扭矩作用於基板;埠口111A的主流向量B31 在相距放在基板支撐件「S」中心上之基板中心的距離D1 處產生施予力量,導致逆時針扭矩作用於基板。
儘管第8圖繪示一主流方向與基板支撐件中心和基板中心一致,然本發明之保護範圍不限於此構造。雖然第6A-6C圖繪示埠口的主流方向分量投射在平行基板表面W1 的平面且通過共通點「C」,但本發明之保護範圍不限於此構造,一或多個主流方向當可對準遠離共通點,此並不影響本設計的基本功能。然若平行基板表面W1 的主流方向分量未通過單一共通點,則系統控制器120應用的定位控制演算法通常因需考量扭矩向量及/或產生力量的不均衡狀態而變得更複雜。又,在一實施例中,主流向量B32 、B33 非對稱(如第8圖所示)於主流向量B31 (即角度C1 不等於角度C2 )。在一實施例中,共通點「C」為在與基板表面W1平行的平面上通過基板支撐件中心的軸投影。
第9A圖繪示埠口組件900的局部立體剖視圖,其含有埠口111,並利用流體輸送系統130輸送之流體來支撐、定位及/或旋轉基板W。在一實施例中,如第9A圖所示,埠口111形成在與基板平板145分離的部件941上,且利用致動器組件960相對基板平板145移動。基板平板145可為靜電吸座、傳統基板加熱器或具基板支撐面的簡易塊體,以於處理腔室101進行一或多個基板處理步驟期間支撐基板。致動器組件960含有致動器961,例如氣動汽缸、直流(DC)伺服馬達和導螺栓或類似裝置,其連接系統控制器120,以於處理腔室101處理基板的不同階段,可靠地相對基板支撐件110定位埠口組件900和基板。已發現內徑至少0.125吋(3.2mm)的穿孔112或頂表面942直徑至少0.125吋(3.2mm)的埠口組 件900可使用壓力為5表讀磅/平方吋的氮氣入口流Bi來精確、反覆支撐放置其上的基板。
第9B-9D圖為埠口組件900之不同實施例的截面圖,用以支撐、定位及/或旋轉放置其上的基板。第9A圖繪示之埠口組件900設有穿孔112,其提供垂直基板W下表面W1 的入口流,藉以主要支撐基板重量Fw 。第9B圖繪示埠口組件900之實施例,其具有穿孔112提供傾斜基板W下表面W1 的入口流Bi ,藉以支撐及移動基板W。第9C圖繪示埠口組件900之實施例,其具有穿孔112B提供垂直基板W下表面W1 的入口流Bi1 ,藉以主要支撐基板,及提供出自埠口112C且傾斜基板W下表面W1 的入口流Bi2 ,藉以支撐及移動基板W。穿孔112B-112C的尺寸可調整成預定量支撐及/或移動基板。第9D圖繪示埠口組件900之實施例,其具有穿孔112提供傾斜基板W下表面W1 的入口流Bi ,藉以支撐及移動基板W。
在一實施例中,如第10A及10B圖所示,埠口組件900為升降組件1000的一部分,用以接收來自機器人(未繪示)的基板(為清楚說明,並未繪示),其設在處理腔室101之一或多個壁面102圍住的處理區104外面(第1圖)。在此構造中,可利用基板升降組件1000之埠口組件900的埠口111支撐、定位及/或旋轉基板。升降組件1000一般含有埠口組件支撐平板1001和致動器1002,以經由孔洞144相對基板平板145定位埠口組件900。致動器1002可為氣動汽缸、DC伺服馬達或類似裝置,其連接系統控制器120並能可靠地相對基板平 板145定位埠口組件900和基板。
第10A圖為處理腔室101的局部立體剖視圖,其中升降組件1000和埠口組件900處於「下降」位置,在此可進行處理或機器人葉片插進或移出步驟。在一實施例中,「下降」位置容許埠口111置於基板平板145表面上方的距離H1 。第10B圖為處理腔室101的局部立體剖視圖,其中升降組件1000和埠口組件900處於「上升」位置而容許進行機械裝置傳送步驟,在一實施例中,處於「上升」位置時,埠口111和基板置於基板平板145表面上方的距離H2 ,其中H2 大於H1 。在一實施例中,「下降」位置容許基板放在基板平板145的基板支撐面145A。應注意第10A-10B圖實施例可配合應用任一所述實施例。例如,升降組件1000可配合其他圖式的基板支撐件100構造使用。
參照第1、2、10A及10B圖,在一實施例中,利用升降組件1000將基板傳送進出處理腔室101的程序包括下列步驟:(1)移動升降組件至「下降」位置;(2)插入含有基板的機器人;(3)連接一或多個埠口組件900的流體輸送系統130開始流進流體而支撐基板;(4)利用致動器1002移動埠口組件支撐平板1001,以將基板移開機器人及接收埠口組件900上的基板;(5)縮回機器人;(6)利用埠口組件900和致動器1002,將基板移到預定處理腔室中的處理位置;(7)利用感測組件123、系統控制器120和流體源130來支撐、定位及/或旋轉基板;(8)在處理腔室101(如CVD、RTP、PVD、蝕刻)中進行預定製程;(9)終止基板處理;(10)移動升降組件至「上升」位 置;(11)插入機器人來接收基板;(12)藉由移動升降組件至「下降」位置,以將基板放到機器人上;(13)縮回機器人;以及(14)關閉流體流出一或多個埠口組件900。在一實施例中,基板於預定基板處理步驟(即步驟8)期間經旋轉及主動定位。故所述設備和方法亦不需利用高度精確的機器人放置處理腔室的基板,因基板支撐組件100已可主動修正誤差。
第11A-11B圖為基板支撐組件100之另一實施例的截面圖,其設於處理腔室101的處理區104。為減少或降低出自埠口111之流體流BF進入處理區104及/或影響基板處理,一或多個隔離特徵結構1110用來獲取輸送流體。在一實施例中,形成於基板支撐件110的一或多個隔離特徵結構1110連接排氣系統1100,以收集及防止流體流入處理區104。第11A圖繪示一構造,其中隔離特徵結構1110含有溝槽1111,其環繞基板支撐件110的邊緣且經由一或多個排氣口1112連接排氣系統,以收集埠口111提供的流體(即流體流BE )。在一實施例中,隔離特徵結構1110設置靠近基板邊緣,如此流自處理區的流體(即流體流Ba )將使基板偏離環形溝槽而移動基板。在一實施例中,溝槽1111相對基板支撐面110A的深度為約20 μm至約80 μm。
在一實施例中,如第11B圖所示,隔離特徵結構1110含有複數個溝槽1111,其環繞基板支撐件110的各埠口111並連接排氣系統1100(如粗抽幫浦、流體幫浦),如此輸送通過埠口的流體將不會影響其他埠口111或處理區104進行的製程。
參照第11A-11B圖,在一實施例中,處理期間,基板支撐面110A和基板設置傾斜水平,以縮小處理腔室所需的水平佔地面積。傾斜角度可為約0度(即如第11A-11B圖般呈水平)至約90度或呈垂直位向(未繪示)。在一實施例中,基板支撐面110A和基板相對水平的角度為約0.1度至約85度。以流體托住基板時,為抵消造成基板移離基板支撐件110的重力,出自複數個埠口111的流體需施予基板一定的偏壓力來抵消基板重量。在一些例子中,隔離特徵結構1110還用來協助提供偏壓力,其利用排氣系統1100於溝槽1111周圍形成的低壓區而將基板留在基板支撐面110A上。
在本發明之一態樣中,處理腔室101之處理區進行一或多個處理步驟期間,藉由自埠口111注入流體至基板支撐件110與基板W間的間隙114內,可控制熱量傳進及/或傳出基板。第12圖繪示一實施例,其中於處理期間,熱量(Q)因支撐、定位及/或旋轉基板時發生的傳導或對流熱傳過程而傳遞到基板支撐件。在一實施例中,具預定熱性質(如高導熱性)的氣體注入間隙114中,含有氦氣(He)、氮氣(N2 )、氬氣(Ar)或其組合物的氣體可改善熱傳過程。
快速熱退火腔室構造
第13A-13C圖為根據本發明一實施例之熱處理系統1310的截面圖。熱處理系統1310包含腔室主體1335,其定義處理容積1314來退火處理內含基板W。腔室主體1335可由不銹鋼構成且可製作石英襯裡。處理容積1314經熱處理系統1310之石英視窗1318上的加熱組件1316輻射加熱。熱處 理系統和其使用方法實例進一步描述於美國專利申請案序號11/623,238[文件編號11364]、西元2007年1月15日申請之申請案,其一併附上供作參考。
流量閥1330設在腔室主體1335側邊,以供基板W通往處理容積1314。氣體入口1344連接氣源1345,以提供處理氣體、淨化氣體及/或清潔氣體至處理容積1314。真空幫浦1355經由出口1354連通處理容積1314,以泵抽處理容積1314。
環形通道1322形成在腔室主體1335的底部附近。磁性轉子1321設於環形通道1322。管狀豎板1339安置在磁性轉子1321上或耦接磁性轉子1321。在一實施例中,熱處理系統1310進行一或多個處理步驟期間,基板W由管狀豎板1339的邊環1320周緣支撐。磁性定子1323設在磁性轉子1321外面並磁耦合穿過腔室主體1335而誘導馬達1326轉動磁性轉子1321,進而轉動邊環1320和托在其上的基板W。磁性定子1323還可用來調整磁性轉子1321的高度,以抬高處理基板W。額外的磁旋轉和磁浮資訊可獲自美國專利證書號6,800,833,其一併附上供作參考。
腔室主體1335在基板W背側附近包括反射器平板1327。反射器平板1327具有光學反射面1328面對基板W背側,以增強基板W的發射率。在一實施例中,反射器平板1327為水冷式。反射面1328和基板W背側定義反射腔體1315。在一實施例中,反射器平板1327的直徑略大於處理基板W的直徑。例如,若熱處理系統1310用來處理300 mm之基板, 則反射器平板1327的直徑為約13吋。在一實施例中,外環1319耦接於腔室主體1335和邊環1320間,以隔開反射腔體1315和處理容積1314。反射腔體1315和處理容積1314可具不同環境。
淨化氣體經由連接淨化氣源1346的淨化氣體入口1348提供至反射器平板1327。由反射器平板1327射出的淨化氣體有助於冷卻反射器平板1327。
加熱組件1316包含加熱元件1337陣列。加熱元件1337陣列可為UV燈、鹵素燈、雷射二極體、電阻式加熱器、微波動力加熱器、發光二極體(LED)或任何其他適合之加熱元件。加熱元件1337陣列可置於反射器主體1353的垂直孔洞內。在一實施例中,加熱元件1337排列成六角形圖案。冷卻通道1340形成在反射器主體1353,以於處理期間冷卻加熱元件1337陣列。
加熱元件1337陣列連接控制器1352,其能調整加熱元件1337陣列的加熱效果。在一實施例中,加熱元件1337陣列按多個同心區域分成複數個加熱群組來加熱基板W。各加熱群組經個別控制提供預定溫度分布遍及基板W半徑範圍。加熱組件1316的詳細說明可參見美國專利證書號6,350,964和6,927,169,其一併附上供作參考。
在一實施例中,主要用來加熱邊環1320的邊環加熱組件1338設在加熱元件1337陣列外面。邊環加熱組件1338連接控制器1352,其能調整邊環加熱組件1338的加熱功率。邊環加熱組件1338和加熱元件1337陣列可獨立控制,故可 個別控制邊環1320和基板W的溫度。在一實施例中,邊環加熱組件1338為加熱元件1337陣列的其中一個加熱群組。
熱處理系統1310更包含複數個熱探針1324,用以測量基板W之不同徑向位置的熱性質。在一實施例中,複數個熱探針1324為複數個高溫計,其光學耦接及設於反射器平板1327,以偵測基板W之不同徑向位置的溫度或其他熱性質。類似溫度探針的詳細說明可參見美國專利證書號5,755,511,其一併附上供作參考。複數個溫度探針1324連接控制器1352,其可施行封閉迴路控制來調整供給加熱元件1337陣列的電源,以提供適當的徑向熱分布遍及基板W。
熱處理系統1310更包含邊環熱探針1331,其設在邊環1320附近的反射器平板1327上。邊環熱探針1331可為高溫計,用以測量邊環1320的溫度或其他熱性質。邊環熱探針1331連接控制器1352,其連接邊環加熱組件1338。控制器1352通常為上述系統控制器120的一部分。控制器1352利用邊環熱探針1331的測量而施行封閉迴路控制控制邊環加熱組件1338。在一實施例中,熱處理期間,邊環1320和基板W經個別加熱達預定溫度。
根據處理基板W之熱性質,設計邊環1320的熱性質,例如熱質量、發射率和吸收率,以改善基板溫度分布。藉由選擇不同材料、不同厚度和不同塗層,可改變邊環1320的熱性質。邊環設計的詳細說明可參見美國專利證書號7,127,367(代理人文件編號APPM 8504),其一併附上供作參考。在一實施例中,邊環1320由矽構成且具鎳塗層。
在一實施例中,磁性轉子1321轉動邊環1320和基板W,並將基板W定位在處理腔室內的預定高度。在大部分的製程期間,目的為均勻地快速加熱基板W達目標溫度。在本發明之一實施例中,基板W的熱傳主要來自加熱元件1337陣列的輻射和加熱達預定溫度之邊環1320的傳導及/或輻射。藉由控制加熱元件1337陣列及維持邊環1320呈預定溫度(其通常不同於基板W的目標溫度),可獲得均勻的溫度分布遍及基板W。然使用磁性轉子1321和其支撐部件相當複雜又昂貴,因其需移動、支撐及控制處理區內(如反射腔體1315、處理容積1314)處於真空或隔離惰性環境中的部件。所述基板支撐組件100和升降組件1000之實施例的優點在於能以非常簡單的方式旋轉基板,而不需使用磁性定子1323、磁性轉子1321、管狀豎板1339、環形通道1322、馬達1326及/或其他支撐部件。在一實施例中,邊環1320保持固定不動,基板W則由基板支撐組件100及/或升降組件1000支撐、定位及/或旋轉。
在一實施例中,如第13A圖所示,基板支撐組件100設在處理容積1314內,用以支撐、定位及/或旋轉放置其上的基板W。在此構造中,基板支撐組件100含有複數個埠口111、流體輸送系統130、感測組件123和控制器1352,以於熱處理期間,利用至少一上述製程和構造來定位及/或旋轉基板。感測組件123一般用來監視基板W的位置,且裝設在靠近基板邊緣的加熱組件1316。在一實施例中,基板支撐件110由光學透明材料構成,例如石英或藍寶石,以容許預定波長通 過基板支撐件110並利用複數個連接控制器1352的熱探針1324感測基板溫度。
在一實施例中,進行熱處理步驟處理基板時,利用複數個埠口111旋轉處理基板的轉速為約100 rpm至約3000 rpm。快速旋轉可平均加熱組件的任何不均勻性,進而使基板獲得均勻熱分布。另外,因基板W不接觸基板支撐面,故可保持最少的不均勻熱損失。
在一實施例中,如第13B及13C圖所示,埠口組件900為升降組件1000的一部分,用以接收來自機器人(未繪示)的基板。在此構造中,可利用埠口組件900的埠口111支撐、定位及/或旋轉基板。第13B圖為熱處理系統1310的截面圖,其中升降組件1000和埠口組件900處於「處理」位置以進行處理步驟。在一實施例中,處理時,升降組件1000將基板W定位在處理腔室的預定高度。在一實施例中,升降組件1000從「上升」位置(未繪示)(其一般位於處理位置上方而供埠口組件拾起或放下基板至機器人葉片)移動到「下降」位置(未繪示),其一般位於處理位置下方而容許機械裝置進入有基板放在葉片上的熱處理系統1310。在一實施例中,「下降」位置容許基板放在基板平板145或邊環1320上。
在一實施例中,如第13B及13C圖所示,致動器組件1391用來移動及相對基板W和埠口組件900定位基板平板145,以於熱處理期間改變基板周圍的熱傳特性。在此構造中,基板平板145(其可由埋置電阻式加熱元件146加熱)從進行一熱處理步驟時接近基板的位置(第13B圖)移動到進行另 一處理步驟時遠離基板的位置(第13C圖),藉以改變熱量傳進或傳出基板。在一實施例中,基板平板145包含光學透明材料,例如石英或藍寶石,其容許通過基板平板145並利用複數個連接控制器1352的熱探針1324感測基板溫度。
快速熱處理期間,基板W經由流量閥1330傳送到處理容積1314,且由出自基板支撐組件100之埠口111的流體托住。在一實施例中,一些熱處理步驟期間,基板W由邊環1320支撐。在一實施例中,把基板放在邊環1320上的預定位置前,期利用出自埠口111的流體和感測組件123,將基板對準及定位成熱處理系統中的預定水平位置(X、Y方向)。利用埠口111將基板主動定位在邊環1320上的預定位置是很重要的,因其將有助於消除高溫熱處理常見的溫度不均勻主因。基板支撐組件100亦不需使用高度精確、高再現性的機器傳送系統傳送基板至處理腔室的處理區,因基板支撐組件100已可準確重新對準及放置基板至處理腔室內的預定位置。
利用複數個熱探針1324測量基板W半徑範圍的溫度,可控制加熱組件1337陣列。在一實施例中,複數個熱探針1324對應基板W半徑而均勻分散遍及反射器平板1327。複數個熱探針1324測得的溫度由控制器1352取樣。控制器1352依據測量溫度調整加熱組件1337陣列,使得基板W半徑範圍各處的溫度變均勻。在一實施例中,控制器1352按複數個同心區域調整加熱組件1337陣列。按多個區域控制加熱元件的詳細說明可參見美國專利證書號5,755,511和美國專利申請案序號11/195,395、公開號2006/0066193(代理人文件編 號009150)之申請案,其一併附上供作參考。
應注意儘管第13A-13C圖繪示熱處理系統1310的加熱組件1316設在基板W上方,然本發明之保護範圍不限於此構造,加熱組件1316當可依需求設於基板W下方或兩側來改善熱處理,此並不脫離本發明之基本範圍。如上所述,在一些例子中,基板支撐組件的部件(如基板支撐件110、基板平板145)期由光學透明材料構成,以讓基板接收熱量或利用一或多個溫度感測裝置(如元件符號1324、1331)感測。
低壓CVD腔室構造
第14A圖繪示可採用上述一或多個基板支撐組件實施例的設備。第14A圖大體上繪示POLYgen CENTURA®化學氣相沉積(CVD)腔室,其商業上取自美國加州聖克拉拉之應用材料公司。在一特殊實施例中,設備為低壓CVD(LPCVD)腔室1400。第14A圖所示之LPCVD腔室1400一般是由可維持腔室壓力約200托耳至約350托耳、沉積腔室溫度約600℃至約800℃的材料構成。為此目的,LPCVD腔室1400的腔室容積為約5-6公升。第14A圖繪示腔室主體1445的內部處於「基板處理」位置。基板W以虛線表示,暗指其位於LPCVD腔室1400內。在一實施例中,LPCVD腔室1400托住單一基板,並可容納直徑大於約200 mm之基板。
腔室主體1445界定反應腔室1490,其中處理氣體經熱分解而形成CVD沉積膜於基板W上,例如多晶矽膜。在一實施例中,LPCVD腔室1400為「冷壁」反應腔室,其由鋁材料構成且內設冷卻通道。晶座1405設在反應腔室1490 內,其含有由軸桿1465支撐的電阻式加熱器1480。晶座1405具有足夠的表面積來支撐基板,例如半導體基板W(以虛線表示)。
第14A圖尚繪示加熱器1480的局部截面,包括晶座1405主體截面和軸桿1465截面。如圖所示,晶座1405的主體內設二加熱元件,例如第一加熱元件1450和第二加熱元件1457,其與構成晶座1405的材料相容。在另一實施例中,LPCVD腔室1400包括照燈、而非電阻式加熱元件1450、1457。有用的晶座設計實例和有用的壓力控制技術與溫度控制技術進一步描述於美國專利申請案序號60/990,173[文件編號APPM 12283L]、西元2007年11月26日申請之申請案,其一併附上供作參考。
LPCVD腔室1400能精確控制沉積環境的溫度和壓力。處理氣體通過阻隔板1424和穿孔面板1425的優點在於能使氣體均勻分配到基板W。適合用於反應腔室1490的材料應相容於處理氣體和其他化學劑,例如清潔化學劑(如三氟化氮(NF3)),其可引入反應腔室1490。
加熱器1480的露出表面包含各種材料(假設材料與處理氣體相容)。例如,加熱器1480的晶座1405和軸桿1465包含類似的氮化鋁材料。在一實施例中,加熱器1480的晶座1405利用擴散接合或銅焊耦接軸桿1465,因為此耦接類型能忍受反應腔室1490的環境。
處理時,處理氣體經由腔室主體1445之腔室蓋1430頂表面的氣體分配埠口1420進入密封的反應腔室1490。處理 氣體接著流過阻隔板1424而將氣體分配到與基板W表面區域一致的區域周圍。隨後,處理氣體分散通過反應腔室1490內部設在加熱器1480上方且耦接腔室蓋1430的穿孔面板1425。在一實施例中,結合使用阻隔板1424和面板1425可均勻分配處理氣體到基板W的頂表面附近。
如第14A圖所示,基板W經由腔室主體1445側部的進入埠口1440放在反應腔室1490內加熱器1480的晶座1405上。為容納處理基板,加熱器1480降低使晶座1405表面低於進入埠口1440。在一實施例中,基板W例如利用機器傳送裝置的傳送葉片(未繪示)裝載到反應腔室1490中並放到晶座1405的頂表面。裝載基板W後,密封進入埠口1440及利用升降組件1460將加熱器1480往上推向面板1425,升降組件1460例如包括步進馬達。處於第14A圖的基板處理位置時,反應腔室1490分成二區域:高於晶座1405頂表面的第一區域1402和低於晶座1405底表面的第二區域1404。
基板W置於反應腔室1490內時,第一區域1402包括基板W上方的區域1488,其中基板W的頂表面有膜形成(如面對穿孔面板1425的基板表面有多晶矽膜)。在另一實施例中,藉由將基板定位在晶座1405的頂表面上方而沉積基板背側(如區域1489),可於基板兩側形成膜。
在氣體分配盤的控制下流入反應腔室1490的處理氣體經熱分解而形成膜於基板上。同時,惰性底部淨化氣體(如氮氣)引入第二區域1404中,以抑制膜形成於此區域。在一實施例中,電容式壓力調節器將第一區域1402的壓力維持呈約 200托耳至約350托耳、溫度為約600℃至約800℃,以沉積多晶矽膜於基板W上。
利用泵送板1485,抽出反應腔室1490的殘餘處理氣體及送到腔室主體1445側邊的收集容器。設在反應腔室1490外面的幫浦1432提供泵送通道1441真空壓力,以抽出反應腔室1490的處理氣體和淨化氣體及引至幫浦1432。較佳地,控制器或處理器(未繪示)接收來自壓力感測器的訊號,藉以調整腔室壓力及控制幫浦1432流速而保持預定壓力。
一旦完成基板W處理,即可例如使用惰性氣體(如氮氣)來淨化反應腔室1490。處理及淨化後,利用升降組件1460降低加熱器1480。隨著加熱器1480移動,舉升銷1495延伸穿過晶座1405表面之開口並接觸位於反應腔室1490基底的升降板1475。升降組件1460持續降下加熱器1480時,舉升銷1495仍保持不動且最後延伸至晶座1405上方而分離處理基板W和晶座1405表面。如此,晶座1405的表面移到進入埠口1440下方的位置。一旦處理基板W離開晶座1405表面,機器機制的傳送葉片移動穿過支撐基板W之舉升銷1495頂端底下的進入埠口1440。接著,升降組件1460進一步降下加熱器1480和升降板1475至「基板裝載」位置。然後從進入埠口1440取出處理基板W及傳送到下一處理站。第二基板(未繪示)接著裝載到反應腔室1490進行處理。接著反向進行上述步驟,以將新基板W送入處理位置。
LPCVD腔室1400包括處理器/控制器1470,其類似上述系統控制器120。在一實施例中,LPCVD腔室1400包括 指令和製程參數,用以輸送包括矽源氣體與載氣之混合氣體至反應腔室1490、加熱晶座1405達約640℃至約750℃之溫度、及在反應腔室1490內產生約200托耳至約350托耳之壓力,進而利用熱化學氣相沉積來沉積多晶矽膜於基板W上。
在一實施例中,如第14A圖所示,晶座1405含有上述基板支撐組件100的部件。在此構造中,晶座1405用來支撐、定位及/或旋轉放置其上的基板W。非常類似基板支撐件110的加熱器1480含有複數個連接流體輸送系統130的埠口111,其結合感測組件123和控制器1352,以於處理期間定位及/或旋轉基板。在一實施例中,進行處理步驟處理基板時,利用複數個埠口111旋轉基板的轉速為約100 rpm至約3000 rpm。藉由旋轉基板,可獲得均勻的熱分布。又,因晶座1405的部件和其他相關部件不需旋轉,故可降低硬體複雜度及大幅提升腔室可靠度。此對需旋轉晶座1405或其他相關部件的構造複雜度和可靠度改善尤其適用在高溫(如>500℃)真空環境中進行處理的構造,其中晶座1405亦需垂直移動。
在一實施例中,設於腔室蓋1430內的感測組件123設置用來監視基板W的位置。在一實施例中,感測組件123含有感測器122,其設置觀察晶座1405上的基板邊緣,如此系統控制器(即處理器/控制器1470)可控制出自埠口111之流體來定位及移動基板。在一實施例中,一或多個視窗1493密接腔室蓋1430的部件,例如阻隔板1424和穿孔面板1425,以提供光學路徑讓一或多個感測器(如復歸反射型感測器)觀察及監視基板移動。
第14B圖為基座1405的特寫截面圖,其設有埠口111來支撐、定位及/或旋轉基板W。在一實施例中,複數個埠口111設置使流體流(即第14B圖的流F)用來防止處理區中送入區域1488的反應製程元素「P」(如CVD前驅物組成)朝基板W的下表面W1前進。在一實施例中,附加的複數個埠口111連接流體源1494且設置靠近基座1405邊緣,以抑制反應製程元素「P」移向基板W的下表面W1。一般來說,此構造的優點在於保護基板下表面W1所需的流體流與埠口111移動及定位基板的控制無關。
邊緣輥設計
第15圖繪示根據本發明一實施例之基板支撐與定位組件10的透視圖。基板支撐與定位組件10包括底板1,其上設置複數個空氣軸承邊緣輥3和熱邊緣阻障5。底板1為環形主體,且包括複數個穿孔供氣體流貫而抬起基板。基板與底板1間亦設有穿孔來提供真空。在一實施例中,複數個穿孔以同心圓方式排列而於底板1上形成三個穿孔圓圈。在一實施例中,外圈穿孔7提供真空,中間圓圈的穿孔9提供氣體,內圈穿孔11提供真空。任何數量的穿孔圓圈和提供真空與氣體的穿孔構造皆可採用。穿孔7、9、11的直徑為約1/2000吋至約1/16吋,較佳約1/1000吋至約1/32吋。底板1可以用適當減少刮劃、化學或物理污染及/或毀損基板的材料製作,例如不銹鋼、鋁、金屬合金、陶瓷或高溫聚合物。底板1或可以用透明材料製作,例如石英、藍寶石或硬透明材料。底板1的厚度為約1/16吋至約2吋,較佳約1/8吋。穿孔7、 9、11處設有平頭鑽孔,以將穿孔處的底板1厚度縮減成能雷射鑽孔或微機械加工穿孔7、9、11的厚度。在一實施例中,外環13黏接於底板1。外環13可為碳基材料,例如碳化矽,其直接塗佈在底板1的上表面。視情況而定,外環13可以用減少刮劃基板的材料製作,例如碳化矽。外環利用黏著或接合製程耦接底板1的上表面。
第16圖繪示根據本發明另一實施例之基板支撐與定位組件20的透視圖。基板支撐與定位組件20包括底板21,其上設置複數個空氣軸承邊緣輥3和熱邊緣阻障5。底板21為環形主體,且包括一或多個環形溝槽25供氣體流貫而抬起基板。一或多個環形溝槽23、27亦設置來排空底板21的區域。在一實施例中,如第16圖所示,溝槽以同心圓方式排列在底板21上。在一實施例中,環形溝槽23提供排空區域,中間溝槽25提供氣體,內部溝槽27提供排空區域。任何數量的溝槽和提供真空與氣體的溝槽構造皆可採用。底板21可以用適當減少刮劃、化學或物理污染及/或毀損基板的材料製作,例如不銹鋼、鋁、金屬合金、陶瓷或高溫聚合物。底板21或可以用透明材料製作,例如石英、藍寶石或硬透明材料。底板21的厚度為約1/16吋至約2吋,較佳約1/8吋。溝槽23、25、27的寬度為約1/2000吋至約1/16吋,較佳約1/1000吋至約1/32吋,深度則為約1/1000吋至約1/4吋,較佳約1/32吋至約1/16吋。通道24、26、28分別提供溝槽23、25、27氣體或真空,且直徑為約1/32吋至約1/8吋。
第17圖為根據本發明一實施例之支撐與定位組件 的局部截面圖,其繪示熱邊緣阻障5裝設在底板1或21的頂部。熱邊緣阻障5為環形主體,其至少部分環繞基板2及限制基板在其邊界內移動。熱邊緣阻障5可設置及固定於底板1或21的環形溝槽15。熱邊緣阻障5可利用雷射加熱、專用照燈區域或電阻式加熱元件控制溫度。故邊緣阻障5可藉由減少邊緣損失或增進基板之熱耦合而改善處理基板的熱均勻性。熱邊緣阻障5可以用能減少刮劃、化學或物理污染及/或毀損基板表面的材料製作,例如碳化矽、不銹鋼、鋁、陶瓷或高溫聚合物。或者,使用與底板相同的材料將熱邊緣阻障與底板製作成單一構件。熱邊緣阻障5的內徑比處理基板的直徑大約1%至約20%,較佳約2%至約5%。
在一實施例中,邊緣阻障5的邊緣5A經塑形成拋物線狀反射器(未繪示),其環繞基板邊緣以傳遞或接收基板邊緣與邊緣阻障5間轉移的熱量。拋物線型反射器可用來收集、集中及/或最佳化地反射能量至基板邊緣區域。
第18圖繪示空氣軸承邊緣輥3之一實施例。空氣軸承邊緣輥用來定位基板2,又不會讓基板接觸熱邊緣阻障5。空氣軸承邊緣輥3安置在溝槽17的底板上,且可以用能減少刮劃、化學或物理污染及/或毀損基板表面的材料製作,例如高溫聚合物、碳化矽、石墨或鋁。浮動套管31環繞空氣軸承邊緣輥3。浮動套管31置於空氣軸承軸頭或升降機33(於裝載位置與處理位置間抬起基板2)頂部。空氣軸承邊緣輥3設置使浮動套管31的部分外緣與熱邊緣阻障5的邊緣5A(第17圖)同軸、或略微放射突出熱邊緣阻障5。如第15及16圖所 示,熱邊緣阻障5和浮動套管界定擋住基板2的邊界。浮動套管31的外徑為約5 mm至約150 mm,較佳約20 mm至約50 mm,且可由低質量密度材料構成,例如藍寶石或石英。氣流通道34均等相隔並流進氣體來抬起浮動套管31,如此浮動套管遭受最小磨擦力而可自由旋轉。視情況而定,複數個空氣軸承邊緣輥3(較佳三個)用來在熱邊緣阻障內定位基板。
第19圖繪示根據本發明一實施例之下底板50的俯視圖。下底板50為環形主體,且包括一或多個環形溝槽53、55、57。下底板50可配合底板1使用,並設有通道供應氣體和真空給底板1的穿孔。下底板50設在下方且接觸底板1,使得環形溝槽55對準第15圖中間圓圈的穿孔9。環形溝槽55和底板1定義導管供氣體流向穿孔9。亦設置一或多個環形溝槽53、57提供真空。環形溝槽53對準外圈穿孔7,環形溝槽57對準內圈穿孔11。在一實施例中,外部溝槽53自通道54提供真空,環形溝槽55自通道56提供氣體,內部溝槽57自通道58提供真空。任何數量的溝槽和提供真空與氣體的溝槽構造皆可採用。下底板50可以用適當減少刮劃、化學或物理污染及/或毀損基板表面的材料製作,例如不銹鋼、鋁、金屬合金、陶瓷或高溫聚合物。下底板50或可以用透明材料製作,例如石英、藍寶石或硬透明材料。下底板50的厚度為約1/16吋至約2吋,較佳約1/8吋。溝槽53、55、57的寬度為約1/2000吋至約1/16吋,較佳約1/1000吋至約1/32吋,深度則為約1/1000吋至約1/4吋,較佳約1/32吋至約1/16吋。通道54、56、58分別提供溝槽53、55、57氣體或真空, 且直徑為約1/32吋至約1/8吋。
第20圖繪示根據本發明一實施例之支撐與定位組件的透視圖,其上放置基板2。處理期間,由於氣流通過底板1的複數個穿孔9或流出底板21的溝槽25,造成位於基板2與底板1或21間的流體層抬起基板2並浮在底板1或21(第15-16圖)上方。在一實施例中,為達預定垂直位置,經由複數個穿孔9或出自溝槽25輸送流體,及經由複數個穿孔7、11或溝槽23、27排放流體。不同穿孔特性可用於真空和注入元件,以增進垂直剛性和位置準確度所需的性能。
第21圖繪示根據本發明一實施例之當作緩衝墊的流體流。流體流70例如從穿孔9或溝槽25引入,以做為氣流緩衝墊71。氣流緩衝墊71抬高基板2約1 μm至約1000 μm,較佳約5μm至約100 μm。在一實施例中,基板抬高約60 μm。氣體出口流72經由穿孔7、11或溝槽23、27離開。視情況而定,感測器(未繪示)(如光學感測器)設在底板1外面,用以偵測基板何時達預定高度(如上升處理位置或下降基板傳送位置)。
在一實施例中,設置複數個斜向穿孔可旋轉基板2;如上述第1-14圖所示,底板1或21增設斜向穿孔供氣體流貫而旋轉基板,可確保處理期間均勻加熱。在一實施例中,複數個埠口111(類似第3A-4及5B圖)設於穿孔7或溝槽23(第15圖)與熱邊緣阻障5之間,以支撐、定位或旋轉基板。因不需使用運轉部件來旋轉基板,故處理時複數個埠口111可改善基板上任何不均勻溫度分布及提供許多其他處理優點。氣 流透過第19圖下底板50的對應通道供應至底板1或21的埠口。
第22圖為根據本發明一實施例之底板90的透視圖,類似第5A圖的基板支撐件110,其繪示旋轉及定位基板2的另一方法。底板90包括氣流氣穴91、93,其埋置於底板90,用以施予基板2旋轉力量。在一實施例中,氣流氣穴91、93類似上述第3A-4及5A圖的埠口111。氣流氣穴91施予逆時針旋轉力量,氣流氣穴93施予順時針旋轉力量。各氣流氣穴相對底板90頂表面的深度為約10 μm至約30 μm。氣流氣穴91、93的寬度為約10 mm或以上,較佳約10 mm至約50 mm。在一實施例中,寬度為約35 mm。氣流氣穴91、93的長度為約10 mm或以上,較佳約10 mm至約50 mm。在一實施例中,長度為約35 mm。
氣流氣穴91、93包括溝槽92、94,其設在氣穴相對端且延伸跨及氣穴寬度。溝槽92、94相對底板90頂表面的深度為約20 μm至約80 μm。溝槽92提供流體而變成壓力「井」,溝槽94提供真空而變成低壓「井」。氣體和真空分別透過第19圖下底板50的對應通道供給溝槽92、94。在一實施例中,氣流氣穴91、93放射狀設在溝槽23、25、27之間,並用來抬起基板2及控制基板2和熱邊緣阻障5的溫度。雖然圖式繪示八個氣穴,但當可包含任何數量的氣穴。藉由分別調節供給溝槽92、94的氣流和真空壓力,可達到控制基板旋轉之目的。雖然第22圖繪示二個氣流氣穴91和二個氣流氣穴93,但當可包含任何數量的氣穴來控制基板旋轉。
底板90還可包括氣流氣穴97,用以施予橫向力量做為作用於基板2的定位力量。各氣流氣穴97相對底板90頂表面的深度為約10 μm至約30 μm。氣流氣穴97的寬度為約10 mm或以上,較佳約10 mm至約50 mm。在一實施例中,寬度為約35 mm。氣流氣穴97的長度為約10 mm或以上,較佳約10 mm至約50 mm。在一實施例中,長度為約35 mm。
氣流氣穴97包括溝槽98、99,其設在氣穴相對端且延伸跨及氣穴長度。溝槽98、99相對底板90頂表面的深度為約20 μm至約80 μm。溝槽98提供氣體而變成壓力井,溝槽99提供真空而變成低壓井。在一實施例中,如第22圖所示,溝槽由環形溝槽99組成,且氣流氣穴97自溝槽99向外延伸。在此實施例中,氣體從溝槽98流向溝槽99,因而施加力量至基板使之朝向底板90的中心。複數個氣流氣穴97經控制以將基板放在底板90的中心。雖然第22圖繪示四個氣流氣穴97,但當可包含任何數量的氣穴來控制基板旋轉。在一實施例中,溝槽98和溝槽99可顛倒設置,如此流動氣體的力量朝遠離底板90中心的方向。氣體和真空分別透過第19圖下底板50的對應通道供給溝槽98、99。
另一快速熱退火腔室構造
第23圖繪示熱處理腔室200之另一實施例的簡化截面圖,具有設備來支撐、定位及旋轉放置其內的基板。儘管所述設備在此是用於熱處理腔室200內,然設備當可用於其他基板處理系統和輔助裝置,例如用於機器人傳遞的基板支 撐平台、定向裝置、沉積腔室、蝕刻腔室、電化學製程設備和化學機械研磨裝置等,尤其是在樂見減少微粒產生的情況。熱處理腔室實例亦描述於同在申請中的美國專利申請案序號11/746,392[文件編號APPM 11194]、西元2007年5月9日申請之申請案,其一併附上供作參考。
基板2由照燈組件216輻射加熱。照燈組件216包括複數個置於水套組件的蜂巢管。各管含有反射器和鎢絲鹵素燈組件,其構成類似上述加熱組件1316的蜂巢狀管道配置。
基板2經由接取埠口230進出。在腔室內,基板2由上述支撐與定位組件10支撐。第23圖繪示腔室同時包括底板201和下底板50。底板201可為上述任一底板,例如底板1、21、90。底板201和下底板50可由透明材料構成,例如石英、藍寶石或硬透明材料。透明底板201容許設在基板2底下的照燈組件216輻射加熱基板。輻射熱穿過透明基板支撐結構而加熱基板2的底表面。
氣體入口246連接下底板50,其連接過濾器286。氣體入口246提供氣體來抬起基板2。利用真空幫浦247、248施予下底板真空。氣體和真空乃施予使提供氣體之穿孔與提供真空之穿孔間的壓力差為約5磅/平方吋至約50磅/平方吋,較佳約10磅/平方吋至約30磅/平方吋。在一實施例中,壓力差為約20磅/平方吋。用於埠口111和氣流氣穴91、93、97的氣源(未繪示)也可連接下底板50。此外,利用複數個幫浦(未繪示)施予氣流氣穴91、93、97真空。氣體和真空乃施予氣流氣穴使壓力井與低壓井間的壓力差為約5磅/平方吋至 約100磅/平方吋,較佳約10磅/平方吋至約30磅/平方吋。在一實施例中,壓力差為約20磅/平方吋。
上述基板2為鍍鎳鋁反射器平板組件222,其具光學反射塗面以增強基板2的有效發射率。光學反射塗層進一步描述於共同讓渡之美國專利證書號6,839,50,西元2005年1月5日授予Adams和Hunter之申請案,其一併附上供作參考。反射器平板組件222裝設在水冷式基底223上。氣體輸入255供應的氣體經由反射器平板的孔洞射出更可增強冷卻基板。
在設計用來處理8吋(200 mm)矽晶圓的系統中,反射器平板組件222的直徑為約8.9吋,基板2與反射器平板組件222的表面相隔約15 mm至約25 mm。在設計用來處理12吋(300 mm)矽晶圓的系統中,反射器平板組件222的直徑為約13吋,基板2與反射器平板組件222的表面相隔約20 mm至約30 mm。
基板2的局部區域溫度由複數個溫度探針224測量,其用來測量基板上不同徑向位置的基板溫度。溫度探針224經由光學埠口225(其延伸穿過反射器平板組件222的頂表面)接收來自處理腔室內部的光線。雖然處理腔室200一般約設有十個溫度探針,但第23圖僅顯示部分探針。於反射器平板表面上,各光學埠口的直徑為約0.08吋。藍寶石光管將光學埠口接收的光線傳遞至各光學偵測器(如高溫計),以測定基板2的局部區域溫度。控制器228(如類似系統控制器120)接收光學偵測器的溫度測量並控制照燈組件216的輻射輸出。 產生之反饋迴路將增進處理腔室均勻加熱基板2的能力。空氣軸承邊緣輥3裝在反射器平板組件222上。入口256供應氣體通過過濾器286而至空氣軸承邊緣輥。
為讓光學偵測器測量基板2溫度時不受漏光影響,底板1設有外環13與之黏接。在此構造中,底環製成深色以吸收照燈組件的漏光。外環13可為碳基材料,例如碳化矽。
退火處理期間,用於退火周圍(ambient)的氣體經由氣體輸入255引入處理腔室200中。周圍氣體流過基板2的頂表面,並與加熱基板反應。幫浦系統234經由周圍氣體輸出232抽出處理腔室200的過量周圍氣體和任何反應副產物。
用來抬起(透過穿孔9或溝槽25引進)、旋轉(透過埠口111、氣流氣穴91、93或空氣噴嘴引進)及定位(經由空氣軸承邊緣輥3及/或氣流氣穴97)的氣體可與做為處理氣體的氣體相同。或者,氣體可為惰性氣體,例如氮氣、氦氣、氬氣或其混合物。
一或多個感測器(未繪示)耦接腔室主體。感測器一般用來偵測基板在腔室主體之內部空間內的高度,及提供輸出指示基板與底板1之頂部間的距離。感測器也可用來偵測基板轉速,及提供輸出指示基板在支撐組件上旋轉多快。
控制器228耦接感測器、照燈和處理腔室的其他元件。控制器採用獲自感測器的位置度量來調整基板高度,如此可相對照燈組件調整放在支撐組件上之基板的高度和平面性。
氣氛控制系統亦耦接腔室主體的內部空間。氣氛控 制系統包括節流閥和幫浦系統234,以控制腔室壓力。氣氛控制系統另包括氣體輸入255來提供處理氣體或其他氣體給內部空間。氣氛控制系統一般提供用於熱沉積程序的處理氣體。
在處理腔室中支撐、定位及旋轉基板的方法儲存於控制器的記憶體,其通常當作軟體程序。軟體程序亦儲存及/或由第二CPU(未繪示)執行,其設置遠離CPU控制的硬體。
由CPU執行時,方法容許控制器128控制處理腔室內的基板定位及旋轉,而可進行熱或其他處理。雖然本發明實施例的製程說明是採用軟體程序,但所述一些方法步驟當可應用到硬體並由軟體控制器執行。因此,本發明之實施例可施行於軟體以於電腦系統上執行、施行於硬體做為特定功能積體電路或其他硬體類型、或軟體與硬體的組合。
在另一實施例中,第15-22圖所示之基板支撐單元可用於傳統腔室,其中照燈組件216設在基板2上方。
第24圖繪示根據本發明一實施例,處於裝載模式之快速熱處理腔室200之支撐與定位組件10和反射器平板組件222的截面圖。空氣軸承邊緣輥3抬高至裝載位置,使第18圖升降機33得以支撐基板2。用真空代替氣體通過入口256可抬起升降機33。空氣軸承邊緣輥3抬高至裝載位置後,透過腔室接取埠口將基板送入或移出腔室。若基板被送入腔室,則升降機33下降以定位基板成處理模式前,氣體入口246和真空幫浦247、248將開始提供流體緩衝墊。
一旦基板2處於處理模式(第25圖),則供應氣體至底板1的埠口111或提供氣流氣穴91及/或93氣體和真空, 藉以旋轉基板2。基板抵達底板1上方的預定高度且達到預定轉速和預定處理氣流後,照燈組件216開始進行基板加熱。照燈組件可運作約1/2秒至約15秒,較佳約1秒至約5秒。照燈組件的運作時間視處理基板和處理氣體化學反應而定。
藉由快速旋轉基板,可得到均勻的熱分布。另外,因基板2不接觸基板支撐面,故可保持最少的不均勻熱損失。儘管基板2接觸空氣軸承邊緣輥3的浮動套管31會造成部分熱損失,然相較於傳統基板支撐件的熱損失,此損失極微,且藉由施予氣穴97的橫向力量將基板2定位在底板90中心內,損失可降至最低。再者,因基板未接觸支撐組件,故可減少污染。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100‧‧‧支撐組件
101‧‧‧腔室
102‧‧‧壁面
103‧‧‧蓋子
104‧‧‧處理區
110‧‧‧支撐件
111‧‧‧埠口
112‧‧‧穿孔
115‧‧‧開孔
120‧‧‧控制器
121‧‧‧光源
122‧‧‧感測器
123‧‧‧感測組件
130‧‧‧流體輸送系統
131A‧‧‧流體控制裝置
132A-B‧‧‧控制閥
133A-B‧‧‧流體源
B‧‧‧流體
E‧‧‧光線
W‧‧‧基板

Claims (17)

  1. 一種用於一基板之基板支撐組件,包括:一基底,該基底設以提供一第一氣體流好提高該基板;及複數個圍繞該基底配置的空氣軸承邊緣輥與配置於該基底中的空氣摩擦氣穴,且其中各個空氣軸承邊緣輥包括一適以浮在一第二氣體流上的套管。
  2. 如請求項1所述之基板支撐組件,其中該基底包括一第一平板,該第一平板具有一第一複數個適以引導該第一氣體流的穿孔。
  3. 如請求項2所述之基板支撐組件,更包括一第二平板,該第二平板位於該第一平板下且與該第一平板接觸,其中該第二平板包括一第一導管以引導該第一氣體流至該第一複數個穿孔。
  4. 如請求項3所述之基板支撐組件,其中該第一平板更包括一第二複數個適以提供真空的穿孔。
  5. 如請求項4所述之基板支撐組件,其中該第二平板更包括一第二導管以引導真空至該第二複數個穿孔。
  6. 如請求項2所述之基板支撐組件,其中該第一平板包括一適以引導該第一氣體流的第一溝槽。
  7. 如請求項6所述之基板支撐組件,其中該第一平板包括一適以提供真空的第二溝槽。
  8. 如請求項1所述之基板支撐組件,更包括一圍繞該基底配置之熱邊緣阻障。
  9. 如請求項1所述之基板支撐組件,更包括一加熱源。
  10. 如請求項2所述之基板支撐組件,其中該第一平板包括一透明材料。
  11. 一種基板支撐件,包括:一第一平板,該第一平板具有一第一複數個與一第一氣體供應器連通之斜向穿孔,該第一複數個斜向穿孔提供一第一方向中的一旋轉氣體流。
  12. 如請求項11所述之基板支撐件,其中該第一平板具有一第二複數個與一第二氣體供應器連通之斜向穿孔,該第二複數個穿孔提供一第二方向中的一旋轉氣體流,該第二方向與該第一方向相反。
  13. 如請求項12所述之基板支撐件,其中該第一複數個斜向穿孔與該第二複數個斜向穿孔各自同心地排列。
  14. 如請求項11所述之基板支撐件,更包括複數個圍繞該基底配置之空氣軸承邊緣輥,其中各個空氣軸承邊緣輥包括一與一第三氣體供應器連通的套管。
  15. 如請求項13所述之基板支撐件,更包括一第二平板,該第二平板位於該第一平板下且與該第一平板接觸,其中該第二平板包括一或多個導管,該一或多個導管與該第一複數個斜向穿孔、該第二複數個斜向穿孔、各個空氣軸承邊緣輥之套管、該第一氣體供應器、該第二氣體供應器與該第三氣體供應器連通。
  16. 如請求項12所述之基板支撐件,其中該第一複數個斜向穿孔與該第二複數個斜向穿孔的一者提供真空。
  17. 一種用於處理一基板之腔室,包括:一加熱燈組件,該加熱燈組件設以加熱該基板;一反射器平板,該反射器平板設以反射來自該加熱燈組件的光;一基板支撐組件,該基板支撐組件位於該加熱燈組件與該反射器平板之間,其中該基板支撐組件包括:一基底,該基底設以提供一氣體流好提高並旋轉該基板;及與該基底相隔配置該基板之空氣流手段,其中該配置該基板之手段包括一橫向空氣流。
TW102101812A 2008-01-21 2009-01-17 用以在處理腔室內支撐、定位及旋轉基板的設備與方法 TWI495036B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/017,293 US8057602B2 (en) 2007-05-09 2008-01-21 Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber

Publications (2)

Publication Number Publication Date
TW201320237A TW201320237A (zh) 2013-05-16
TWI495036B true TWI495036B (zh) 2015-08-01

Family

ID=40901598

Family Applications (3)

Application Number Title Priority Date Filing Date
TW102101812A TWI495036B (zh) 2008-01-21 2009-01-17 用以在處理腔室內支撐、定位及旋轉基板的設備與方法
TW102101809A TWI430394B (zh) 2008-01-21 2009-01-17 用以在處理腔室內支撐、定位及旋轉基板的設備與方法
TW098101845A TWI399826B (zh) 2008-01-21 2009-01-17 用以在處理腔室內支撐、定位及旋轉基板的設備與方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW102101809A TWI430394B (zh) 2008-01-21 2009-01-17 用以在處理腔室內支撐、定位及旋轉基板的設備與方法
TW098101845A TWI399826B (zh) 2008-01-21 2009-01-17 用以在處理腔室內支撐、定位及旋轉基板的設備與方法

Country Status (5)

Country Link
US (1) US8057602B2 (zh)
KR (1) KR101633056B1 (zh)
CN (1) CN101911281B (zh)
TW (3) TWI495036B (zh)
WO (1) WO2009094275A2 (zh)

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1865354B1 (en) * 2005-03-17 2016-03-16 Hamamatsu Photonics K.K. Microscopic image capturing device
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US7513410B2 (en) * 2007-06-11 2009-04-07 International Business Machines Corporation Air bearing gap control for injection molded solder heads
US8367981B2 (en) * 2008-05-15 2013-02-05 Macronix International Co., Ltd. Baking apparatus, baking method and method of reducing gap width
US12018857B2 (en) 2008-06-13 2024-06-25 Kateeva, Inc. Gas enclosure assembly and system
US12064979B2 (en) 2008-06-13 2024-08-20 Kateeva, Inc. Low-particle gas enclosure systems and methods
US10434804B2 (en) 2008-06-13 2019-10-08 Kateeva, Inc. Low particle gas enclosure systems and methods
US11975546B2 (en) 2008-06-13 2024-05-07 Kateeva, Inc. Gas enclosure assembly and system
TWI505370B (zh) * 2008-11-06 2015-10-21 Applied Materials Inc 含有微定位系統之快速熱處理腔室與處理基材之方法
US8314371B2 (en) * 2008-11-06 2012-11-20 Applied Materials, Inc. Rapid thermal processing chamber with micro-positioning system
TWI418047B (zh) * 2009-01-07 2013-12-01 Ind Tech Res Inst Ib-iiia-via2化合物半導體薄膜之製造裝置
US20100193154A1 (en) * 2009-01-28 2010-08-05 Applied Materials, Inc. Rapid cooling of a substrate by motion
US8135560B2 (en) * 2009-01-30 2012-03-13 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
US8388853B2 (en) * 2009-02-11 2013-03-05 Applied Materials, Inc. Non-contact substrate processing
US9685186B2 (en) * 2009-02-27 2017-06-20 Applied Materials, Inc. HDD pattern implant system
US9282592B2 (en) 2009-02-27 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Rotatable heating-cooling plate and element in proximity thereto
US8461022B2 (en) * 2009-04-20 2013-06-11 Applied Materials, Inc. Methods and apparatus for aligning a substrate in a process chamber
EP2281921A1 (en) * 2009-07-30 2011-02-09 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition.
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8642480B2 (en) * 2009-12-15 2014-02-04 Lam Research Corporation Adjusting substrate temperature to improve CD uniformity
US20120237695A1 (en) * 2009-12-23 2012-09-20 2-Pye Solar, LLC Method and apparatus for depositing a thin film
CN102315106B (zh) * 2010-07-08 2013-09-04 中芯国际集成电路制造(上海)有限公司 一种激光热退火方法
JP5614326B2 (ja) * 2010-08-20 2014-10-29 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びその基板搬送方法を実行させるためのプログラムを記録した記録媒体
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8834073B2 (en) * 2010-10-29 2014-09-16 Corning Incorporated Transport apparatus having a measuring system and methods therefor
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
CN102618934B (zh) * 2011-01-27 2015-08-26 无锡华润上华科技有限公司 一种减少快速热退火处理装置内腔的颗粒产生的方法
US20120309115A1 (en) * 2011-06-02 2012-12-06 Applied Materials, Inc. Apparatus and methods for supporting and controlling a substrate
US9245786B2 (en) * 2011-06-02 2016-01-26 Applied Materials, Inc. Apparatus and methods for positioning a substrate using capacitive sensors
KR101830976B1 (ko) * 2011-06-30 2018-02-22 삼성디스플레이 주식회사 원자층 증착장치
KR102059141B1 (ko) 2011-08-16 2019-12-24 어플라이드 머티어리얼스, 인코포레이티드 챔버 내의 기판을 감지하기 위한 방법들 및 장치
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US9640383B2 (en) * 2011-08-26 2017-05-02 Tokyo Electron Limited Liquid treatment apparatus and liquid treatment method
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
KR101829676B1 (ko) * 2011-12-29 2018-02-20 삼성전자주식회사 웨이퍼 열 처리 방법
US8980767B2 (en) * 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
DE102012101923B4 (de) * 2012-03-07 2019-11-07 Osram Opto Semiconductors Gmbh Substratträgeranordnung, Beschichtungsanlage mit Substratträgeranordnung und Verfahren zur Durchführung eines Beschichtungsverfahrens
CN102637594B (zh) * 2012-03-19 2017-08-22 晶能光电(江西)有限公司 对外延片进行退火合金的装置及方法
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
CN104137249B (zh) * 2012-04-25 2017-11-14 应用材料公司 晶片边缘的测量和控制
US10174422B2 (en) 2012-10-25 2019-01-08 Applied Materials, Inc. Apparatus for selective gas injection and extraction
JP6198840B2 (ja) * 2012-11-27 2017-09-20 エーシーエム リサーチ (シャンハイ) インコーポレーテッド 基板支持装置
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
JP2014165217A (ja) * 2013-02-21 2014-09-08 Tokyo Electron Ltd 基板搬送装置および剥離システム
US9443714B2 (en) * 2013-03-05 2016-09-13 Applied Materials, Inc. Methods and apparatus for substrate edge cleaning
JP5843292B2 (ja) * 2013-03-21 2016-01-13 株式会社日本製鋼所 アニール処理半導体基板の製造方法、走査装置およびレーザ処理装置
TWI514505B (zh) * 2013-06-04 2015-12-21 Motech Ind Inc 定位裝置
JP6340693B2 (ja) * 2013-07-18 2018-06-13 株式会社ブイ・テクノロジー 基板の保持装置及び密着露光装置並びに近接露光装置
US9748118B2 (en) * 2013-07-31 2017-08-29 Semes Co., Ltd. Substrate treating apparatus
CN103632998B (zh) * 2013-11-22 2016-05-04 沈阳拓荆科技有限公司 等离子体处理装置
US9334566B2 (en) * 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
CN104716071B (zh) * 2013-12-12 2018-08-24 北京北方华创微电子装备有限公司 一种加热腔室
CN111490185A (zh) * 2013-12-26 2020-08-04 科迪华公司 电子装置的热加工
US9287151B2 (en) * 2014-01-10 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd Systems and method for transferring a semiconductor substrate
US9343678B2 (en) 2014-01-21 2016-05-17 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
CN107256840B (zh) * 2014-01-21 2019-05-31 科迪华公司 用于电子装置封装的设备和技术
DE102014104356A1 (de) 2014-03-28 2015-10-01 Lobbe Industrieservice Gmbh & Co Kg Verfahren und Vorrichtung zum Reinigen von Rohrbündeln
TW201603164A (zh) * 2014-04-01 2016-01-16 恩特格林斯公司 加熱靜電卡盤
EP3882961B1 (en) * 2014-04-30 2023-07-26 Kateeva, Inc. Gas cushion apparatus and techniques for substrate coating
US9543171B2 (en) 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
JP5800969B1 (ja) * 2014-08-27 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
CN104377158A (zh) * 2014-11-17 2015-02-25 上海华力微电子有限公司 一种激光退火机台的加热承载平台
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US9528184B2 (en) 2015-02-13 2016-12-27 Eastman Kodak Company Atomic-layer deposition method using compound gas jet
US9499908B2 (en) 2015-02-13 2016-11-22 Eastman Kodak Company Atomic layer deposition apparatus
US9506147B2 (en) 2015-02-13 2016-11-29 Eastman Kodak Company Atomic-layer deposition apparatus using compound gas jet
US9499906B2 (en) 2015-02-13 2016-11-22 Eastman Kodak Company Coating substrate using bernoulli atomic-layer deposition
US10597779B2 (en) 2015-06-05 2020-03-24 Applied Materials, Inc. Susceptor position and rational apparatus and methods of use
CN107735857B (zh) * 2015-06-05 2022-01-11 应用材料公司 基座定位及旋转设备及使用方法
KR102323363B1 (ko) * 2015-06-05 2021-11-09 어플라이드 머티어리얼스, 인코포레이티드 기판 온도 불균일성을 감소시키기 위한 개선된 장치
TWI574342B (zh) * 2015-06-12 2017-03-11 漢民科技股份有限公司 自動化處理方法
JP6373803B2 (ja) * 2015-06-23 2018-08-15 東京エレクトロン株式会社 基板処理装置、基板処理方法および記憶媒体
US10269557B2 (en) * 2015-10-20 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus of processing semiconductor substrate
US10163732B2 (en) * 2015-10-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Moving pyrometer for use with a substrate chamber
DE102015016002A1 (de) * 2015-12-10 2017-06-14 Centrotherm Photovoltaics Ag Verfahren und Vorrichtung zum thermischen Behandeln von Substraten sowie Aufnahmeeinheit für Substrate
JP6577385B2 (ja) * 2016-02-12 2019-09-18 株式会社荏原製作所 基板保持モジュール、基板処理装置、および基板処理方法
US20170278730A1 (en) * 2016-03-28 2017-09-28 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
JP6877133B2 (ja) * 2016-03-28 2021-05-26 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US10312121B2 (en) * 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10438860B2 (en) * 2016-04-22 2019-10-08 Applied Materials, Inc. Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
MY191024A (en) * 2016-04-27 2022-05-29 Mit Semiconductor Pte Ltd Transfer system for flipping and multiple checking of electronic devices
US10260149B2 (en) * 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
US10501844B2 (en) 2016-07-25 2019-12-10 Applied Materials, Inc. Fine leveling of large carousel based susceptor
JP6742849B2 (ja) * 2016-07-29 2020-08-19 株式会社アルバック 基板搬送ロボット、真空処理装置
WO2018028873A1 (en) 2016-08-09 2018-02-15 Singulus Technologies Ag A non-contact substrate carrier for simultaneous rotation and levitation of a substrate
JP6786307B2 (ja) * 2016-08-29 2020-11-18 株式会社ニューフレアテクノロジー 気相成長方法
KR102518220B1 (ko) 2016-11-09 2023-04-04 티이엘 매뉴팩처링 앤드 엔지니어링 오브 아메리카, 인크. 공정 챔버에서 마이크로전자 기판을 처리하기 위한 자기적으로 부상되고 회전되는 척
TWI765936B (zh) 2016-11-29 2022-06-01 美商東京威力科創Fsi股份有限公司 用以對處理腔室中之微電子基板進行處理的平移與旋轉夾頭
JP7110195B2 (ja) 2016-12-07 2022-08-01 ティーイーエル マニュファクチュアリング アンド エンジニアリング オブ アメリカ,インコーポレイテッド 半導体デバイスを製造するためのウェハエッジ・リフトピンの設計
WO2018140789A1 (en) * 2017-01-27 2018-08-02 Tel Fsi, Inc. Systems and methods for rotating and translating a substrate in a process chamber
KR102322767B1 (ko) 2017-03-10 2021-11-08 삼성디스플레이 주식회사 기판과 스테이지 간의 분리 기구가 개선된 기판 처리 장치 및 그것을 이용한 기판 처리 방법
US9889995B1 (en) * 2017-03-15 2018-02-13 Core Flow Ltd. Noncontact support platform with blockage detection
US10658204B2 (en) * 2017-08-08 2020-05-19 Lam Research Ag Spin chuck with concentrated center and radial heating
JP6942615B2 (ja) 2017-11-20 2021-09-29 株式会社Screenホールディングス 熱処理方法および熱処理装置
CN108203817B (zh) * 2018-01-29 2020-01-10 福州京东方光电科技有限公司 Pecvd反应腔以及用于pecvd反应腔的支撑针
WO2019161328A1 (en) 2018-02-19 2019-08-22 Tel Fsi, Inc. Microelectronic treatment system having treatment spray with controllable beam size
US11594445B2 (en) 2018-03-13 2023-02-28 Applied Materials, Inc. Support ring with plasma spray coating
JP7178177B2 (ja) * 2018-03-22 2022-11-25 東京エレクトロン株式会社 基板処理装置
CN108648989B (zh) * 2018-05-16 2020-12-25 福建北电新材料科技有限公司 一种单晶碳化硅衬底晶片清洗方法
US11456203B2 (en) 2018-07-13 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd Wafer release mechanism
US11545387B2 (en) 2018-07-13 2023-01-03 Tel Manufacturing And Engineering Of America, Inc. Magnetic integrated lift pin system for a chemical processing chamber
WO2020023409A1 (en) * 2018-07-24 2020-01-30 Applied Materials, Inc. Optically transparent pedestal for fluidly supporting a substrate
US11127583B2 (en) * 2018-08-17 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating semiconductor substrate
US11004711B2 (en) * 2018-08-17 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Automated wafer monitoring
JP6979935B2 (ja) * 2018-10-24 2021-12-15 三菱電機株式会社 半導体製造装置および半導体製造方法
JP7035996B2 (ja) * 2018-12-25 2022-03-15 株式会社Sumco 気相成長装置およびエピタキシャルシリコンウェーハの製造方法
CN113994463A (zh) * 2019-05-16 2022-01-28 应用材料公司 用于使基板背侧损伤最小化的方法和设备
JP6987821B2 (ja) * 2019-09-26 2022-01-05 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US20230009873A1 (en) * 2019-11-27 2023-01-12 The Texas A&M University System Nanopositioning systems and associated methods
US10925146B1 (en) * 2019-12-17 2021-02-16 Applied Materials, Inc. Ion source chamber with embedded heater
KR20210084892A (ko) * 2019-12-30 2021-07-08 (주)에이엔에이치 기판 처리장치의 서셉터 오토 레벨링 장치
KR20220067988A (ko) * 2020-11-18 2022-05-25 주식회사 원익아이피에스 기판 지지 조립체 및 기판 처리 장치
KR102656188B1 (ko) * 2022-02-21 2024-04-11 (주)디바이스이엔지 기판 식각 처리장치 및 기판 가장자리의 식각 제어 방법
US20230268221A1 (en) * 2022-02-21 2023-08-24 Deviceeng Co., Ltd. Device for etching the periphery edge of a substrate
US20240027295A1 (en) * 2022-07-19 2024-01-25 Applied Materials, Inc. Method and apparatus for lamp housing crack detection
TW202405996A (zh) * 2022-07-27 2024-02-01 美商應用材料股份有限公司 用於自動校正基板未對準的系統及方法
CN117316833A (zh) * 2023-11-28 2023-12-29 成都莱普科技股份有限公司 半导体晶圆热处理装置及热处理方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI430394B (zh) * 2008-01-21 2014-03-11 Applied Materials Inc 用以在處理腔室內支撐、定位及旋轉基板的設備與方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS50122879A (zh) * 1974-03-13 1975-09-26
NL8103979A (nl) * 1981-08-26 1983-03-16 Bok Edward Methode en inrichting voor het aanbrengen van een film vloeibaar medium op een substraat.
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
NL8401776A (nl) * 1984-06-04 1986-01-02 Bok Edward Verbeterde double-floating wafer transport/processing installatie.
US4544446A (en) * 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
US5194406A (en) * 1988-12-01 1993-03-16 Edward Bok Installation for transport and processing under a pulsating double-floating condition
US4922853A (en) * 1989-05-16 1990-05-08 Libbey-Owens-Ford Co. Stripe coating on glass by chemical vapor deposition
JP2773934B2 (ja) * 1989-11-17 1998-07-09 古河電気工業株式会社 半導体ウエハの成膜装置
JP2583152B2 (ja) * 1990-11-06 1997-02-19 大日本スクリーン製造株式会社 基板回転式表面処理方法
US5226383A (en) * 1992-03-12 1993-07-13 Bell Communications Research, Inc. Gas foil rotating substrate holder
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
JP2762022B2 (ja) * 1993-08-25 1998-06-04 日本エー・エス・エム株式会社 Cvd装置に使用する回転機構、およびこの機構を利用して被処理体の温度を制御する方法
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
EP1036406B1 (en) * 1997-11-03 2003-04-02 ASM America, Inc. Improved low mass wafer support system
US6005226A (en) * 1997-11-24 1999-12-21 Steag-Rtp Systems Rapid thermal processing (RTP) system with gas driven rotating substrate
US6267642B1 (en) * 1998-02-14 2001-07-31 Lam Research Corporation Sensing the presence of a wafer
US5997963A (en) * 1998-05-05 1999-12-07 Ultratech Stepper, Inc. Microchamber
DE59900743D1 (de) * 1999-04-28 2002-02-28 Sez Semiconduct Equip Zubehoer Vorrichtung und Verfahren zur Flüssigkeitsbehandlung von scheibenförmigen Gegenständen
US6402843B1 (en) * 1999-12-07 2002-06-11 Trusi Technologies, Llc Non-contact workpiece holder
KR20020015028A (ko) * 2000-02-08 2002-02-27 모리시타 요이찌 램프어닐장치 및 표시소자용 기판
US6720263B2 (en) * 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
TWI261875B (en) * 2002-01-30 2006-09-11 Tokyo Electron Ltd Processing apparatus and substrate processing method
US6839507B2 (en) * 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
WO2004090339A2 (en) * 2003-04-03 2004-10-21 S. C. Fluids, Inc. Method and apparatus for rotation of a workpiece in supercritical fluid solutions for removing photo resist, residues and particles therefrom
US6883250B1 (en) * 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
US20050126605A1 (en) * 2003-12-15 2005-06-16 Coreflow Scientific Solutions Ltd. Apparatus and method for cleaning surfaces

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI430394B (zh) * 2008-01-21 2014-03-11 Applied Materials Inc 用以在處理腔室內支撐、定位及旋轉基板的設備與方法

Also Published As

Publication number Publication date
CN101911281A (zh) 2010-12-08
US20080280453A1 (en) 2008-11-13
TW201320236A (zh) 2013-05-16
KR20100111298A (ko) 2010-10-14
TW200943472A (en) 2009-10-16
WO2009094275A2 (en) 2009-07-30
CN101911281B (zh) 2012-08-22
TWI399826B (zh) 2013-06-21
TW201320237A (zh) 2013-05-16
TWI430394B (zh) 2014-03-11
WO2009094275A3 (en) 2009-10-29
US8057602B2 (en) 2011-11-15
KR101633056B1 (ko) 2016-06-23

Similar Documents

Publication Publication Date Title
TWI495036B (zh) 用以在處理腔室內支撐、定位及旋轉基板的設備與方法
TWI488256B (zh) 非接觸性基板處理
CN101663101B (zh) 用以在处理腔室内支撑、定位及旋转基板的设备与方法
EP2311076B1 (en) Rapid thermal processing chamber with shower head
TWI517252B (zh) 使用微環境之熱處理加工裝置
US20190211446A1 (en) A Non-Contact Substrate Carrier for Simultaneous Rotation and Levitation of a Substrate
US6861321B2 (en) Method of loading a wafer onto a wafer holder to reduce thermal shock
KR100935290B1 (ko) 기판 처리 장치 및 반도체 장치 제조 방법
JPH0766142A (ja) Cvd装置に使用する回転機構、およびこの機構を利用して被処理体の温度を制御する方法
JP2007088176A (ja) 基板処理装置及び半導体装置の製造方法
JP2005259902A (ja) 基板処理装置
JP2006303289A (ja) 基板処理装置
KR20220136563A (ko) 탄화 규소 부재의 제조 장치, 탄화 규소의 부재 제조 방법, 및 포커스 링의 제조 방법
JP2005197542A (ja) 基板処理装置
JP2009088354A (ja) 基板処理装置、ランプユニット及び半導体装置の製造方法
JP2006045635A (ja) 基板処理装置
JP2006216813A (ja) 基板処理装置