CN101663101B - 用以在处理腔室内支撑、定位及旋转基板的设备与方法 - Google Patents

用以在处理腔室内支撑、定位及旋转基板的设备与方法 Download PDF

Info

Publication number
CN101663101B
CN101663101B CN2008800129212A CN200880012921A CN101663101B CN 101663101 B CN101663101 B CN 101663101B CN 2008800129212 A CN2008800129212 A CN 2008800129212A CN 200880012921 A CN200880012921 A CN 200880012921A CN 101663101 B CN101663101 B CN 101663101B
Authority
CN
China
Prior art keywords
substrate
base plate
air
perforation
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008800129212A
Other languages
English (en)
Other versions
CN101663101A (zh
Inventor
布莱克·凯尔梅尔
亚历山大·N·勒纳
约瑟夫·M·拉内什
凯达尔纳什·桑格姆
库赫斯特·索瑞伯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201310043222.7A priority Critical patent/CN103151290B/zh
Publication of CN101663101A publication Critical patent/CN101663101A/zh
Application granted granted Critical
Publication of CN101663101B publication Critical patent/CN101663101B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明提供支撑、定位及旋转基板的设备与方法。一实施例中,支撑基板的支撑组件包括上底板与下底板。基板浮动于上底板上方的气体薄层上。定位组件包括多个空气轴承边缘辊或气流气穴,用于以要求的方向在上底板上方内定位基板。多个斜向穿孔或气流气穴设于上底板中以让气体流过好旋转基板以确保处理过程的均匀加热。

Description

用以在处理腔室内支撑、定位及旋转基板的设备与方法
技术领域
本发明大体上是有关半导体处理领域,且特别是关于半导体组件生产期间在处理腔室中支撑、定位或旋转基板的设备和方法。
背景技术
集成电路已发展成复杂装置,在集成电路的单一芯片上包括数百万个晶体管、电容器和电阻器。芯片设计不断演进而需要更快的电路和更高的电路密度,以满足越来越多的精密生产工艺需求。一种常用的生产工艺为离子注入。
离子注入在形成晶体管结构至半导体方面尤其重要,且可在芯片制造期间实行多次。离子注入时,硅基板受带电离子束(一般称为掺杂物)轰击。注入改变被注入了掺杂物的材料的性质,以获得特殊电性能等级。控制投射基板的能量束的离子数量和基板通过能量束的次数,可决定掺杂物浓度。能量束大小通常决定掺杂物置入深度。掺杂物经加速达容许掺杂物穿过或注入薄膜至预定深度的能量大小。
离子注入时,经注入的薄膜常产生大量的内应力。为释放应力及进一步控制注入形成的薄膜性质,薄膜一般经热处理,例如退火。离子注入后退火一般是在快速热处理(RTP)腔室中进行,RTP腔室使基板经非常短暂、但高度控制的热循环处理,以在10秒内从室温加热基板到超过1000℃。RTP释放注入引起的应力,并进一步改变薄膜性质,例如改变薄膜电性质。
一般来说,RTP腔室包括辐射热源或灯、腔室主体和基板支撑环。灯一般装设在腔室主体顶表面,让灯产生的辐射能量照射腔室主体内支撑环支撑的基板。石英窗口一般置于腔室主体顶表面,以协助能量传递于灯与基板之间。支撑环一般包含碳化硅,且从腔室主体底部延伸而利用支撑环的外缘支撑基板。外接马达用来转动基板和支撑环,以补偿灯产生的辐射能量照射整个基板表面的差异,以免基板加热不均匀。一般来说,RTP工艺是在减小的压力下进行,以减少基板的微粒和化学剂污染。
虽然RTP工艺可快速加热及冷却基板,但RTP工艺常会加热整个基板厚度。基板表面各处加热不均匀是RTP或其它传统基板加热工艺常面临的问题。例如,支撑环接触基板外缘的区域常发生温度差异。辐射加热源照射基板顶表面(基板顶表面的不同表面区段包括不同装置材料)也会造成基板温度差异。不同装置材料在不同温度下的发射率范围广泛。再者,用来支撑与旋转基板与组件的轴承是基板污染与微粒产生的可能来源。
因此,需有改良系统,以于退火处理期间支撑、定位或旋转基板,而不需直接接触基板。
发明内容
大致上提出用于支撑、定位与旋转基板的设备与方法。一实施例中,提出基板支撑组件。组件包括设以提供第一气流以提高基板的底板、至少部分围绕基板的温度受控的热边缘阻挡件、及让基板置于热边缘阻挡件内的通路。底板可由诸如石英或蓝宝石的透明材料构成。底板可具有用以引导第一气流的多个第一穿孔。组件还可包括置于底板下方且与底板接触的下底板,且下底板可具有第一通道以引导第一气流至多个穿孔。可通过多个第二穿孔提供真空,而下底板可具有第二通道以引导真空至多个第二穿孔。沟槽还可用来引导第一气流与提供真空。用于定位基板的装置可包括多个空气轴承边缘辊与气穴,空气轴承边缘辊可具有用以浮动于第二气流上的套管,而气穴可具有高压井(well)与低压井。底板还可具有多个第一斜向穿孔与多个第二斜向穿孔,多个第一斜向穿孔用以引导第三气流来旋转基板,而多个第二斜向穿孔以相对角度倾斜并用以引导第四气流。还可通过应用气流气穴来取得基板的旋转,气流气穴可具有高压井与低压井。
另一实施例中,提出一种处理腔室。处理腔室包括设以加热基板的加热灯组件、设以反射来自加热灯组件的光线的反射器平板、及配置于加热灯组件与反射器平板之间的基板支撑组件。基板支撑组件包括设以提供第一气流以提高基板的底板、至少部分围绕基板的热边缘阻挡件、及让基板置于热边缘阻挡件内的通路。底板可由诸如石英或蓝宝石的透明材料构成。底板可具有用以引导第一气流的多个第一穿孔。组件还可包括置于底板下方且与底板接触的下底板,且下底板可具有第一通道以引导第一气流至多个穿孔。可通过多个第二穿孔提供真空,而下底板可具有第二通道以引导真空至多个第二穿孔。沟槽还可用来引导第一气流与提供真空。用于定位基板的装置可包括多个空气轴承边缘辊与气穴,空气轴承边缘辊可具有用以浮动于第二气流上的套管,而气穴可具有高压井与低压井。底板还可具有多个第一斜向穿孔与多个第二斜向穿孔,多个第一斜向穿孔用以引导第三气流来旋转基板,而多个第二斜向穿孔以相对角度倾斜并用以引导第四气流。还可通过应用气流气穴来取得基板的旋转,气流气穴可具有高压井与低压井。
另一实施例中,提出一种用以支撑、定位与旋转基板处理腔室中的基板的方法。该方法包括供应第一气体至基板支撑组件以提高基板于气流上、限制提高的基板于边界内、并供应第二气体以旋转基板。还可供应真空至基板支撑组件。第一气体可流过配置于底板中的多个第一穿孔,而真空可通过配置于底板中的多个第二穿孔而加以提供。多个第一穿孔与多个第二穿孔之间的压力差可介于约5PSI与约50PSI、或介于约10PSI与约30PSI之间。第二气体可通过空气喷嘴或气流气穴而流过配置于底板中的多个第一斜向穿孔。应用多个空气轴承边缘辊或气流气穴可限制提高的基板。
附图说明
为让本发明的上述特征更明显易懂,可配合参考实施例说明,部分特征绘示如附图。须注意的是,虽然附图揭露本发明特定实施例,但附图并非用以限定本发明的精神与范围,任何本领域技术人员,当可作各种的更动与润饰而得其它等效实施例。
图1描绘根据本发明一实施例的基板支撑与定位组件的透视图;
图2描绘根据本发明一实施例的基板支撑与定位组件的透视图;
图3描绘根据本发明一实施例的配置于支撑与定位组件上的热边缘阻挡件的部分剖面图;
图4描绘根据本发明一实施例的空气轴承边缘辊的透视图;
图5描绘根据本发明一实施例的下底板的俯视图;
图6描绘根据本发明一实施例的具有基板于其上的支撑与定位组件的透视图;
图7描绘根据本发明一实施例的底板上方的基板的提高;
图8描绘根据本发明一实施例的底板的透视图;
图9描绘根据本发明一实施例的底板的透视图;
图10描绘根据本发明一实施例的旋转力;
图11描绘根据本发明一实施例的处理腔室的剖面图;
图12描绘根据本发明一实施例的处于负载模式中的支撑组件的侧视图;
图13描绘根据本发明一实施例的处于处理模式中的支撑组件的侧视图;
为助于了解,尽可能用相同的组件符号代表各图中相同的组件。
具体实施方式
图1绘示根据本发明一实施例的基板支撑与定位组件10的透视图。支撑与定位组件10包括底板1,底板1上设置多个空气轴承边缘辊3和热边缘阻挡件5。底板1为环形主体,且包括多个穿孔供气体流贯而抬起基板。还设有穿孔来提供真空。在一实施例中,多个穿孔以同心圆方式排列而在底板1上形成三个穿孔圆圈。在一实施例中,外圈穿孔7提供真空,中间圆圈的穿孔9提供气体,内圈穿孔11提供真空。任何数量的穿孔圆圈和提供真空与气体的穿孔构造皆可采用。穿孔7、9、11的直径为约1/2000英寸至约1/16英寸,较佳约1/1000英寸至约1/32英寸。底板1可以用适当减少刮划、化学或物理污染及/或毁损基板的材料制作,例如不锈钢、铝、金属合金、陶瓷或高温聚合物。底板1或可以用透明材料制作,例如石英、蓝宝石或硬透明矿物。底板1的厚度为约1/16英寸至约2英寸,较佳约1/8英寸。穿孔7、9、11处可反向地钻孔,以将穿孔处的底板1厚度缩减成能激光钻孔或微机械加工穿孔7、9、11的厚度。外环13黏接于底板1。外环13可为碳基材料,例如碳化硅,碳基材料直接以涂层构成涂布在底板1的上表面。视情况而定,外环13可以用减少刮划基板的材料制作,例如碳化硅,且外环利用黏着或接合耦接底板1的上表面。
图2绘示根据本发明另一实施例的基板支撑与定位组件20的透视图。支撑与定位组件20包括底板21,底板21上设置多个空气轴承边缘辊3和热边缘阻挡件5。底板21为环形主体,且包括一个或多个环形沟槽25供气体流贯而抬起基板。还存在有一个或多个用于提供真空的环形沟槽23、27。在一实施例中,沟槽以同心圆方式排列在底板21上。在一实施例中,外沟槽23提供真空,中间沟槽25提供气体,内部沟槽27提供真空。任何数量的沟槽和提供真空与气体的沟槽构造皆可采用。底板21可以用适当减少刮划、化学或物理污染及/或毁损基板的材料制作,例如不锈钢、铝、金属合金、陶瓷或高温聚合物。底板21或可以用透明材料制作,例如石英、蓝宝石或硬透明矿物。底板21的厚度为约1/16英寸至约2英寸,较佳约1/8英寸。沟槽23、25、27的宽度为约1/2000英寸至约1/16英寸,较佳约1/1000英寸至约1/32英寸,深度则为约1/1000英寸至约1/4英寸,较佳约1/32英寸至约1/16英寸。通道24、26、28分别提供沟槽23、25、27气体或真空,且直径为约1/32英寸至约1/8英寸。
图3为根据本发明一实施例的支撑与定位组件的局部截面图,图3显示了热边缘阻挡件5装设在底板1或21的顶部。热边缘阻挡件5为环状外形的环形主体,该环形主体至少部分环绕基板2及限制基板在环形主体的边界内移动。热边缘阻挡件5可设置及固定于底板的环形沟槽15。热边缘阻挡件5可利用激光加热、专用灯区域或DC加热控制温度。热边缘阻挡件5可以用能减少刮划、化学或物理污染及/或毁损基板的材料制作,例如碳化硅、不锈钢、铝、陶瓷或高温聚合物。或者,使用与底板相同的材料将热边缘阻挡件与底板制作成单一构件。热边缘阻挡件5的内径比处理基板的直径大约1%至约20%,较佳约2%至约5%。
图4绘示空气轴承边缘辊3的一实施例。空气轴承边缘辊用来定位基板2,又不会让基板接触热边缘阻挡件5。空气轴承边缘辊3安置在沟槽17的底板上,且可以用能减少刮划、化学或物理污染及/或毁损基板的材料制作,例如高温聚合物、花岗岩或铝。浮动套管31环绕空气轴承辊3。浮动套管31置于空气轴承轴头或升降机33(于装载模式与处理模式间抬起基板2)顶部。空气轴承边缘辊3设置使浮动套管31的部分外缘与热边缘阻挡件5同轴、或略微放射突出热边缘阻挡件5,并界定图1及2所示的边界。浮动套管31的外径为约5mm至约150mm,较佳约20mm至约50mm,且可由轻质材料构成,例如蓝宝石或石英。气流通道34均等相隔并流进气体来抬起浮动套管31,如此浮动套管受到最小磨擦力而可自由旋转。视情况而定,多个空气销(较佳三个)用来在热边缘阻挡件内定位基板。
图5绘示根据本发明一实施例的下底板50的俯视图。下底板50为环形主体,且包括一个或多个环形沟槽53、55、57。下底板可配合底板1使用,并设有通道供应气体和真空给底板1的穿孔。下底板设在下方且接触底板1,使得环形沟槽55对准图1中间圆圈的穿孔9。环形沟槽55和底板1定义导管供气体流向穿孔9。还设置一个或多个环形沟槽53、57提供真空。环形沟槽53对准外圈穿孔7,环形沟槽57对准内圈穿孔11。在一实施例中,外部沟槽53自通道54提供真空,中间沟槽55自通道56提供气体,内部沟槽57自通道58提供真空。任何数量的沟槽和提供真空与气体的沟槽构造皆可采用。下底板50可以用适当减少刮划、化学或物理污染及/或毁损基板的材料制作,例如不锈钢、铝、金属合金、陶瓷或高温聚合物。下底板50或可以用透明材料制作,例如石英、蓝宝石或硬透明材料。下底板50的厚度为约1/16英寸至约2英寸,较佳约1/8英寸。沟槽53、55、57的宽度为约1/2000英寸至约1/16英寸,较佳约1/1000英寸至约1/32英寸,深度则为约1/1000英寸至约1/4英寸,较佳约1/32英寸至约1/16英寸。通道54、56、58分别提供沟槽53、55、57气体或真空,且直径为约1/32英寸至约1/8英寸。
图6绘示根据本发明一实施例的支撑与定位组件的透视图,该支撑与定位组件上有基板2。当活动时,由于下气流通过底板1上的多个穿孔9或流出底板21上的沟槽25,造成位于基板与底板间产生的空气轴承或空气层抬起基板2并浮在底板上方。为达预定垂直位置,通过具有经过多个穿孔9或出自沟槽25的气体注入,及经由多个穿孔7、11或沟槽23、27的气体真空两者来施加真空预先装载的微米气体缓冲垫。不同穿孔特性可用于真空和注入组件,以增进垂直刚性和位置准确度所需的性能。
图7绘示根据本发明一实施例的当作气体缓冲垫的气体流。气体流70例如从穿孔9或沟槽25引入,以做为气流缓冲垫71。气流缓冲垫71抬高基板2约1μm至约1000μm,较佳约5μm至约100μm。在一实施例中,基板抬高约60μm。气体出口流72经由穿孔7、11或沟槽23、27离开。视情况而定,传感器(未绘示)(如光学传感器)设在底板1外面,用以侦测基板何时达预定高度(如上升处理位置或下降基板传送位置)。
在一实施例中,设置多个斜向穿孔可旋转基板2;如图8所示,底板1或21增设斜向穿孔供气体流贯而旋转基板,可确保处理期间均匀加热。多个斜向穿孔可以要求的距离均等地以环状外形间隔于底板上,并可配置于底板中心与穿孔7或沟槽23的圆圈之间。或者,多个斜向穿孔81可设于穿孔7或沟槽23与热边缘阻挡件5之间。因不需使用运转部件来旋转基板,故处理时多个斜向穿孔81能够使基板上任何不均匀温度分布平缓或更均匀及提供许多其它处理优点。可通过流过斜向穿孔82的反向气流控制、减缓、或停止基板转动,斜向穿孔82与斜向穿孔81以相反角度倾斜。如参照图5所述般通过下底板50中的对应通道供应气体至斜向穿孔。另一实施例中,一个或更多空气喷嘴用以旋转基板。空气喷嘴可位于接近基板边缘。斜向穿孔81与82的直径介于约1/2000英寸与约1/16英寸、较佳介于约1/1000英寸与约1/32英寸之间。穿孔相对于底板1或21的顶部表面的倾斜角度介于约10°与约80°、较佳介于约30°与约60°之间。虽然图8中绘示三个斜向穿孔81与两个斜向穿孔82,但可思及提供基板的旋转控制所需任何数目的上述穿孔。
图9绘示根据本发明一实施例显示旋转及定位基板2的另一方法的底板90的透视图。底板90包括气流气穴91、93,气流气穴91、93埋置于底板90,用以给基板2施加旋转力。气流气穴91施加逆时针旋转力,气流气穴93施加顺时针旋转力。各气流气穴相对底板90顶表面的深度为约10μm至约30μm。气流气穴91、93的宽度为约10mm或以上,较佳约10mm至约50mm。在一实施例中,宽度为约35mm。气流气穴91、93的长度为约10mm或以上,较佳约10mm至约50mm。在一实施例中,长度为约35mm。
气流气穴91、93包括沟槽92、94,沟槽92、94设在气穴相对长度且延伸跨及气穴宽度。沟槽92、94相对底板90顶表面的深度为约20μm至约80μm。沟槽92提供气体而变成压力「井」,沟槽94提供真空而变成低压「井」。气体和真空分别通过图5下底板50的对应通道供给沟槽92、94。在一实施例中,气流气穴91、93放射状设在沟槽23、25、27之间,并用来提供基板2提高及温度受控的热边缘阻挡件5。
图10绘示根据本发明实施例的气流气穴91的剖面图。可以箭头96方向自沟槽92释出气流。最小阻力的路径可以箭头98方向引导气体至沟槽94并以箭头99方向离开沟槽94。箭头98方向中的气流移动会产生摩擦力,该摩擦力作用于基板上以提供力旋转基板。图9绘示两个逆时钟旋转的气穴91与两个顺时钟旋转的气穴93。各个旋转方向通过包括至少两个气穴且该至少两个气穴处于平衡,以便可抵消任何可能的横向力,同时仍施加力矩给基板2以旋转基板2。虽然各个旋转方向绘示有两个气穴,但可思及任何数量的气穴。通过分别调节供给沟槽92、94的气流和真空压力,可达到控制基板旋转的目的。虽然图9绘示二个气流气穴91和二个气流气穴93,但当可包含任何数量的气穴来控制基板旋转。
底板90还可包括气流气穴97,用以施加横向力做为作用于基板2的定位力。各气流气穴97相对底板90顶表面的深度为约10μm至约30μm。气穴97的宽度为约10mm或以上,较佳约10mm至约50mm。在一实施例中,宽度为约35mm。气穴97的长度为约10mm或以上,较佳约10mm至约50mm。在一实施例中,长度为约35mm。
气流气穴97包括沟槽102、104,沟槽102、104设在气穴相对长度且延伸跨及气穴宽度。沟槽102、104相对底板90顶表面的深度为约20μm至约80μm。沟槽102提供气体而变成压力井,沟槽104提供真空而变成低压井。在一实施例中,如图9所示,沟槽104由环形沟槽104组成,且气穴97自沟槽向外延伸。在此实施例中,气体从沟槽102流向沟槽104,因而施加力至基板,该力朝向底板90的中心。多个气流气穴97经控制以将基板放在底板90的中心。虽然图9绘示四个气流气穴97,但当可包含任何数量的气穴来控制基板旋转。在一实施例中,沟槽102和沟槽104可颠倒设置,如此流动气体的力朝远离底板90中心的方向。气体和真空分别通过图5下底板50的对应通道供给沟槽102、104。
基板的旋转速度介于约100rpm(每分转数)与约1500rpm、较佳介于约200rpm与约1000rpm之间。可选择性地配置传感器(未显示,例如光学传感器)于底板上方或下方以测量旋转速度。可根据基板处理过程中测量或监控的特定变量来改变旋转速度。例如,已知可影响沉积速率的处理变量(诸如,温度或压力)、或者测量或计算的沉积速率可用来控制处理过程中基板的旋转速度。例如,基板在缓慢沉积速率阶段中以较慢的速度旋转并在较快的沉积速率阶段以较快的速度旋转。
图11绘示快速热处理腔室100的一实施例的简化剖面图,该快速热处理腔室100具有用于支撑、定位与旋转配置于其中的基板的设备。尽管所述设备是用于快速热处理腔室100内而加以描述,然设备当可用于其它基板处理系统和辅助装置,例如用于机器人传递的基板支撑平台、定向装置、沉积腔室、蚀刻腔室、电化学工艺设备和化学机械研磨装置等,尤其是在想要的减少微粒产生的情况。
基板2由加热灯组件116辐射加热。加热灯组件116包括多个置于水套组件的蜂巢管。各管含有反射器和钨丝卤素灯组件,反射器和钨丝卤素灯组件构成类似蜂巢状管道配置。此密封封装的类六角形配置管提供具有高功率密度与良好特别分辨率的辐射能量源。一实施例中,灯组件提供足够的辐射能量以热处理基板,例如退火配置于基板上的硅层。
基板2经由接取孔(未示出)进出。在腔室内,基板2由上述支撑与定位组件支撑。图11绘示腔室同时包括底板101和下底板50。底板101可为上述任一底板,例如底板1、90。底板101和下底板50可由透明材料构成,例如石英、蓝宝石或硬透明矿物。
透明底板101容许设在基板2底下的加热灯组件116辐射加热基板。辐射热穿过透明基板支撑结构而加热基板2的底表面。基板2的底表面可比有可能含有多个组件(均由具有不同发射率范围的不同材料所构成)的基板2的顶表面均匀。因此,比起通过辐射加热基板2的顶表面而加热基板2,通过辐射加热基板2的底表面来加热基板2的基板2加热会以更均匀的方式进行。
气体入口146连接下底板50,气体入口146连接过滤器186。气体入口146提供气体来抬起基板2。利用泵147、148给下底板施加真空。气体和真空被施加使提供气体的穿孔与提供真空的穿孔间的压力差为约5磅/平方英寸至约50磅/平方英寸,较佳约10磅/平方英寸至约30磅/平方英寸。在一实施例中,压力差为约20磅/平方英寸。用于斜向穿孔81与82和气流气穴91、93、97的气源(未绘示)也可连接下底板50。此外,利用多个泵(未绘示)给气流气穴91、93、97施加真空。气体和真空被施加给气流气穴使压力井与低压井间的压力差为约5磅/平方英寸至约100磅/平方英寸,较佳约10磅/平方英寸至约30磅/平方英寸。在一实施例中,压力差为约20磅/平方英寸。
上述基板2为镀镍铝反射器平板组件122,反射器平板组件122具光学反射涂面以增强基板2的有效发射率。光学反射涂层进一步描述于共同授予的美国专利证书号6,839,50,2005年1月5日授予Adams和Hunter的申请案。反射器平板组件122装设在水冷式基底123上。可通过提高水冷式基底123的冷却能力与通过将反射器平板组件122更接近水冷式基底123来增强基板的冷却。再者,当灯组件关闭时,光学涂层可经提高以吸收辐射能量。气体输入155供应的气体经由反射器平板的孔洞射出更可增强冷却基板。
在设计用来处理8英寸(200mm)硅片的系统中,反射器122的直径为约8.9英寸,基板2与反射器122的表面相隔约15mm至约25mm。在设计用来处理12英寸(300mm)硅片的系统中,反射器122的直径为约13英寸,基板2与反射器122的表面相隔约20mm至约30mm。
基板2的局部区域温度由多个温度探针124测量,温度探针124用来测量基板上不同径向位置的基板温度。温度探针124经由光学端口125(光学端口125延伸穿过反射器平板组件122的顶表面)接收来自处理腔室内部的光线。虽然处理腔室100一般约设有十个温度探针,但图11仅显示部分探针。于反射器平板表面上,各光学端口的直径为约0.08英寸。蓝宝石光管将光学端口接收的光线传递至各光学侦测器(如高温计),以测定基板2的局部区域温度。控制器128接收光学侦测器的温度测量并控制加热灯组件116的辐射输出。产生的反馈回路将增进处理腔室均匀加热基板2的能力。空气轴承边缘辊3装在反射器平板组件122上。入口156供应气体通过过滤器186而至空气轴承边缘辊。
为让光学侦测器测量基板2温度时不受漏光影响,底板1黏接有外环13。在此构造中,底环制成深色以吸收灯组件的漏光。外环13可为碳基材料,例如碳化硅。
退火处理期间,用于退火环境(ambient)的气体经由环境气体输入130引入处理腔室100中。环境气体流过基板2的顶表面,并与加热基板反应。泵系统134经由环境气体输出132抽出处理腔室100的过量环境气体和任何反应副产物。
用来抬起(通过穿孔9或沟槽25引进)、旋转(通过斜向穿孔81与82、气流气穴91、93或空气喷嘴引进)及定位(经由空气轴承边缘辊3及/或气流气穴97)的气体可与做为处理气体(通过气体输入130导入)的气体相同。或者,气体可为惰性气体,例如氮气、氦气、氩气或上述气体的混合物。
一个或多个传感器(未绘示)耦接腔室主体。传感器一般用来侦测基板在腔室主体的内部空间内的高度,及提供输出指示基板与底板1的顶部间的距离。传感器也可用来侦测基板转速,及提供输出指示基板在支撑组件上旋转多快。
控制器128耦接传感器、灯和处理腔室的其它组件。控制器采用获自传感器的位置度量来调整基板高度,如此可相对灯组件调整放在支撑组件上的基板的高度和平面性。
控制器通常包括中央处理器(CPU)、支持电路与存储器。CPU可为任一形式的计算机处理器,该计算机处理器用于工业设定来控制不同的动作与子处理器。存储器耦接CPU。存储器或计算机可读取媒介可为一种或多种容易取得的存储器,例如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、或其它近端或远程的数字储存。支持电路耦接CPU,以通过传统方式支持处理器。支持电路包括缓存、电源、时钟电路、输入/输出电路、子系统等。
气氛控制系统也耦接腔室主体的内部空间。气氛控制系统包括节流阀和泵系统134,以控制腔室压力。气氛控制系统另包括气体输入130与155来提供处理气体或其它气体给内部空间。气氛控制系统一般提供用于热沉积程序的处理气体。
在处理腔室中支撑、定位及旋转基板的方法储存于控制器的存储器,该方法通常当作软件程序。软件程序也储存及/或由第二CPU(未绘示)执行,设置第二CPU远离CPU控制的硬件。
由CPU执行时,方法容许控制器128控制处理腔室内的基板定位及旋转,而可进行热或其它处理。虽然本发明实施例的工艺说明是采用软件程序,但所述一些方法步骤当可应用到硬件并由软件控制器执行。因此,本发明的实施例可应用于软件以于计算机系统上执行、应用于硬件做为特定功能集成电路或其它硬件类型、或软件与硬件的组合。
在另一实施例中,图1-10所示的基板支撑单元可用于传统腔室,其中灯组件116设在基板2上方。
图12绘示根据本发明一实施例,处于基板装载模式的支撑与定位组件10和反射器平板122的截面图。空气轴承边缘辊3抬高至装载位置,使图4升降机33得以支撑基板2。用真空代替气体通过入口156可抬起升降机33。空气轴承边缘辊3抬高至装载位置后,通过腔室接取孔将基板送入或移出腔室。若基板被送入腔室,则升降机33下降以定位基板成处理模式前,气体入口146和真空泵147、148将开始提供气体缓冲垫。
一旦基板2处于处理模式(图13),则通过自气体喷嘴提供气体而供应气体至斜向穿孔81或提供气流气穴91及/或93气体和真空,以旋转基板2。基板抵达底板1上方的预定高度且达到预定转速和预定处理气流后,灯组件116开始进行基板加热。灯组件可运作约1/2秒至约15秒,较佳约1秒至约5秒。灯组件的运作时间视处理基板和处理气体化学反应而定。
通过快速旋转基板,可得到均匀的热分布。另外,因基板2不接触基板支撑面,故可保持最少的不均匀热损失。尽管基板2接触空气轴承边缘辊3的套管31会造成部分热损失,然相较于传统基板支撑件的热损失,此损失极微,且通过施加给气穴97的横向力将基板2定位在底板90中心内,损失可降至最低。再者,因基板未接触支撑组件,故可减少污染。
虽然本发明已以较佳实施例揭露如上,然这些实施例并非用以限定本发明,任何本领域技术人员,在不脱离本发明的精神和范围内,当可作各种的更动与润饰,因此本发明的保护范围当视后附的权利要求范围所界定的为准。

Claims (17)

1.一种用于基板的基板支撑组件,至少包含:
底板,所述底板设以提供第一气流来提高所述基板;
温度受控的热边缘阻挡件,所述热边缘阻挡件至少部分围绕所述基板;及
用于将所述基板定位于所述温度受控的热边缘阻挡件内的装置,其中用以定位所述基板的装置包括多个空气轴承边缘辊与空气摩擦气穴的至少一个,所述空气轴承边缘辊被浮动套管环绕。
2.如权利要求1所述的基板支撑组件,其中所述底板具有多个第一穿孔,所述多个第一穿孔以同心圆方式绕着所述底板的中心轴排列,所述多个第一穿孔用以引导所述第一气流。
3.如权利要求2所述的基板支撑组件,还包括下底板,所述下底板置于所述底板下方并与所述底板接触,其中所述下底板包括第一通道以引导所述第一气流至多个穿孔。
4.如权利要求3所述的基板支撑组件,其中所述底板还具有多个第二穿孔,所述多个第二穿孔与所述多个第一穿孔相邻,所述多个第二穿孔以同心圆方式绕着所述底板的中心轴排列,其中所述多个第二穿孔用以提供真空。
5.如权利要求4所述的基板支撑组件,其中所述下底板还包括第二通道,以引导所述真空至所述多个第二穿孔。
6.如权利要求1所述的基板支撑组件,其中所述底板包括第一沟槽,所述第一沟槽以同心圆方式绕着所述底板的中心轴排列,所述第一沟槽用以引导所述第一气流。
7.如权利要求6所述的基板支撑组件,其中所述底板还包括第二沟槽,所述第二沟槽与所述第一沟槽相邻,所述第二沟槽以同心圆方式绕着所述底板的中心轴排列,其中所述第二沟槽用以提供真空。
8.如权利要求1所述的基板支撑组件,其中所述底板包括透明材料。
9.如权利要求1所述的基板支撑组件,其中所述底板包括多个第一斜向穿孔,所述多个第一斜向穿孔用以引导第三气流来旋转所述基板。
10.如权利要求9所述的基板支撑组件,其中所述底板还包括多个第二斜向穿孔,所述多个第二斜向穿孔用以引导第四气流来旋转所述基板。
11.如权利要求10所述的基板支撑组件,其中所述多个第一斜向穿孔与所述多个第二斜向穿孔处于相对角度上。
12.如权利要求1所述的基板支撑组件,还包含多个气流气穴,所述多个气流气穴用以提供旋转力给所述基板。
13.如权利要求12所述的基板支撑组件,其中所述多个气流气穴包括压力井与低压井。
14.如权利要求1所述的基板支撑组件,其中所述热边缘阻挡件是环状形式的环形主体,设置在所述底板的顶部。
15.如权利要求1所述的基板支撑组件,其中所述热边缘阻挡件设置及固定在位于所述底板中的环形沟槽中。
16.如权利要求1所述的基板支撑组件,其中所述空气轴承边缘辊用以定位所述基板,又不会让所述基板接触所述热边缘阻挡件。
17.如权利要求1所述的基板支撑组件,其中每个浮动套管浮动于第二气流上。
CN2008800129212A 2007-05-09 2008-05-08 用以在处理腔室内支撑、定位及旋转基板的设备与方法 Active CN101663101B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310043222.7A CN103151290B (zh) 2007-05-09 2008-05-08 用以在处理腔室内支撑、定位及旋转基板的设备与方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/746,392 2007-05-09
US11/746,392 US8057601B2 (en) 2007-05-09 2007-05-09 Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
PCT/US2008/063105 WO2008141105A1 (en) 2007-05-09 2008-05-08 An apparatus and method for supporting, positioning and rotating a substrate in a processing chamber

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201310043222.7A Division CN103151290B (zh) 2007-05-09 2008-05-08 用以在处理腔室内支撑、定位及旋转基板的设备与方法

Publications (2)

Publication Number Publication Date
CN101663101A CN101663101A (zh) 2010-03-03
CN101663101B true CN101663101B (zh) 2013-03-20

Family

ID=39968388

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2008800129212A Active CN101663101B (zh) 2007-05-09 2008-05-08 用以在处理腔室内支撑、定位及旋转基板的设备与方法
CN201310043222.7A Active CN103151290B (zh) 2007-05-09 2008-05-08 用以在处理腔室内支撑、定位及旋转基板的设备与方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201310043222.7A Active CN103151290B (zh) 2007-05-09 2008-05-08 用以在处理腔室内支撑、定位及旋转基板的设备与方法

Country Status (3)

Country Link
US (2) US8057601B2 (zh)
CN (2) CN101663101B (zh)
WO (1) WO2008141105A1 (zh)

Families Citing this family (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8422127B2 (en) * 2005-03-17 2013-04-16 Hamamatsu Photonics K.K. Microscopic image capturing device
JP5029486B2 (ja) 2008-05-13 2012-09-19 東京エレクトロン株式会社 塗布装置、塗布方法及び記憶媒体
JP5012651B2 (ja) * 2008-05-14 2012-08-29 東京エレクトロン株式会社 塗布装置、塗布方法、塗布、現像装置及び記憶媒体
KR101680751B1 (ko) * 2009-02-11 2016-12-12 어플라이드 머티어리얼스, 인코포레이티드 비-접촉 기판 프로세싱
US8461022B2 (en) * 2009-04-20 2013-06-11 Applied Materials, Inc. Methods and apparatus for aligning a substrate in a process chamber
KR101783819B1 (ko) * 2010-07-27 2017-10-10 텔 쏠라 아게 가열 장치 및 기판 가열 방법
JP2012076877A (ja) * 2010-10-01 2012-04-19 Nitto Denko Corp ワーク搬送方法およびワーク搬送装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8979087B2 (en) * 2011-07-29 2015-03-17 Applied Materials, Inc. Substrate supporting edge ring with coating for improved soak performance
CN103843126B (zh) * 2011-08-12 2017-07-14 Ev 集团 E·索尔纳有限责任公司 用于容纳有结构的晶片的容纳装置
CN102357448B (zh) * 2011-08-31 2013-03-27 奇瑞汽车股份有限公司 一种可自动检测工件规格的工件固定装置
CN102416590B (zh) * 2011-10-28 2014-03-12 中国科学院光电技术研究所 一种大口径轻质反射镜柔性限位支撑装置
WO2013162842A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Wafer edge measurement and control
KR20150038406A (ko) 2012-07-27 2015-04-08 어플라이드 머티어리얼스, 인코포레이티드 공정 가스를 기판에 전달하기 위한 방법 및 장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10174422B2 (en) 2012-10-25 2019-01-08 Applied Materials, Inc. Apparatus for selective gas injection and extraction
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
CN103915362B (zh) * 2012-12-31 2017-02-08 中芯国际集成电路制造(上海)有限公司 转动装置及其允交检测方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9748121B2 (en) * 2013-03-05 2017-08-29 Applied Materials, Inc. Thermal coupled quartz dome heat sink
US20140262804A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Electroplating processor with wafer heating or cooling
US9044723B2 (en) 2013-03-28 2015-06-02 Uop Llc Inclined baseplate in dehydrogenation reactor
US9394938B2 (en) * 2013-06-19 2016-07-19 Applied Materials, Inc. Internal chamber rotation motor, alternative rotation
US10410890B2 (en) 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
CN103817035B (zh) * 2014-01-28 2016-04-20 嘉兴超纳金真空镀膜科技有限公司 真空喷涂底座
WO2016007874A1 (en) 2014-07-11 2016-01-14 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104988472B (zh) * 2015-06-25 2018-06-26 沈阳拓荆科技有限公司 半导体镀膜设备控温系统
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2017062135A1 (en) 2015-10-04 2017-04-13 Applied Materials, Inc. Drying process for high aspect ratio features
CN108140549B (zh) 2015-10-04 2022-12-20 应用材料公司 缩减空间的处理腔室
JP6639657B2 (ja) 2015-10-04 2020-02-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 熱質量が小さい加圧チャンバ
CN108140603B (zh) * 2015-10-04 2023-02-28 应用材料公司 基板支撑件和挡板设备
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6124425B1 (ja) * 2015-10-26 2017-05-10 株式会社日本製鋼所 レーザ処理装置整流装置およびレーザ処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10373858B2 (en) 2016-04-06 2019-08-06 Lam Research Corporation Chuck for edge bevel removal and method for centering a wafer prior to edge bevel removal
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10501844B2 (en) * 2016-07-25 2019-12-10 Applied Materials, Inc. Fine leveling of large carousel based susceptor
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10978332B2 (en) * 2016-10-05 2021-04-13 Prilit Optronics, Inc. Vacuum suction apparatus
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7034817B2 (ja) * 2018-04-19 2022-03-14 株式会社日本製鋼所 レーザ処理装置及び半導体装置の製造方法
WO2019212651A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc Substrate tilt control in high speed rotary sorter
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11393703B2 (en) 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN109161873B (zh) * 2018-09-29 2020-10-27 华灿光电(浙江)有限公司 一种石墨基座
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11541493B2 (en) * 2019-06-19 2023-01-03 Adnanotek Corp. Multi-axis mechanism device
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
CN110767576B (zh) * 2019-10-17 2022-10-21 上海华力集成电路制造有限公司 激光退火设备及激光退火工艺
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN112117225A (zh) * 2020-09-23 2020-12-22 北京北方华创微电子装备有限公司 一种半导体外延设备及其基座组件
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220067988A (ko) * 2020-11-18 2022-05-25 주식회사 원익아이피에스 기판 지지 조립체 및 기판 처리 장치
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI794731B (zh) * 2021-01-15 2023-03-01 由田新技股份有限公司 氣浮載台及其光學檢測系統
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113896387B (zh) * 2021-11-03 2022-06-28 南京工业职业技术大学 一种基于热脱附技术和真空预压技术联合污泥净化脱水结构及其施工方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3982627A (en) * 1974-03-13 1976-09-28 Canon Kabushiki Kaisha Automatic wafer orienting apparatus
US5226383A (en) * 1992-03-12 1993-07-13 Bell Communications Research, Inc. Gas foil rotating substrate holder
US6402843B1 (en) * 1999-12-07 2002-06-11 Trusi Technologies, Llc Non-contact workpiece holder
US6454865B1 (en) * 1997-11-03 2002-09-24 Asm America, Inc. Low mass wafer support system
CN1824393A (zh) * 2005-02-24 2006-08-30 东京应化工业株式会社 涂布装置

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8103979A (nl) 1981-08-26 1983-03-16 Bok Edward Methode en inrichting voor het aanbrengen van een film vloeibaar medium op een substraat.
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
NL8401776A (nl) 1984-06-04 1986-01-02 Bok Edward Verbeterde double-floating wafer transport/processing installatie.
US4544446A (en) 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
US5194406A (en) 1988-12-01 1993-03-16 Edward Bok Installation for transport and processing under a pulsating double-floating condition
US4922853A (en) 1989-05-16 1990-05-08 Libbey-Owens-Ford Co. Stripe coating on glass by chemical vapor deposition
JP2583152B2 (ja) 1990-11-06 1997-02-19 大日本スクリーン製造株式会社 基板回転式表面処理方法
US5489341A (en) 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
JP3824675B2 (ja) * 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ 結晶製造装置
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6239038B1 (en) 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
JP2000511354A (ja) * 1996-05-31 2000-08-29 アイペック・プリシジョン・インコーポレーテッド ウェーハ状物品のための非接触保持器
US6183565B1 (en) 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US6005226A (en) * 1997-11-24 1999-12-21 Steag-Rtp Systems Rapid thermal processing (RTP) system with gas driven rotating substrate
DE69908591T2 (de) 1998-02-14 2004-04-29 Lam Research Corp., Fremont Vorrichtung zum Laden von Halbleiterscheiben
US5997963A (en) 1998-05-05 1999-12-07 Ultratech Stepper, Inc. Microchamber
NL1011487C2 (nl) * 1999-03-08 2000-09-18 Koninkl Philips Electronics Nv Werkwijze en inrichting voor het roteren van een wafer.
CN1363116A (zh) * 2000-02-08 2002-08-07 松下电器产业株式会社 灯泡退火装置和显示元件用基片
JP3997379B2 (ja) * 2000-02-24 2007-10-24 Necエレクトロニクス株式会社 ランプアニール装置
JP2001351874A (ja) * 2000-06-09 2001-12-21 Ebara Corp 基板回転装置
US6720263B2 (en) 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US6932558B2 (en) * 2002-07-03 2005-08-23 Kung Chris Wu Wafer aligner
US6839507B2 (en) 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US6883250B1 (en) 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
US20050126605A1 (en) 2003-12-15 2005-06-16 Coreflow Scientific Solutions Ltd. Apparatus and method for cleaning surfaces
CN101124133A (zh) * 2004-04-14 2008-02-13 科福罗科学解决方案有限公司 用于调整距离的非接触支撑平台

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3982627A (en) * 1974-03-13 1976-09-28 Canon Kabushiki Kaisha Automatic wafer orienting apparatus
US5226383A (en) * 1992-03-12 1993-07-13 Bell Communications Research, Inc. Gas foil rotating substrate holder
US6454865B1 (en) * 1997-11-03 2002-09-24 Asm America, Inc. Low mass wafer support system
US6402843B1 (en) * 1999-12-07 2002-06-11 Trusi Technologies, Llc Non-contact workpiece holder
CN1824393A (zh) * 2005-02-24 2006-08-30 东京应化工业株式会社 涂布装置

Also Published As

Publication number Publication date
CN103151290B (zh) 2015-10-28
WO2008141105A1 (en) 2008-11-20
US20120055405A1 (en) 2012-03-08
CN103151290A (zh) 2013-06-12
US8057601B2 (en) 2011-11-15
US20080276864A1 (en) 2008-11-13
CN101663101A (zh) 2010-03-03
US8490660B2 (en) 2013-07-23

Similar Documents

Publication Publication Date Title
CN101663101B (zh) 用以在处理腔室内支撑、定位及旋转基板的设备与方法
KR101633056B1 (ko) 처리 챔버 내에서 기판을 지지, 위치설정, 및 회전시키기 위한 장치 및 방법
TWI488256B (zh) 非接觸性基板處理
KR101109299B1 (ko) 페이스-업 습식 프로세싱을 위해 웨이퍼 온도 균일성을강화시키는 장치
JP6863041B2 (ja) 基板加熱装置
US7534467B2 (en) Reduced-pressure drying unit and coating film forming method
JP5591223B2 (ja) 回転基板のための非半径方向温度制御のためのシステム
CN102077330B (zh) 用于热处理基板的设备及方法
KR20100138984A (ko) 열처리 장치
CN100461334C (zh) 在面朝上的湿法处理中提高晶片温度均匀性的设备
CN103582941A (zh) 支撑及控制基板的装置及方法
KR102566347B1 (ko) 기판 지지대, 기판 처리 장치 및 기판 처리 방법
KR20210079781A (ko) 기판 처리 장치
KR20230095707A (ko) 기판 처리 장치
KR20230080054A (ko) 기판처리장치 및 기판처리방법
CN104025280A (zh) 用于处理基板的方法和设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant