TWI488256B - 非接觸性基板處理 - Google Patents

非接觸性基板處理 Download PDF

Info

Publication number
TWI488256B
TWI488256B TW099104496A TW99104496A TWI488256B TW I488256 B TWI488256 B TW I488256B TW 099104496 A TW099104496 A TW 099104496A TW 99104496 A TW99104496 A TW 99104496A TW I488256 B TWI488256 B TW I488256B
Authority
TW
Taiwan
Prior art keywords
carrier
substrate
support
processing
chamber
Prior art date
Application number
TW099104496A
Other languages
English (en)
Other versions
TW201041078A (en
Inventor
克麥爾布拉克
妙尼歐
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201041078A publication Critical patent/TW201041078A/zh
Application granted granted Critical
Publication of TWI488256B publication Critical patent/TWI488256B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Description

非接觸性基板處理
本發明係大致上關於半導體處理的領域,並且詳言之係關於在半導體元件製造期間於處理腔室中支撐、定位或旋轉一基板。
在積體電路和顯示器的製造中,半導體、介電質及導電材料係形成在基板上,例如矽基板或玻璃基板上。材料可藉由化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、離子佈植、電漿或熱氧化、磊晶生長(epitaxial growth;EPI)、及氮化製程來形成。之後,經沉積的材料可以被蝕刻以形成諸如閘極、介層洞、接觸洞及內連線的特徵結構。在典型的沉積或蝕刻製程中,基板是在基板處理腔室內暴露於電漿,以在基板表面上沉積材料或蝕刻基板表面上的材料。其他可執行於基板上之典型製程可以包括熱處理技術,其包括快速熱處理(RTP)或雷射退火製程。
在處理期間,基板通常是被固持在基板支撐件上,其中該基板支撐件具有一基板接收表面。支撐件可以具有一內嵌電極,該內嵌電極係在處理期間作為一電漿產生元件,且(或)其也可以被充電以靜電地固持基板。支撐件也可以具有一電阻式加熱構件以在處理期間加熱基板,與(或)一水冷系統以冷卻基板或冷卻支撐件。
一衍生的問題即是當元件尺寸縮小而橫跨基板之變化的容忍度變得非常低時,基板相對於基板支撐件、遮蔽環或其他腔室部件的對準和定位係對於基板上達到的製程結果的均勻性具有影響。
在一些情況中,處理腔室中的一或多個區域可能無法均勻地產生電漿(例如PECVD、PVD、EPI)、均勻地將熱輸送到基板(例如RTP、PECVD、EPI)、與(或)因處理腔室中氣體入口或排氣口的位置方位而具有非均勻氣流的區域,其共同地建立了將基板旋轉以將處理腔室之處理區域之不同區塊中之非均勻性予以消除的需求。
旋轉基板常常是處理腔室中非常昂貴且複雜的程序,其須使待處理基板位在次大氣壓、在高溫下進行處理、與(或)需要一或多個可旋轉的電連接以容許功率得以輸送到基板支撐件中的一或多個部件(例如加熱器構件)。由於需要可靠的且不會產生微粒的高溫可旋轉部件(例如軸承)、精確且昂貴的馬達、複雜的控制系統、可靠的可旋轉電連接、及可靠的旋轉真空密封,大致上會造成複雜性和費用。
因此,亟需一種經改善的系統,其適於在基板處理期間支撐、定位、與(或)旋轉基板,其不需要和基板直接接觸、其使用和維護的費用並不昂貴、可提供良好的製程結果、可靠的、且可輕易控制。
本發明之實施例係提供用於處理半導體基板之設備與方法。尤其,本發明之實施例係提供用於在處理期間支撐、定位或旋轉半導體基板的設備與方法。
本發明之一實施例提供一種用於處理一基板之方法,該方法包含下列步驟:定位該基板於一載座之一基板接收表面上,其中該載座設置在一處理腔室之一支撐組件上方,該支撐組件包含一或多個支撐埠與一或多個旋轉埠,該些支撐埠與該些旋轉埠之各者係適於接收來自一流動控制器的流體;藉由將流體流輸送至該一或多個支撐埠以升高該基板至一處理位置,藉此浮動該載座與該基板;以及藉由將流體流輸送至該一或多個旋轉埠以旋轉該載座與該基板。
本發明之另一實施例係提供一種用於處理一基板之設備,該設備包含:一腔室主體,其界定一處理容積;一第一石英窗,其係形成貫穿該腔室主體,其中該第一石英窗係設以從一第一外部源傳送輻射能量至該處理容積;一載座,其具有一基板接收表面,該基板接收表面係設以支撐一基板;以及一或多個埠,其係設以藉由將一流體流朝向該載座之背側傳送來浮動且旋轉該載座。
本發明大致上提供用於處理基板的方法與設備。本發明之一實施例係提供一種用於處理一基板之方法,其係藉由將基板定位在載座的接收表面上且使用一或多個埠以將流體流導向載座之背側來操控載座。在一實施例中,操控載座係包含升高且降低載座及基板並且旋轉該載座。在一實施例中,該一或多個埠是形成在處理腔室的石英窗中,其中該石英窗係設以傳送輻射能量朝向載座的背側。
本發明之方法與設備係容許正在處理之具有腔室構件與基板間的受限接觸的基板,並且同時改善遍佈基板的加熱均勻性。藉由在處理期間使用流體流來支撐與(或)旋轉基板,本發明之實施例可減少基板處理設備的複雜性,因而降低了設備的原始和維護費用。藉由將基板遮蔽免於運動控制流體流,本發明之實施例可改善遍佈正處理基板之加熱均勻性。
本發明之實施例係涉及一種方法、設備與系統,其用於在處理期間支撐、定位且旋轉基板。本發明之實施例也可提供一種控制處理腔室中基板與基板支撐件間熱傳的方法。本文描述的設備與方法去除了複雜的、昂貴的且時常是不可靠的部件的需要,其中該些部件係要用來在一或多個處理步驟的期間(例如快速熱處理(RTP)製程、化學氣相沉積(CVD)製程、物理氣相沉積(PVD)製程、原子層沉積(ALD)製程、濕式清潔製程(諸如可由Applied Materials Inc.獲得的TempestTM 製程腔室)、乾式蝕刻製程、磊晶生長製程(EPI)與(或)雷射退火製程)精確地定位且旋轉基板。
使用本文所描述之方法、設備與系統來處理的基板可包括但不限於200 mm、300 mm或更大的單晶矽(Si)、多結晶矽(multi-crystalline silicon)、多晶矽(polycrystalline silicon)、鍺(Ge)、碳化矽(SiC)、玻璃、砷化鎵(GaAs)、碲化鎘(CdTe)、硫化鎘(CdS)、硒化銅銦鎵(CIGS)、硒化銅銦(CuInSe2 )、磷化鎵銦(GaInP2 ),以及異質接合胞元,諸如GaInP/GaAs/Ge或ZnSe/GaAs/Ge基板。正處理的基板可以是圓形的或任何其他希望的形狀。
第1A-1B圖係概要地繪示根據本發明之一實施例的處理腔室100。
處理腔室100包含一腔室蓋101、多個腔室壁102與一腔室底部103。腔室蓋101、腔室壁102與腔室底部103界定了一處理容積153。在一實施例中,一狹縫閥154係穿過腔室壁102來形成。狹縫閥154係設以將基板傳送進出處理容積153。在一實施例中,處理腔室100更包含一氣體源152,氣體源152設以提供一或多種處理氣體至處理容積153。處理腔室100亦包含一真空泵151,真空泵151設以泵抽該處理容積153。
處理腔室100更包含一載座104,載座104設置在處理容積153中。載座104具有一用來接收基板105於其上的基板接收表面104a。
一或多個埠108形成在腔室底部103。該一或多個埠108連接到一流體輸送系統150。在一實施例中,該一或多個埠108包含一或多個支撐埠與一或多個旋轉埠,該些支撐埠係設以升高該載座104與該基板105,該些旋轉埠係設以當載座104位在升高位置時旋轉該載座104與該基板105。該一或多個埠108之實施例係進一步描述於下文關於第2A圖和第2B圖中。
在一實施例中,該一或多個埠108是形成在腔室底部103的石英窗中。石英窗係設以容許輻射能量通過到處理容積153。由於載座104面向石英窗,從石英窗通過的輻射能量會直接加熱載座104。基板104接著經由載座104而被加熱。來自該一或多個埠108的流體流會影響對載座104的加熱。然而,流體流對於基板105之加熱的影響係被降低得多了。
因此,藉由使用載座104和流體流來處理基板105,可以降低流體流對於均勻加熱的影響。此外,藉由遮蔽基板免於流體流,基板也被遮蔽免於微粒污染。
在一實施例中,處理腔室100更包含三或多個基板支撐銷107,支撐銷107係設以接收且支撐基板105。在一實施例中,當該些三或多個基板支撐銷107沒有支撐基板時,該些三或多個基板支撐銷107可縮回。
在一實施例中,處理腔室100包含一載座定位系統106,載座定位系統106係設以將載座104限制在一區域內,尤其是當載座104被該一或多個埠108升高且旋轉時。
在一實施例中,處理腔室100更包含感應器156、157,感應器156、157係設以偵測載座104的位置和方位。在一實施例中,感應器156可設以,在載座104於該些三或多個基板支撐銷107上方旋轉時,將該載座104與該些三或多個基板支撐銷107對準。感應器156也可以提供有關載座104之旋轉速度的訊號。在一實施例中,感應器157可設以偵測是否載座104抵達了希望的高度、與(或)載座104在水平面的位置(諸如X和Y方向)。在一實施例中,感應器157可包含一或多個雷射、電性或光學感應器。
感應器156、157可以連接到一控制器109。控制器109進一步連接到流體輸送系統150。控制器109係接收來自感應器156、157的資訊,並且獲得載座104的位置和運動。控制器109可以傳送控制訊號到流體輸送系統150,因而控制該一或多個埠108,以藉此調整載座104的位置和運動。
第1A圖是繪製位在基板傳送位置的處理腔室100。載座104停置在該一或多個埠108上。該些三或多個支撐銷107係延伸穿過載座104,形成了供基板105用之支撐表面的。該些三或多個支撐銷107是位在接收來自基板操控器(未示出)之基板105的位置(其中該基板操控器係將基板105傳送通過狹縫閥154),或將基板105傳送到基板操控器的位置。在一實施例中,該些三或多個基板支撐銷107是可縮回的,並且位在延伸位置。
第1B圖是繪製位在基板處理位置的處理腔室100。在一實施例中,該一或多個埠108提供流體流以從停置位置升高載座104,並且經升高的載座104從該些三或多個基板支撐銷107抓取基板105。在另一實施例中,該些三或多個基板支撐銷107係縮回到載座104下方,並且基板105停置在載座104的接收表面104a上。在另一實施例中,基板105是藉由升高該載座104、縮回該些三或多個基板支撐銷107或其組合從該些三或多個基板支撐銷107被傳送到該載座104的接收表面104a。
當載座104從腔室底部103被升高且遠離該些三或多個基板支撐銷107時,該一或多個埠108提供額外的流體流以旋轉該載座104與該基板105。在一實施例中,該載座104的高度可由來自感應器57之感應器訊號來決定。在一實施例中,基板105被旋轉於不同於狹縫閥154之高度的高度,以為了減少因狹縫閥154所造成處理容積153中非對稱性而引起的處理氣體非均勻性分佈。
該一或多個埠108提供流體流以藉由升高載座104到預定位置而將基板105定位於處理位置。此外,在處理期間,載座104與基板105也會旋轉。在旋轉期間,可以利用載座定位系統106來避免載座104偏移。
一旦處理結束,載座104與基板105的旋轉停止,並且載座104在載座104與該些三或多個基板支撐銷107對準後被降回停置位置。在一實施例中,可以根據來自感應器156的訊號藉由旋轉載座104將載座104上的一標記(marker)定位於特定位置來執行對準。
經處理的基板105藉由降低載座104、延伸該些三或多個基板支撐銷107、或降低載座104及延伸該些三或多個基板支撐銷107的組合再次地被該些三或多個基板支撐銷107支撐。處理腔室100返回到第1A圖所示的基板傳送位置。
第2A圖係概要地繪示根據本發明之一實施例的基板操控組件108a。基板操控組件108a可用在第1A圖的處理腔室100中。基板操控組件108a包含一支撐主體155(其中形成有供流體流所用的埠)與一載座104(其設以支撐基板於其上)。在一實施例中,支撐主體155可以是腔室主體的一部分,例如處理腔室100之腔室底部103。在另一實施例中,支撐主體155可以是設置在處理腔室中之一分離的結構。支撐主體155具有一實質平坦的頂表面155A,頂表面155A設以支撐載座104。在一實施例中,載座104可以設置在頂表面155A的圓形區域104f內。
載座104設置在支撐主體155之頂表面155A上方,並且可以由來自支撐主體155的流體流所升高和旋轉。基板操控組件108a更包含三或多個基板支撐銷107,該些基板支撐銷107從支撐主體之頂表面155延伸且設以接收和傳送基板。載座104可以具有多個形成穿過其間的開口104b,用於容許基板支撐銷107的延伸。在一實施例中,載座104可以是一圓形的碟盤。
基板操控組件108a更包含一載座定位系統106,載座定位系統106從支撐主體155延伸且設以將載座104限制在一期望的區域內。在一實施例中,載座定位系統106包含三個固持銷,該些固持銷從支撐主體155之頂表面155a向上延伸。
在一實施例中,支撐主體155具有八個埠11A-111H形成於其中與開口位在頂表面155。在一實施例中,該些埠111A-111H具有多個定向的注射穿孔112與(或)排氣穿孔113,其用於將運動施予設置在其上的物體(例如載座104)。
如第2A圖所示,埠111A、111C、111E與111G之各自的主導流動向量X1 、Y1 、X2 與Y2 係適於藉由輸送流體通過各埠中的穿孔來將載座104移動於X或Y方向。舉例而言,埠111A係適於藉由輸送流體於主導流動向量X1 來將載座104移動於+X方向,埠111C係適於藉由輸送流體於主導流動向量Y1 來將載座104移動於+Y方向,埠111E係適於藉由輸送流體於主導流動向量X2 來將載座104移動於-X方向,埠111G係適於藉由輸送流體於主導流動向量Y2 來將載座104移動於-Y方向。
在一實施例中,如第2A圖所示,埠111A、111C、111E與111G之各自的主導流動方向向量係通過一共同點“C”,諸如基板操控組件108a的實質中心,因而容許載座104與設置在載座上的基板可被定位在X和Y方向,而不傾向於旋轉該載座104。
在一實施例中,埠111B、111D、111F與111H含有特徵結構,其適於因各埠中含有之特徵結構的方位建立了力量向量R1 和R2 而將載座104分別旋轉於順時鐘或逆時鐘方向。在此組態中,各個埠111B、111D、111F與111H具有一主導的流動方向,該主導的流動方向係正交於載座104的半徑。所以,為了使載座104旋轉於順時鐘方向,流體流係被輸送到埠111B與111F的特徵結構;並且為了使載座104旋轉於逆時鐘方向,流體流係被輸送到埠111D與111H的特徵結構。
第3B-3C圖係概要地繪示埠111的實施例,其可用作為埠111A-111H。
第3B圖為埠111的剖面圖,其中埠111係定向成和載座104的下表面104e呈角度α,從而使載座104可依需要被支撐且被移動。在一實施例中,埠111含有一或多個注射穿孔112與一或多個排氣穿孔113,其設置在支撐主體115之頂表面155A上的凹部110C中。該些注射穿孔112與(或)排氣穿孔113有助於增加經由埠111被輸送到基板之流體的耦合,並且因此有助於改善載座104之移動的控制。
在一實施例中,埠111具有一或多個注射穿孔112形成於其中。各個注射穿孔112具有交會段和分歧段,以在壓降大於臨界點時容許超音速流動的建立。吾人咸信,藉由在超音速下輸送氣體到載座104之下表面104e,可以透過由氣流朝向低壓區域(其係藉由埠輸送的超音速流動來產生)造成摩擦而建立載座104的運動。由此,載座104的運動可以藉由從一或多個策略上設置的埠輸送超音速流動來控制。
在一實施例中,也希望使用可輸送超音速流動的埠與可輸送亞音速流動的埠,以移動與(或)定位該載座104。輸送超音速流動通過埠的一優點即是這可容許引起一方向流(即朝向低壓的點),而不需要將支撐主體155中的具角度的穿孔予以機械加工。在基板支撐件中形成一具角度的穿孔是難以在由陶瓷材料製成的支撐主體中達成的。
該些排氣穿孔113係設以捕獲至少一部分之由注射穿孔112所注入的進入流Bi 。此組態可容許由各個埠111輸送的流體流自我控制(若有需要),藉此避免基板操控組件108a上來自一埠111的流動與來自與其相隔一距離之其他埠111的流動交互作用的情況發生。在一情況中,希望限制通過排氣穿孔113的流體流,為了使一部分的進入流Bi 經由排氣穿孔113離開埠111,並且一部分的進入流Bi 流入形成在下表面W1 與支撐主體155之頂表面155A之間的間隙114(即間隙流BG )。
在另一實施例中,希望於基板處理步驟期間的不同時間點藉由使用一可控制的排氣閥134A來選擇性地抑制所注射的進入流Bi ,以使其不會經由排氣穿孔113離開。該可控制的排氣閥134A可以連接到一排氣泵或類似型式的的排氣系統,其可降低壓力且增加排氣穿孔113中的流量。關閉排氣穿孔113將使得所注射的流體流在下表面104e與支撐主體155之頂表面155A之間的間隙114內(即間隙流方向BG ),並且因此改善載座104的支撐性。
第3C圖為根據本發明之另一實施例之埠111的剖面圖。埠111具有一注射穿孔112與一排氣穿孔113,注射穿孔112係定向成和載座104之下表面104e呈實質上正交的角度,排氣穿孔113係定向成和下表面104e呈角度β。在此組態中,由進入流Bi 建立的力量F1 主要是用來支撐載座104,而排氣穿孔113的角度方位是用來提供力量Fx ,其中力量Fx 是由離出流所產生之施予載座104之力量F2 在流動方向B01 的分量。力量Fx 是用來移動或定位載座104於希望的方向M。
所以,藉由提供多個可選擇性控制之已經以各種所希望方向繞著支撐主體155分佈與(或)具有所希望角度(例如角度β)的排氣穿孔,可以輕易控制載座104的移動。在此組態中,此移動可稍微和進入流Bi 的流動性質無關。此外,在此組態中,進入流Bi 傾向於降低載座104之下表面104e接觸支撐主體155的風險,以將微粒產生減到最少或將對於載座104之下表面104e的損壞減到最少。
熟習此技藝之人士將可瞭解的是,若一埠具有不通過載座104之重心的主導流動方向,一旋轉分量和一位移分量將均被施予載座104。因此,為了獲得單純的旋轉運動,該些埠在X方向和Y方向之力量的組合必須等於零,同時建立由和載座104之重心相隔一距離之力量施加所產生的扭力。舉例而言,參照第2A圖,若埠,111D與111H各在和載座104之中心相隔距離‘‘d”處於相對方向輸送一力量向量R1 ,施加到載座104之逆時鐘扭力的大小將等於約2(R1 ×d)。此外,由於載座104之中心將大致上相對於該些埠移動,一控制器(例如控制器109)需要來進行主動的位移和旋轉校正,以確保載座104能在處理腔室中維持在希望的方位與(或)位置(若有需要)。
在一實施例中,如第2A圖所示,各個埠111A-111H係定向成當載座104停置在支撐主體155上時使得主導流動方向是朝向相應於載座104之圓形區域104f的邊緣。在一實施例中,載座定位系統106係設以確保載座104定位在圓形區域104f上方。
在將主導流動方向朝向圓形區域104f的邊緣定向時,當載座104大致上置中在支撐主體155上方時,大致上主導流動方向的徑向分量可以等於零(即垂直於半徑)或從載座104之中心遠離。已經觀察到的是,藉由將主導流動方向朝向圓形區域104f的邊緣定向或從載座104之中心遠離,這有助於減少相鄰埠之間由重疊各埠輸送之流動所造成的交互作用。在一實施例中,希望錯開相鄰埠的位置,以減少該些埠之間的交互作用。
如第2A圖所示,基板操控組件108a亦包含複數個形成在支撐主體155中的切口115。該些切口115係用於和感應部件(例如感應器156、157)聯合,以主動地偵測支撐主體155上方之載座104的位置。在處理期間,可以調整來自埠111A-111G的流動,以主動地支撐、定位與(或)旋轉載座104。
在一實施例中,可以藉由使用流體輸送系統和控制器(例如第1A圖之流體輸送系統150與控制器109)中的部件來個別控制來自各個埠111A-111G的流動特性(例如壓力、速度)。
吾人已經觀察到載座104和基板可以輕易地被旋轉到高於1000 rpm的速度,同時具有小於約0.2 mm的位置精確性。在一實施例中,載座係被旋轉於介於約1 rpm與約3000 rpm之間的速度。在處理腔室中,可以在執行於載座104上之基板上的一或多個處理步驟期間調整旋轉速度。
為了避免支撐/旋轉流體流會干擾處理氣體且和處理氣體混合,希望具有緩慢的流動的支撐(旋轉)流體流,及因而緩慢的旋轉速度。舉例而言,當基板在低壓環境下(例如在低壓CVD期間)被處理,處理氣體的流速大致上是緩慢的。另一方面,對於經改善的製程均勻性而言(其中處理氣體流對於和支撐流動混合是較不敏感的),希望在製程期間具有較高的旋轉速度。在一實施例中,在低壓製程期間,旋轉速度可以是低的,這是為了將支撐流動維持在低程度以為了使處理腔室的真空系統可維持製程程式所需要的低壓。
在一實施例中,載座可以在CVD製程期間(例如磊晶沉積期間)被旋轉於介於約5 rpm至約10 rpm的速度。在一實施例中,載座可以在快速熱處理期間被旋轉於約240 rpm。
第2B圖係概要地繪示根據本發明之另一實施例的基板操控組件108b。基板操控組件108b可以用在第1A圖的處理腔室100內。基板操控組件108b包含一支撐主體155(其中形成有供流體流所用的複數個埠111j)與一載座104(其設以支撐基板於其上)。在一實施例中,支撐主體155可以是腔室主體的一部分,例如處理腔室100之腔室底部103。在另一實施例中,支撐主體155可以是設置在處理腔室中之一分離的結構。支撐主體155具有一實質平坦的頂表面155A,頂表面155A設以支撐載座104。在一實施例中,載座104可以設置在頂表面155A的圓形區域104f內。
各個該些注射埠111j具有一注射穿孔112,其用於輸送將來自流體輸送系統150的流體且將運動施予載座104及設置在載座上的基板(未示出以為了清晰起見)。大體上,各個埠111j可以位於任何希望的方位。在一實施例中,如第2B圖所示,單個穿孔112適於以傾斜穿孔所決定方位的方位提供流體到載座104之下表面104e。
穿孔112可以具有介於約0.001吋(0.025 mm)與約0.063吋(1.6 mm)之間的直徑。在一實施例中,穿孔112具有介於約0.001吋與約0.032吋之間的直徑。穿孔可以相對於支撐主體155之頂表面155A傾斜介於約10°與約80°的角度之間,較佳為介於約30°與60°之間。
在一實施例中,一阻隔特徵結構158用來避免由埠輸送的流體進入處理腔室的處理區域。在一實施例中,阻隔特徵結構158為形成在支撐主體155中的溝渠結構且連接到真空泵151。大致上,希望將該些埠111j定位在靠近圓形區域104f之半徑的中間處,以減少使離開穿孔112之流體可進入處理區域的機會。在一實例中,當處理300 mm半導體基板時,該些埠111j係設置在距離設以支撐載座104之圓形區域104f之中心約25 mm與約100 mm之間處。
第3A和3D圖係概要地繪示埠111的實施例,埠111可用作為埠111j。
如第3A圖所示,埠111包含一注射穿孔112,注射穿孔112係定向成和載座104之下表面104e呈實質上正交。在此組態中,通過注射穿孔112的進入流Bi 會撞擊載座104之下表面104e,使得流體流動於各種方向,例如方向B01 、B02 。當來自流體輸送系統150經由注射穿孔112輸送之進入流B1 的流量與(或)壓力足夠高時,一間隙114形成在載座104與支撐主體155之間。在一實施例中,一閥132A可連接在該流體輸送系統150與該注射穿孔112之間。由於注射穿孔112相對於下表面104e的垂直方位,進入流Bi 將傾向於支撐載座104且移動載座104於僅垂直方向(即Z方向)。
第3D圖為埠111的剖面圖,其中該埠111係定向成和載座104之下表面104e呈角度α,從而使載座104可依需要被支撐和被移動。在此組態中,不需要額外的機械加工步驟來形成頂表面155A,因此降低了支撐主體155的成本和複雜性。如前所討論,進入流體流係定向成和載座104之下表面104e呈角度α,從而使載座104可依需要透過藉由建立主導流動向量而被支撐和被移動。
可以組合使用第3A-3D圖中之埠111的實施例,以達成期望的控制。
第2C圖係概要地繪示根據本發明之一實施例的載座104A。載座104A具有一實質上圓形碟盤狀的主體104g。一接收表面104a係設以接收且支撐基板於其上。大致上,接收表面104a會稍為大於被支撐於其上的基板。圓104d係繪示了接收基板於接收表面104a上的區域。圓形碟盤狀主體104g可以由和處理化學相容或對其惰性且具有期望之熱傳導性的材料形成。在一實施例中,圓形碟盤狀主體104g可以由碳化矽、石墨、石英、藍寶石(sapphire)、塗覆矽的石英、塗覆碳化矽的石英、塗覆矽的石墨、塗覆碳化矽的石墨、或其他適當的材料形成。
三或多個開口104b可以形成穿過圓形碟盤狀主體104g。當在該載座104A與該些基板支撐銷之間傳送基板時,該些開口104b係設以容許基板支撐銷(諸如基板支撐銷107)延伸穿過。為了在處理期間容許基板104A旋轉,在基板被載座104A抓取後,該些基板支撐銷從該些開口104b縮回。為了在處理之後使基板返回到該些基板支撐銷,必須進行將該些基板支撐銷與該些開口104b對準的對準。
在一實施例中,一或多個標記(marker)104c可以形成在圓形碟盤狀主體104g上,以容許載座104A在旋轉期間方位的追蹤。標記104c可以由一感應器(例如處理腔室100中的感應器156)來偵測。在一實施例中,標記104c可以是形成在靠近圓形碟盤狀主體104g之邊緣處的一切口。在另一實施例中,標記104c可以是能由光學感應器偵測的光學發射器或反射器。標記104c也可以用來偵測載座104A的其他特性,例如旋轉速度、高度、平坦度(leveling)、或其他特性。
第2D圖係概要地繪示根據本發明之另一實施例的載座104B。第2D圖的載座104B是類似於第2C圖的載座104A,除了開口104a是狹長形以為了增加和支撐銷對準的容忍度之外。
第2E圖係概要地繪示根據本發明之一實施例的載座104C。載座104C具有一實質上圓形碟盤狀的主體104g。一接收表面104a係設以接收且支撐基板於其上。大致上,接收表面104a會稍為大於被支撐於其上的基板。圓104d係繪示了接收基板於接收表面104a上的區域。圓形碟盤狀主體104g可以由和處理化學相容或對其惰性且具有期望之熱傳導性的材料形成。在一實施例中,圓形碟盤狀主體104g可以由碳化矽、石墨、石英、藍寶石、塗覆矽的石英、塗覆碳化矽的石英、塗覆矽的石墨、塗覆碳化矽的石墨、或其他適當的材料形成。
三或多個凹部104n可以形成在接收表面104a上。各個凹部104n係設以固持且支撐一基板支撐銷於其中。各個凹部104n具有一底表面104j,底表面104j係設以在處理期間支撐一基板支撐銷。一開口104i形成在底表面104j中而穿過圓形碟盤狀主體104g。開口104i容許基板支撐銷相對於載座104C移動。
在一實施例中,載座104C具有一標記104c,標記104c係設以容許載座104C在處理腔室內的對準。舉例而言,一感應器可以用來在旋轉期間追蹤標記104c,並且一控制器可以將載座104C定位成使基板操控器不會與從載座104C延伸之基板支撐銷撞擊的方位。
第2F圖係概要地繪示第2E圖之載座104C位在一基板交換位置。第2G圖係概要地繪示第2E圖之載座104C位在一處理位置。三或多個基板支撐銷107a設置在三或多個凹部104n中。各個基板支撐銷107a具有一頭部107b,頭部107b容許當載座104C被升高時可使基板支撐銷107a停置在凹部104n的底表面104j上。如第2G圖所示,當載座104C被升高與(或)被一或多個埠108旋轉時,該些基板支撐銷107a維持在載座104C中。此組態具有一些優點。第一,當該些基板支撐銷107a總是維持在該些凹部104n時,可免除載座104C與基板支撐銷107a之間的精密對準。第二,基板支撐銷107a的頭部107b在處理期間會「填塞(plug)」凹部104n,所以可改善基板支撐表面104a的熱均勻性。
如第2G圖所示,基板支撐銷107a下落在凹部107h中,並且在處理期間維持在載座104C中。基板支撐銷107a相對於載座104C移動,從而使基板支撐銷可升高於載座104C的基板支撐表面104a之上,因而將基板105升離載座104C。一基板操控器(例如機械手臂)可接著抵達基板105與載座104C之間,以從基板支撐銷107a抓取基板105。同樣地,機械手臂可將一新基板放下到基板支撐銷107a上。基板支撐銷107a與載座104C的相對運動可以藉由在腔室中垂直地移動該載座104C(其是使用一分離機構以升高或降低該些基板支撐銷107a)或者載座104C和基板支撐銷107a之運動的組合來實現。
第4A-4C圖係概要地繪示根據本發明之另一實施例的處理腔室200。處理腔室200包含一載座支撐件260,載座支撐件260係可移動地設置在處理容積253中。在一實施例中,載座260可以在處理容積253中垂直地移動,這提供了載座204之額外移動性且提供了額外製程彈性。
處理腔室200包含一腔室蓋201、多個腔室壁202與一腔室底部203。腔室蓋201、腔室壁202與腔室底部203界定了處理容積253。在一實施例中,一狹縫閥254係穿過腔室壁202來形成。狹縫閥254係設以將基板傳送進出處理容積253。在一實施例中,處理腔室200更包含一氣體源252,氣體源252設以提供一或多種處理氣體至處理容積253。處理腔室200亦包含一真空系統251,真空系統251設以泵抽該處理容積253。
載座支撐件260設置在處理容積253中,並且設以支撐且定位載座204和基板205。一或多個埠208形成在載座支撐件260中。該一或多個埠208連接到一流體輸送系統250。在一實施例中,該一或多個埠208包含一或多個支撐埠,該些支撐埠係設以升高該載座204與該基板205。在另一實施例中,該一或多個埠208亦包含一或多個旋轉埠,該些旋轉埠係設以當載座204位在升高位置時旋轉該載座204與該基板205。在又一實施例中,旋轉該載座204可以藉由多個載座邊緣滾輪206來執行。該一或多個埠208的實施例係進一步描述在涉及第2A和2B圖的上文中。
在一實施例中,腔室底部203包含一石英窗,石英窗係設以容許輻射能量通過且加熱載座204和基板205。載座支撐件260可以具有環形的形狀,以暴露該石英窗。
在一實施例中,處理腔室200更包含一升降機構261,升降機構261係設以垂直地移動該載座支撐件260。
在一實施例中,處理腔室200包含多個載座邊緣滾輪206。在一實施例中,該些載座邊緣滾輪206係設以將載座204限制在一區域內,尤其是當載座204被一或多個埠208升高且旋轉時。在另一實施例中,該些載座邊緣滾輪206係設以當載座204被一或多個埠208升高時將載座204繞著載座的中心軸旋轉。在一實施例中,載座定位系統206可以延伸到腔室底部203。在另一實施例中,該些載座邊緣滾輪206可從載座支撐件260延伸。
處理腔室200更包含三或多個基板支撐銷207,基板支撐銷207係設以接收且支撐基板205。在一實施例中,當該些三或多個基板支撐銷207沒有支撐基板時,該些三或多個基板支撐銷207可縮回。
在一實施例中,處理腔室200更包含一感應器組件256,感應器組件256係設以偵測載座204的位置和方位。在一實施例中,感應器組件256可連接到一控制器209。控制器209進一步連接到流體輸送系統250。控制器209係接收來自感應器組件256的資訊,並且獲得載座204的位置和運動。控制器209可以傳送控制訊號到流體輸送系統250,因而控制該一或多個埠108,以藉此調整載座204的位置和運動。
第4A圖是繪製位在基板傳送位置的處理腔室200。載座204停置在載座支撐件260上。三或多個基板支撐銷207係延伸穿過載座204,形成了供基板205用之支撐表面。該些三或多個基板支撐銷207是位在接收來自基板操控器(未示出)之基板205的位置(其中該基板操控器係將基板205傳送通過狹縫閥254),或將基板205傳送到基板操控器的位置。在一實施例中,該些三或多個基板支撐銷107是可縮回的,並且位在延伸位置。
第4B圖是繪製位在低基板處理位置的處理腔室200。載座支撐件260位在一下降位置。在一實施例中,該一或多個埠208提供流體流以從停置位置升高載座204,並且經升高的載座204從該些三或多個基板支撐銷207抓取基板205。
第4C圖是繪製位在高基板處理位置的處理腔室200。載座支撐件260由升降機構261來升高。處理腔室200可以處理位在第4B圖的低位置與第4C圖的高位置之間任何位置處的基板205,藉此提供處理的彈性。
第5A圖係概要地繪示根據本發明之一實施例的載座支撐件300。載座支撐件300包含一主體301,複數個空氣軸承邊緣滾輪304可以在主體301上延伸。在一實施例中,主體301為環狀環,並且可以包括複數個穿孔303以用於容許氣體流經其間而升高載座與設置在載座上的基板。該些穿孔303可以連接到一流體源310。也可以設置多個用於在載座與主體301之間提供真空的穿孔302。該些穿孔302可以連接到一真空泵308。
在一實施例中,能夠以同心圓形式來配置該些穿孔302、303,使得主體301中具有三個穿孔圓。在一實施例中,一外部穿孔圓305可適於提供真空,一中間穿孔圓306可適於提供氣體,及一內部穿孔圓307可提供真空。可以設想出任何數量的此種穿孔圓以及真空和氣體適用的穿孔組態。穿孔302、303可以具有介於約1/2000吋與約1/16吋之間的直徑,較佳為介於約1/1000吋與約1/32吋之間。
主體301可以由適當的材料來製成,其中該材料能減少載座之潛在的刮傷、化學或實體污染與(或)損壞,例如不銹鋼、鋁、金屬合金、陶瓷、或高溫聚合物。
第5C圖係繪示邊緣滾輪306的一實施例。邊緣滾輪306適於定位且旋轉載座204與基板205。邊緣滾輪306可以停置在主體301上而位在溝渠317中,並且可以由可減少載座與基板表面之潛在的刮傷化學或實體污染與(或)損壞的材料來製成,例如高溫聚合物、碳化矽、石墨或鋁。
一浮動套管331係環繞各個邊緣滾輪306。浮動套管331係設以接觸載座204且旋轉載座204。浮動套管331可以具有介於約5 mm與約150 mm之間的外徑。在一實施例中,浮動套管331的外徑可以介於約20 mm與約50 mm之間。在一實施例中,浮動套管331可以由低質量密度材料來製成,例如藍寶石或石英。多個氣流通道334可以均勻地間隔且適於使氣體流動以升高該浮動套管331,從而使浮動套管331能夠自由地旋轉而具有最少摩擦。
第5B圖係概要地繪示根據本發明之另一實施例的載座支撐件400。載座支撐件400包含一主體401,複數個邊緣滾輪406設置在該主體401上。在一實施例中,該些邊緣滾輪406連接到一流體源416。在一實施例中,該主體401具有一環形形狀,並且具有一或多個形成在上表面401a上的環形溝渠。
在一實施例中,主體401具有用來使氣體流經其間以升高載座的環形溝渠425以及用來排空的環形溝渠423、427。在一實施例中,溝渠423、425、427能夠以同心圓形式來配置。在一實施例中,外部環形溝渠423可以連接到真空泵413且適於提供一排空區域,中間環形溝渠425可以連接到流體源415且適於提供流體流,並且內部環形溝渠427可以連接到真空泵417且適於提供一排空區域。可以設想出任何數量的溝渠以及真空和氣體適用的溝渠組態。
該些環形溝渠423、425、427係設以升高載座,並且該些邊緣滾輪406係設以當載座被升高時旋轉載座。在一實施例中,邊緣滾輪406可由氣流驅動且具有和第5C圖之邊緣滾輪506類似的結構。
再參照第4A圖,處理腔室200可以藉由配置不同的氣體散佈組件與(或)加熱源而適用作為各種處理腔室。處理腔室200可以用在諸如化學氣相沉積腔室、快速熱處理腔室、磊晶處理腔室、及任何其他期望處理氣體均勻性與(或)加熱均勻性之腔室的腔室。
第6A-6B圖係概要地繪示根據本發明之一實施例的磊晶處理腔室500。大致上,磊晶處理腔室是用來藉由磊晶生長在基板上形成一薄膜。在磊晶處理期間,通常希望將基板加熱到高溫。隨著元件的關鍵尺寸(critical dimension)變得更小,在磊晶處理期間可均勻地加熱基板變得更加重要。磊晶處理腔室500包含一載座支撐件560,載座支撐件560係設以在處理期間促進基板的均勻加熱。
磊晶處理腔室500包含一腔室蓋501、多個腔室壁502與一腔室底部503。腔室蓋501、腔室壁502與腔室底部503界定了處理容積553。在一實施例中,一狹縫閥554係穿過腔室壁502來形成。狹縫閥554係設以將基板傳送進出處理容積553。
磊晶處理腔室500更包含一上內襯521與一下內襯522,其沿著側壁502設置在處理容積553中。一用來提供一或多種處理氣體的氣體源552係經由一注射擋件523流體地連接到處理容積553,其中該注射擋件523設置在該上內襯521與該下內襯522之間。磊晶處理腔室500更包含一真空系統551,真空系統551設以泵抽該處理容積553。在一實施例中,注射擋件523被定位在不同於狹縫閥554之高度的高度而用於注射處理氣體,以為了減少因狹縫閥554所造成非對稱性而引起的非均勻性。
在一實施例中,腔室蓋501包含一石英窗524。一輻射能量源525設置在腔室蓋501上方。石英窗524係容許來自輻射能量源525的輻射能量進入處理容積553。來自輻射能量源525的輻射能量可用來加熱正在處理的基板505與(或)將處理容積553中的處理化學物予以破裂。輻射能量源525可以是紅外線燈組件、UV燈組件、雷射源、或任何適當的能量源。
在一實施例中,腔室底部503包含一石英窗526。一輻射能量源527設置在腔室底部503下方且設以經由石英窗526將輻射能量導向處理容積553。輻射能量源527可以是紅外線燈組件、UV燈組件、雷射源、或任何適當的能量源。
磊晶處理腔室500更包含一載座504,載座504設置在處理容積553中。載座504具有一基板接收表面504a,基板接收表面504a設以接收基板505於其上。
載座支撐件560設置在處理容積553中,並且設以支撐且定位載座504和基板505。一或多個埠508形成在載座支撐件560中。該一或多個埠508連接到一流體輸送系統550。在一實施例中,該一或多個埠508包含一或多個支撐埠,該些支撐埠係設以升高該載座504與該基板505。在另一實施例中,該一或多個埠508亦包含一或多個旋轉埠,該些旋轉埠係設以當載座504位在升高位置時旋轉該載座504與該基板505。在又一實施例中,旋轉該載座504可以藉由多個載座邊緣滾輪506來執行。載座支撐件560可以具有環形的形狀,以暴露該石英窗526且容許該載座504被輻射能量源527加熱。在一實施例中,磊晶處理腔室500更包含一升降機構561,升降機構561係設以垂直地移動該載座支撐件560。載座支撐件560可以類似於第5A和5B圖的載座支撐件300、400。
在一實施例中,磊晶處理腔室500包含多個載座邊緣滾輪506。在一實施例中,該些載座邊緣滾輪506係設以將載座504限制在一區域內,尤其是當載座504被該一或多個埠508升高且旋轉時。在另一實施例中,該些載座邊緣滾輪506係設以當載座504被該一或多個埠508升高時將載座504繞著載座的中心軸旋轉。在一實施例中,載座定位系統506可以延伸到腔室底部503。在另一實施例中,該些載座邊緣滾輪506可從載座支撐件560延伸。載座邊緣滾輪506可以類似於第5C圖的邊緣滾輪306。
磊晶處理腔室500更包含三或多個基板支撐銷507,基板支撐銷507係設以接收且支撐基板505。在一實施例中,當該些三或多個基板支撐銷507沒有支撐基板時,該些三或多個基板支撐銷507可縮回。
在一實施例中,磊晶處理腔室500更包含一感應器組件556,感應器組件556係設以偵測載座504的位置和方位。感應器組件556可連接到一控制器509。控制器509進一步連接到流體輸送系統550。控制器509係接收來自感應器組件556的資訊,並且獲得載座504的位置和運動。控制器509可以傳送控制訊號到流體輸送系統550,因而控制該一或多個埠208,以藉此調整載座504的位置和運動。
第6A圖是繪製位在基板傳送位置的磊晶處理腔室500。載座504停置在載座支撐件560上。三或多個基板支撐銷507係延伸穿過載座504,形成了供基板505用之支撐表面。該些三或多個基板支撐銷507是位在接收來自基板操控器(未示出)之基板505的位置(其中該基板操控器係將基板505傳送通過狹縫閥554),或將基板505傳送到基板操控器的位置。
第6B圖是繪製位在低基板處理位置的磊晶處理腔室500。載座支撐件560位在一上升位置。在一實施例中,該一或多個埠508提供流體流以從停置位置升高載座504,並且經升高的載座504從該些三或多個基板支撐銷507抓取基板505。
第7圖係概要地繪示根據本發明之一實施例的電漿增強化學氣相沉積腔室1400。在一特定實施例中,此設備可以是低壓化學氣相沉積(LPCVD)腔室。所繪示的LPCVD腔室1400大致上是由可維持腔室壓力在介於約200 Torr與約350 Torr之間及沉積腔室溫度在介於約600℃與約800℃之間的材料構成。為了說明目的,該LPCVD腔室1400可以具有約5-6公升的腔室容積。第7圖繪製了腔室主體1445的內部位在「基板-處理」位置。在一實施例中,該LPCVD腔室1400係適於處理單一基板,並且其尺寸可容納直徑大於約200 mm的基板。
腔室主體1445界定一反應腔室1490,一種處理氣體或多種處理氣體的熱分解發生在反應腔室1490中以在基板W上形成一CVD沉積的膜(例如多晶矽膜)。在一實施例中,LPCVD腔室1400可以是一“冷壁”反應腔室,其由鋁材料形成且具有多個冷卻通道形成於其中。反應腔室1490內設置有一載座支撐件1405,載座支撐件1405可以含有由軸1465支撐的電阻式加熱器1480。載座支撐件1405係設以藉由流體流來支撐載座1499。如第7圖所示,在處理期間,一間隙1489形成在載座1499與載座支撐件1405之間。載座1499具有一足以接收基板(例如基板W)的基板接收表面1499a。
第7圖亦繪示加熱器1480之一部分的剖面,包括載座支撐件1405之主體的剖面與軸1465的剖面。如圖所示,載座支撐件1405之主體可以具有兩個加熱構件形成於其中,例如一第一加熱構件1450與一第二加熱構件1457,該等加熱構件係和用來形成載座支撐件1405的材料相容。在一替代性實施例中,LPCVD腔室1400可以具有多個燈,而非電阻式加熱構件1450和1457。
LPCVD腔室1400係容許沉積環境之溫度與壓力的精確控制。處理氣體通過阻隔板1424和穿孔面板1425提供了朝向載座1499和基板W之均勻氣體散佈的優點。適當的反應腔室1490的材料應該要和處理氣體及其他化學物(例如可被導入至反應腔室1490中的清潔化學物(諸如三氟化氮NF3 ))相容。
加熱器1480的暴露表面可以包含各種材料,只要該等材料可和處理氣體相容。舉例而言,加熱器1480的載座支撐件1405與軸1465可以包含類似的氮化鋁材料。在一實施例中,加熱器1480的載座支撐件1405可以藉由擴散接合(diffusion bonding)或銅焊(brazing)耦接到軸1465,這是因為此種形式的耦接可以忍受反應腔室1490的環境。
在處理期間,一處理氣體可以經由一氣體散佈埠1420進入密封的反應腔室1490,其中該氣體散佈埠1420位在腔室主體1445之腔室蓋1430的頂表面中。處理氣體可以接著通過阻隔板1424,以將氣體散佈於一區域,其中該區域係和基板W的表面區域一致。之後,處理氣體可以散佈通過該穿孔面板1425,其中該穿孔面板1425係在反應腔室內1490設置在加熱器1480上方且耦接到腔室蓋1430。在一實施例中,阻隔板1424和面板1425的組合建立了處理氣體靠近基板W之頂表面處的均勻分佈。
如第7圖所示,基板W和載座1499可以經由一入口埠1440被放置在在反應腔室1490中加熱器1480的載座支撐件1405上,其中該入口埠1440位在腔室主體1445的側部中。為了容納基板以進行處理,加熱器1480係被降低,從而使載座支撐件1405的表面低於入口埠1440。
在一實施例中,如第7圖所示,載座支撐件1405包含有上文討論之載座支撐組件108的部件。在此組態中,載座支撐件1405係適於支撐、定位與(或)旋轉載座1499和設置在載座1499上的基板W。
加熱器1480含有複數個埠1411,該些埠1411係和流體輸送系統1412流體連通,流體輸送系統1412與感應組件1423及控制器1470的組合可以在處理期間定位與(或)旋轉基板。
在一實施例中,在執行於基板的處理步驟期間,利用該些埠1411,基板被旋轉於介於約100 rpm與約3000 rpm之間的速度。藉由以載座1499來遮蔽該基板W且旋轉該基板,可以獲得均勻的熱分佈。
此外,由於載座支撐件1405部件和其他部件不需要被旋轉,可以顯著地降低硬體複雜性且顯著地增加腔室可靠度。相較於載座支撐件1405或其他相關部件必須被旋轉之組態,複雜性和可靠度改善對於製程執行在高溫(例如>500℃)真空環境且載座支撐件1405也必須被垂直地移動的組態是尤其如此。
在一實施例中,感應組件1423(其位在腔室蓋1430內)係設置成且配置成能監視載座1499與(或)基板W的位置。在一實施例中,感應組件1423含有一感應器1422,感應器1422係被配置成能觀察到載座支撐件1405上方之載座1499的邊緣,使得一系統控制器1470可以藉由使用輸送經過埠411的流體來控制基板的位置和移動。在一實施例中,一或多個窗1493係密封地裝設到腔室蓋1430中的部件(例如阻隔板1424和穿孔面板1425),以提供光學路徑而容許一或多個感應器(例如反反射型感應器)能觀察且監視基板的運動。
在一實施例中,可以藉由例如一傳送葉片或一機械手臂傳送裝置(未示出)將基板W載入到反應腔室1490內的載座1499的頂表面上。一旦基板W被載入,入口埠1440被密封,並且加熱器1480藉由升降組件1460(其可包括例如步進馬達)向上朝著面板1425移動。可以提供流體流到埠1411,使得載座1499從載座支撐件1405升起且同時旋轉該載座1499。在第7圖的基板-處理位置,反應腔室1490被分隔成兩個區塊,即一位在載座支撐件1405之頂表面上方的第一區塊1402以及一位在載座支撐件1405之底表面下方的第二區塊1405。
當基板W設置在反應腔室1490內,第一區塊1402包括一位在基板W上方的區域1488,其中一膜形成在基板W的頂表面上(例如多晶矽膜形成在基板表面上而面向穿孔面板1425)。
處理氣體(其在一氣體盤的控制下流入反應腔室1490內)可以被熱分解,以在基板上形成一膜。同時,一惰性底部-淨化氣體(例如氮)可以被導入第二區塊1404內以抑制在第二區塊內的膜形成。在一實施例中,一壓力控制器(baratron pressure regulator)維持第一區塊1402中的壓力於介於約200 Torr與約350 Torr之間的第一位準及溫度於介於約600℃與800℃之間,以為了在基板W上沉積一多晶矽膜。
殘餘的處理氣體可以經由一泵送板1485被泵抽至反應腔室1490外到一位在腔室主體1445的側壁處的收集容器。一設置在反應腔室1490外的泵1432可以提供真空壓力於一泵送通道1441內,以將處理氣體和淨化氣體抽離反應腔室1490到泵1432。較佳地,一控制器或處理器(未示出)係接收來自壓力感應器的訊號,以藉由控制泵1432的流速而容許腔室壓力可被調整且維持在期望壓力。
一旦完成了基板W的處理,可以使用惰性氣體(例如氮)來淨化反應腔室1490。在處理且淨化後,可以於將載座1499和升降銷1499對準之後停止載座1499的旋轉。然後,加熱器1480被升降組件1460降低。隨著加熱器1480移動,升降銷1495(其延伸穿過載座支撐件1405之表面中的開口)會接觸一升降板1475,其中該升降板1475設置在反應腔室1490的基部處。當加熱器1480藉由升降組件1460而持續地向下移動,升降銷1495維持靜止且最後會延伸到載座支撐件1405上方,以將經處理的基板W從載座1499的表面分離。載座1499的頂表面1499a因而被移動到了低於入口埠1440的位置。
一旦將經處理的基板W從載座1499的表面分離,一機械手臂機構的傳送葉片可以移動通過入口埠1440到升降銷1495(其支撐基板W)之頂端的下方。其次,升降組件1460進一步地將加熱器1480與升降板1475向下移動到「基板載入」位置。接著,經處理的基板W可以經由入口埠1440被取回且被傳送到下一個處理站。一第二基板(未示出)可以進而被載入反應腔室1490內以進行處理。然後,可以逆向執行前述的步驟,以將新基板W傳送到處理位置。
儘管前述說明係導向本發明之較佳實施例,可以在不脫離本發明的基本範疇下設想出本發明之其他和進一步實施例。本發明之範疇係由隨附申請專利範圍所決定。
100...處理腔室
101...腔室蓋
102...腔室壁
103...腔室底部
104...載座
104a...接收表面
104b...開口
104c...標記
104d...圓
104e...下表面
104f...圓形區域
104g...圓形碟盤狀主體
104h...凹部
104i...開口
104j...底表面
104A...載座
104B...載座
104C...載座
105...基板
106...定位系統
107...支撐銷
107a...基板支撐銷
107b...頭部
107h...凹部
108...埠
108a...基板操控組件
108b...操控組件
109...控制器
111...埠
111A...埠
111B...埠
111C...埠
111D...埠
111E...埠
111F...埠
111G...埠
111H...埠
111J...埠
112...注射穿孔
113...排氣穿孔
114...間隙
115...切口
134A...可控制的排氣閥
150...流體輸送系統
151...真空泵
152...氣體源
153...處理容積
154...狹縫閥
155...支撐主體
155A...頂表面
156...感應器
157...感應器
158...阻隔特徵結構
200...處理腔室
201...腔室蓋
202...腔室壁
203...腔室底部
204...載座
205...基板
206...載座邊緣滾輪
207...支撐銷
208...埠
209...控制器
250...流體輸送系統
251...真空系統
252...氣體源
253...處理容積
254...狹縫閥
256...感應器組件
260...載座支撐件
261...升降機構
300...載座支撐件
301...主體
302...穿孔
303...穿孔
304...軸承邊緣滾輪
305...穿孔
306...邊緣滾輪
307...穿孔
308...流體源
309...真空泵
317...溝渠
331...浮動套管
334...氣流通道
400...載座支撐件
401...主體
401a...上表面
406...邊緣滾輪
413...真空泵
415...流體源
417...真空泵
423...外部環形溝渠
425...中間環形溝渠
427...內部環形溝渠
500...磊晶處理腔室
501...腔室蓋
502...腔室壁
503...腔室底部
504...載座
504a...基板接收表面
505...基板
506...載座邊緣滾輪
507...基板支撐銷
508...埠
509...控制器
521...上內襯
522...下內襯
523...注射擋件
524...石英窗
525...輻射能量源
526...石英窗
527...輻射能量源
550...流體輸送系統
551...真空系統
552...氣體源
553...處理容積
554...狹縫閥
556...感應器組件
560...載座支撐件
561...升降機構
1400...LPCVD腔室
1402...第一區塊
1404...第二區塊
1405...載座支撐件
1411...埠
1412...流體輸送系統
1420...氣體散佈埠
1422...感應器
1423...感應組件
1424...阻隔板
1425...穿孔面板
1430...腔室蓋
1432...泵
1440...入口埠
1441...泵送通道
1445...腔室主體
1450...第一加熱構件
1457...第二加熱構件
1460...升降組件
1465...軸
1470...系統控制器
1475...升降板
1480...加熱器
1485...泵送板
1488...區域
1490...反應腔室
1493...窗
1495...升降銷
1499...載座
1499a...基板接收表面
可藉由參考本發明之實施例來詳細瞭解本發明之說明,其簡短地在前面概述過,其中該些實施例在附圖中示出。但是應注意的是,附圖僅示出本發明之典型實施例,因此不應視為對其範圍之限制,因為本發明可允許其他等效實施例。
第1A-1B圖係概要地繪示根據本發明之一實施例的處理腔室。
第2A圖係概要地繪示根據本發明之一實施例的基板操控組件。
第2B圖係概要地繪示根據本發明之另一實施例的基板操控組件。
第2C圖係概要地繪示根據本發明之一實施例的載座。
第2D圖係概要地繪示根據本發明之另一實施例的載座。
第2E圖係概要地繪示根據本發明之另一實施例的載座。
第2F圖係概要地繪示第2E圖之載座位在一基板交換位置。
第2G圖係概要地繪示第2E圖之載座位在一處理位置。
第3A圖係為根據本發明之一實施例之基板支撐埠的剖面圖。
第3B圖係為根據本發明之一實施例之基板支撐埠的剖面圖。
第3C圖係為根據本發明之一實施例之基板支撐埠的剖面圖。
第3D圖係為根據本發明之一實施例之基板支撐埠的剖面圖。
第4A-4C圖係概要地繪示根據本發明之另一實施例的處理腔室。
第5A圖係概要地繪示根據本發明之一實施例的載座支撐件。
第5B圖係概要地繪示根據本發明之一實施例的載座支撐件。
第5C圖係概要地繪示根據本發明之一實施例的邊緣滾輪。
第6A-6B圖係概要地繪示根據本發明之一實施例的磊晶處理腔室。
第7圖係概要地繪示根據本發明之一實施例的電漿增強化學氣相沉積腔室。
為促進了解,在可能時使用相同的元件符號來表示該等圖式共有的相同元件。預期到一實施例的元件與(或)製程步驟可有利地併入其他實施例而不需特別詳述。
100...處理腔室
104a...接收表面
104b...開口
104C...載座
105...基板
106...定位系統
107a...基板支撐銷
107b...頭部
109...控制器
151...真空泵
156...感應器
157...感應器

Claims (13)

  1. 一種用於處理一基板之方法,包含下列步驟:定位該基板於一載座之一基板接收表面上,其中定位該基板於該載座上的步驟包含:延伸三或多個基板支撐銷使其通過貫穿該載座形成的多個開口;使用該些三或多個基板支撐銷來接收該基板;以及藉由下降該些三或多個基板支撐銷來傳送該基板至該載座,其中該載座設置在一處理腔室之一支撐組件上方,該支撐組件包含一或多個支撐埠與一或多個旋轉埠,該些支撐埠與該些旋轉埠之各者係適於接收來自一流動控制器的流體;藉由將流體流輸送至該一或多個支撐埠以升高該基板至一處理位置,藉此浮動該載座與該基板;藉由將流體流輸送至該一或多個旋轉埠以旋轉該載座與該基板;藉由控制流到該一或多個旋轉埠之流體流,將該載座中的該些開口與該些三或多個基板支撐銷對準;以及終止流到該一或多個旋轉埠之流體流,以停止該載座的旋轉。
  2. 如申請專利範圍第1項所述之方法,更包含從和該基板接收表面相對的方向加熱該載座。
  3. 如申請專利範圍第2項所述之方法,其中加熱該載座的步驟包含傳送輻射能量通過該處理腔室之一石英窗。
  4. 如申請專利範圍第3項所述之方法,其中該一或多個支撐埠與該一或多個旋轉埠係形成在該石英窗中。
  5. 如申請專利範圍第1項所述之方法,更包含:藉由控制流到該一或多個支撐埠之流體流,下降該載座;以及從該載座傳送該基板至該些三或多個基板支撐銷。
  6. 如申請專利範圍第1項所述之方法,其中升高該基板至一處理位置的步驟包含升高該支撐組件。
  7. 一種用於處理一基板之設備,包含:一腔室主體,其界定一處理容積;一第一石英窗,其貫穿該腔室主體而形成,其中該第一石英窗係設以使得一第一外部源可傳送輻射能量通過該第一石英窗至該處理容積; 一載座,其具有一基板接收表面,該基板接收表面係設以支撐一基板;一或多個埠,其係設以藉由將一流體流導向該載座之背側來浮動且旋轉該載座,其中該一或多個埠包含:一或多個支撐埠,各支撐埠係設以將流體流導向以升高或降低該載座;以及一或多個旋轉埠,各旋轉埠係設以將流體流導向以旋轉該載座;一載座定位系統,其係設以在該載座旋轉時將該載座固持在一區塊內;以及三或多個基板支撐銷,其係設以接收基板且傳送基板至該載座,其中該載座具有三或多個開口形成穿過該載座,該些三或多個開口係設以容許該些三或多個基板支撐銷與該載座之間的相對運動。
  8. 如申請專利範圍第7項所述之設備,其中該一或多個埠係形成穿過該第一石英窗。
  9. 如申請專利範圍第7項所述之設備,更包含一支撐組件,該支撐組件設置在該處理容積中,其中該支撐組件係設以支撐且旋轉該載座,並且該一或多個埠形成在該支撐組件中。
  10. 如申請專利範圍第9項所述之設備,更包含一支撐件升降組件,其係設以升高或降低該支撐組件。
  11. 如申請專利範圍第7項所述之設備,其中該些三或多個開口之各者係形成在一凹部的底表面上,該凹部形成在該載座之基板接收表面中,並且該凹部之底表面係設以當該基板停置在該基板接收表面上時能支撐基板支撐銷之一頭部。
  12. 如申請專利範圍第7項所述之設備,其中該腔室主體具有一狹縫閥,該狹縫閥係形成穿過一側壁,該狹縫閥係設以容許基板的通過且可使該載座位在一接收位置以接收該基板,並且該設備更包含一升降機構,該升降機構係設以移動該載座於該接收位置與一處理位置之間而使該載座和該狹縫閥的高度不同。
  13. 如申請專利範圍第7項所述之設備,更包含一第二石英窗,該第二石英窗係形成在該腔室主體上,其中該載座之基板接收表面面向該第二石英窗且該載座之背側面向該第一石英窗,並且該第二石英窗係設以從一第二外部熱源傳送能量至該處理容積。
TW099104496A 2009-02-11 2010-02-11 非接觸性基板處理 TWI488256B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15164709P 2009-02-11 2009-02-11

Publications (2)

Publication Number Publication Date
TW201041078A TW201041078A (en) 2010-11-16
TWI488256B true TWI488256B (zh) 2015-06-11

Family

ID=42539551

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099104496A TWI488256B (zh) 2009-02-11 2010-02-11 非接觸性基板處理

Country Status (7)

Country Link
US (2) US8388853B2 (zh)
JP (1) JP5655010B2 (zh)
KR (1) KR101680751B1 (zh)
CN (1) CN102308381B (zh)
DE (1) DE112010000737T5 (zh)
TW (1) TWI488256B (zh)
WO (1) WO2010093568A2 (zh)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102308381B (zh) * 2009-02-11 2014-08-13 应用材料公司 非接触性基板处理
US8916793B2 (en) * 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
DE102010016471A1 (de) * 2010-04-16 2011-10-20 Aixtron Ag Vorrichtung und Verfahren zum gleichzeitigen Abscheiden mehrerer Halbleiterschichten in mehreren Prozesskammern
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
KR20120108229A (ko) * 2011-03-23 2012-10-05 삼성디스플레이 주식회사 레이저 가공용 워크 테이블
US20120309115A1 (en) * 2011-06-02 2012-12-06 Applied Materials, Inc. Apparatus and methods for supporting and controlling a substrate
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US20130137335A1 (en) * 2011-11-29 2013-05-30 Fengming Yin Curing device for lcd panels
KR102073802B1 (ko) * 2012-04-25 2020-02-05 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 측정 및 제어
TWI454197B (zh) * 2012-08-14 2014-09-21 Scientech Corp 非接觸式基板載具及其基板垂直承載裝置
CN110610894B (zh) 2012-11-27 2023-08-04 盛美半导体设备(上海)股份有限公司 使用基板支撑装置清洗基板背面的方法
TW201437421A (zh) * 2013-02-20 2014-10-01 Applied Materials Inc 用於旋轉料架原子層沉積之裝置以及方法
TW201437423A (zh) * 2013-02-21 2014-10-01 Applied Materials Inc 用於注射器至基板的空隙控制之裝置及方法
KR102126275B1 (ko) 2013-05-03 2020-06-25 삼성디스플레이 주식회사 기판정렬장치 및 이를 이용한 기판절단장치
US9394938B2 (en) 2013-06-19 2016-07-19 Applied Materials, Inc. Internal chamber rotation motor, alternative rotation
JP6020483B2 (ja) * 2014-02-14 2016-11-02 トヨタ自動車株式会社 表面処理装置と表面処理方法
KR102449103B1 (ko) * 2014-03-12 2022-09-28 어플라이드 머티어리얼스, 인코포레이티드 반도체 챔버에서의 웨이퍼 회전
US9976211B2 (en) * 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
SG11201704367QA (en) * 2015-01-02 2017-07-28 Applied Materials Inc Processing chamber
US10157755B2 (en) * 2015-10-01 2018-12-18 Lam Research Corporation Purge and pumping structures arranged beneath substrate plane to reduce defects
US10699934B2 (en) * 2015-10-01 2020-06-30 Infineon Technologies Ag Substrate carrier, a processing arrangement and a method
EP3363044B1 (en) * 2015-10-15 2021-12-15 Applied Materials, Inc. Substrate carrier system
KR101738986B1 (ko) * 2015-12-07 2017-05-24 주식회사 디엠에스 리프트 핀 어셈블리를 갖는 기판처리장치
WO2017116905A1 (en) * 2015-12-30 2017-07-06 Mattson Technology, Inc. Gas flow control for millisecond anneal system
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse
US10428425B2 (en) * 2016-01-26 2019-10-01 Tokyo Electron Limited Film deposition apparatus, method of depositing film, and non-transitory computer-readable recording medium
DE102016101842A1 (de) * 2016-02-03 2017-08-03 Helmut Fischer GmbH Institut für Elektronik und Messtechnik Vakuumspannvorrichtung zum Aufspannen von Werkstücken, Messvorrichtungen und Verfahren zum Prüfen von Werkstücken, insbesondere Wafern
DE102016111234B4 (de) * 2016-06-20 2018-01-25 Heraeus Noblelight Gmbh Vorrichtung für die thermische Behandlung eines Substrats sowie Trägerhorde und Substrat-Trägerelement dafür
US20180033673A1 (en) * 2016-07-26 2018-02-01 Applied Materials, Inc. Substrate support with in situ wafer rotation
WO2018028873A1 (en) 2016-08-09 2018-02-15 Singulus Technologies Ag A non-contact substrate carrier for simultaneous rotation and levitation of a substrate
US10559488B2 (en) * 2016-08-10 2020-02-11 Veeco Precision Surface Processing Llc Two-level tape frame rinse assembly
JP6786307B2 (ja) * 2016-08-29 2020-11-18 株式会社ニューフレアテクノロジー 気相成長方法
DE102017105947A1 (de) * 2017-03-20 2018-09-20 Aixtron Se Suszeptor für einen CVD-Reaktor
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
CN108962810A (zh) * 2017-05-24 2018-12-07 北京北方华创微电子装备有限公司 一种承载基座及预清洗装置
CN107475689A (zh) * 2017-08-28 2017-12-15 常州亿晶光电科技有限公司 一种改善理想机台沉积ALOx膜均匀性的方法
TWI660444B (zh) * 2017-11-13 2019-05-21 萬潤科技股份有限公司 載台及使用載台之晶圓搬送方法及加工裝置
US10286484B1 (en) * 2018-01-12 2019-05-14 General Electric Company Systems and methods for additive manufacturing calibration
JP7097740B2 (ja) * 2018-04-24 2022-07-08 東京エレクトロン株式会社 成膜装置および成膜方法
US11774306B2 (en) * 2018-06-26 2023-10-03 Applied Materials, Inc. System and method for maintenance of rotation-lift assembly
US10698025B2 (en) * 2018-07-20 2020-06-30 Formfactor Beaverton, Inc. Probe systems and methods that utilize a flow-regulating structure for improved collection of an optical image of a device under test
JP6979935B2 (ja) * 2018-10-24 2021-12-15 三菱電機株式会社 半導体製造装置および半導体製造方法
CN110093594B (zh) * 2019-05-20 2021-05-18 北京捷造光电技术有限公司 一种用于大面积pecvd基片传输结构
KR20210086748A (ko) * 2019-12-30 2021-07-09 세메스 주식회사 기판 리프팅 방법 및 기판 처리 장치
DE102020208184A1 (de) 2020-06-30 2021-12-30 Singulus Technologies Aktiengesellschaft Heizsystem und Verfahren zum Aufheizen von großflächigen Substraten
KR102570336B1 (ko) * 2021-03-22 2023-08-25 김용한 질화갈륨 기판의 제조 장치
DE102021004175B3 (de) 2021-08-13 2022-12-01 Singulus Technologies Aktiengesellschaft Abstandsvorrichtung für Heizsystem zum Aufheizen von großflächigen Substraten, Heizsystem und Aufheizverfahren
NL2031849B1 (en) * 2022-05-13 2023-11-20 Boschman Tech Bv Micro-controlled Environment Carrier with Pedestals
CN115064471B (zh) * 2022-08-01 2023-11-28 北京屹唐半导体科技股份有限公司 晶圆的热处理装置
CN115910907B (zh) * 2022-12-25 2023-09-29 北京屹唐半导体科技股份有限公司 晶圆的热处理装置及托盘的控制方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6005226A (en) * 1997-11-24 1999-12-21 Steag-Rtp Systems Rapid thermal processing (RTP) system with gas driven rotating substrate
US20010002948A1 (en) * 1998-12-11 2001-06-07 Rodney T. Hodgson Gas driven rotating susceptor for rapid thermal processing (rtp) system
US20070098904A1 (en) * 2005-05-25 2007-05-03 Helmut Aschner Device and method for the reduction of particles in the thermal treatment of rotating substrates
US20080276864A1 (en) * 2007-05-09 2008-11-13 Blake Koelmel Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20080280453A1 (en) * 2007-05-09 2008-11-13 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS50122879A (zh) * 1974-03-13 1975-09-26
NL8401776A (nl) * 1984-06-04 1986-01-02 Bok Edward Verbeterde double-floating wafer transport/processing installatie.
JPS62150711A (ja) * 1985-12-24 1987-07-04 Seiko Epson Corp 気相成長法
JP2773934B2 (ja) * 1989-11-17 1998-07-09 古河電気工業株式会社 半導体ウエハの成膜装置
US5226383A (en) * 1992-03-12 1993-07-13 Bell Communications Research, Inc. Gas foil rotating substrate holder
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
JP2762022B2 (ja) * 1993-08-25 1998-06-04 日本エー・エス・エム株式会社 Cvd装置に使用する回転機構、およびこの機構を利用して被処理体の温度を制御する方法
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6402843B1 (en) * 1999-12-07 2002-06-11 Trusi Technologies, Llc Non-contact workpiece holder
US6781684B1 (en) * 2000-11-07 2004-08-24 Donald L. Ekhoff Workpiece levitation using alternating positive and negative pressure flows
KR100735932B1 (ko) * 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
JP2003109994A (ja) * 2001-09-26 2003-04-11 Applied Materials Inc 基板処理装置
US6720263B2 (en) * 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
JP2003124287A (ja) * 2001-10-19 2003-04-25 Komatsu Electronic Metals Co Ltd エピタキシャルウェハ製造装置及びウェハ製造方法
JP3908112B2 (ja) 2002-07-29 2007-04-25 Sumco Techxiv株式会社 サセプタ、エピタキシャルウェーハ製造装置及びエピタキシャルウェーハ製造方法
US6839507B2 (en) * 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US6883250B1 (en) * 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
US20050126605A1 (en) * 2003-12-15 2005-06-16 Coreflow Scientific Solutions Ltd. Apparatus and method for cleaning surfaces
JP4601341B2 (ja) * 2004-07-02 2010-12-22 大日本スクリーン製造株式会社 基板処理装置
JP2006186117A (ja) * 2004-12-28 2006-07-13 Dainippon Screen Mfg Co Ltd 基板保持装置および基板回転式処理装置
JP4346563B2 (ja) * 2005-02-09 2009-10-21 新電元工業株式会社 化学気相成長装置
US20070089836A1 (en) * 2005-10-24 2007-04-26 Applied Materials, Inc. Semiconductor process chamber
DE102005055252A1 (de) * 2005-11-19 2007-05-24 Aixtron Ag CVD-Reaktor mit gleitgelagerten Suszeptorhalter
KR100885180B1 (ko) * 2006-12-27 2009-02-23 세메스 주식회사 기판 지지유닛, 그리고 상기 기판 지지유닛을 구비하는기판처리장치 및 방법
KR20080062340A (ko) * 2006-12-29 2008-07-03 세메스 주식회사 기판처리장치
JP5021347B2 (ja) * 2007-03-26 2012-09-05 三井造船株式会社 熱処理装置
CN102308381B (zh) * 2009-02-11 2014-08-13 应用材料公司 非接触性基板处理

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6005226A (en) * 1997-11-24 1999-12-21 Steag-Rtp Systems Rapid thermal processing (RTP) system with gas driven rotating substrate
US20010002948A1 (en) * 1998-12-11 2001-06-07 Rodney T. Hodgson Gas driven rotating susceptor for rapid thermal processing (rtp) system
US20070098904A1 (en) * 2005-05-25 2007-05-03 Helmut Aschner Device and method for the reduction of particles in the thermal treatment of rotating substrates
US20080276864A1 (en) * 2007-05-09 2008-11-13 Blake Koelmel Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20080280453A1 (en) * 2007-05-09 2008-11-13 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber

Also Published As

Publication number Publication date
WO2010093568A2 (en) 2010-08-19
US20100200545A1 (en) 2010-08-12
CN102308381B (zh) 2014-08-13
JP2012517701A (ja) 2012-08-02
US10074555B2 (en) 2018-09-11
DE112010000737T5 (de) 2013-01-17
WO2010093568A3 (en) 2010-12-02
US8388853B2 (en) 2013-03-05
US20130224962A1 (en) 2013-08-29
TW201041078A (en) 2010-11-16
KR101680751B1 (ko) 2016-12-12
JP5655010B2 (ja) 2015-01-14
KR20110117711A (ko) 2011-10-27
CN102308381A (zh) 2012-01-04

Similar Documents

Publication Publication Date Title
TWI488256B (zh) 非接觸性基板處理
US8057602B2 (en) Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
KR102269469B1 (ko) 인젝터 대 기판 갭 제어를 위한 장치 및 방법들
US6374512B1 (en) Method for reducing contamination of a substrate in a substrate processing system
US20100275848A1 (en) Heat treatment apparatus
US20080129064A1 (en) Bernoulli wand
KR20190090032A (ko) 반도체 프로세싱을 위해 원뿔형 웨이퍼 센터링 및 홀딩 디바이스
KR20160003846A (ko) Epi 챔버 유동 조작을 위한 주입구 및 배기구 설계
JP2019529691A (ja) 基板の同時の回転及び浮揚のための非接触基板キャリア
US10535513B2 (en) Apparatus and methods for backside passivation
US6861321B2 (en) Method of loading a wafer onto a wafer holder to reduce thermal shock
JP2012023073A (ja) 基板処理装置および基板の製造方法
TW202217909A (zh) 多處理半導體處理系統
JP2011187543A (ja) 基板処理装置および半導体装置の製造方法
JP2007088176A (ja) 基板処理装置及び半導体装置の製造方法
JP2006049489A (ja) 基板処理装置
JP2011204945A (ja) 基板処理装置および半導体装置の製造方法
JP2009117555A (ja) 基板処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees