CN101857825A - 用于清除和清洗的组合物及其用途 - Google Patents

用于清除和清洗的组合物及其用途 Download PDF

Info

Publication number
CN101857825A
CN101857825A CN201010156882A CN201010156882A CN101857825A CN 101857825 A CN101857825 A CN 101857825A CN 201010156882 A CN201010156882 A CN 201010156882A CN 201010156882 A CN201010156882 A CN 201010156882A CN 101857825 A CN101857825 A CN 101857825A
Authority
CN
China
Prior art keywords
composition
ether
glycol
fluorochemicals
hydroxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201010156882A
Other languages
English (en)
Other versions
CN101857825B (zh
Inventor
M·I·埃格贝
M·勒根扎
T·维伊德
J·M·里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN101857825A publication Critical patent/CN101857825A/zh
Application granted granted Critical
Publication of CN101857825B publication Critical patent/CN101857825B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • C11D7/30Halogenated hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/04Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors
    • C23G1/06Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors organic inhibitors
    • C23G1/061Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors organic inhibitors nitrogen-containing compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/16Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions using inhibitors
    • C23G1/18Organic inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Detergent Compositions (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本发明涉及用于清除和清洗的组合物及其用途,公开了一种能够从物品上去除残余物如光致抗蚀剂和/或蚀刻残余物的组合物,其包括含有二醇醚的一种或多种水溶性有机溶剂;水;含氟化合物,条件是如果含氟化合物为氟化铵则没有其它的含氟化合物添加到该组合物中;和任选的季铵化合物;以及任选的腐蚀抑制剂。还公开了一种利用在此公开的组合物从物品上去除残余物的方法。

Description

用于清除和清洗的组合物及其用途
本申请是申请号为200510089699.4,申请日为2005年7月1日,发明名称为“用于清除和清洗的组合物及其用途”的中国专利申请的分案申请。
相关申请的交叉参考
本申请要求享有2004年7月1日提交的美国临时申请60/584733的权益。
技术领域
本发明涉及用于清除和清洗的组合物及其用途。
背景技术
在制备微电子结构的过程中包括多个步骤。在制备集成电路的制备方案中,有时需要选择性蚀刻半导体的不同表面。在历史上,已经成功地不同程度地利用多种非常不同类型的蚀刻工艺以选择性地清除材料。此外,在微电子结构中不同层的选择性的蚀刻被认为是集成电路制备工艺中关键性和决定性的步骤。
越来越多地,活性离子蚀刻(RIE)在通孔、金属线和沟槽制备过程中是选择用于图案转印的工艺。例如,复杂半导体器件如高级DRAMS和微处理器利用RIE制备通孔、金属线和沟槽结构,该半导体器件需要多层后端布线的互连线路。利用通孔经由层间电介质以使得一级硅、硅化物或金属线与下一级金属线之间接触。金属线是用作器件互连的导电结构。沟槽结构用于金属线结构的形成。通孔、金属线和沟槽结构典型地使金属和合金如Al、Al/Cu、Cu、Ti、TiN、Ta、TaN、W、TiW、硅或硅化物如钨、钛或钴的硅化物暴露。RIE工艺典型地遗留(复杂混合物的)残余物,该残余物可包括用于平板印刷化地限定通孔、金属线或沟槽结构的再溅射氧化物材料以及来自光致抗蚀剂和抗反射涂层材料的可能的有机材料。
因此希望提供能够去除残余物如残余的光致抗蚀剂和/或加工残余物如利用等离子体和/或RIE选择性蚀刻导致的残余物的选择性清洗组合物和工艺。此外,还希望提供能够去除残余物如光致抗蚀剂和蚀刻残余物的选择性清洗组合物和工艺,该清洗组合物和工艺相比金属、高k介电材料、硅、硅化物、和/或层间介电材料对残余物具有高度选择性,其中该层间介电材料包括低k介电材料如也会暴露于清洗组合物下的沉积氧化物。希望提供与这种敏感低k薄膜如HSQ、MSQ、FOx、黑金刚石和TEOS(四乙基硅酸盐)相匹配的以及可以一起使用的组合物。
发明内容
在此公开的组合物能够从基底上选择性地去除残余物如光致抗蚀剂和加工残余物而不会侵蚀也暴露于组合物下的任何不希望被侵蚀的金属、低k和/或高k介电材料。此外,在此公开的组合物可具有某种介电材料如氧化硅的最小蚀刻速率。
在此也公开了一种从基底上去除含有光致抗蚀剂和/或蚀刻残余物的残余物的方法,该方法包括使基底和在此公开的组合物接触。
具体实施方式
一种组合物和包括该组合物的方法,用于选择性地去除残余物如光致抗蚀剂和/或加工残余物如通过蚀刻、特别是活性离子蚀刻产生的残余物。在包括用于微电子器件的基底的清洗方法中,要去除的典型杂质可包括例如有机化合物、无机化合物、如有机金属残余物和金属有机化合物的含金属化合物、离子的和中性的、轻的和重的无机(金属)类、水份、和不溶性材料,包括由诸如平面化和蚀刻工艺的工艺产生的粒子;所述有机化合物为例如曝光的和/或灰化的光致抗蚀剂材料、灰化的光致抗蚀剂残余物、UV-或X射线硬化的光致抗蚀剂、含C-F的聚合物、低和高分子量聚合物和其它有机蚀刻残余物;所述无机化合物为例如金属氧化物、来自化学机械平面化(CMP)浆料的陶瓷粒子和其它无机蚀刻残余物。在一个具体实施方案中,去除的残余物是加工残余物如由活性离子蚀刻产生的那些残余物。
在基底上通常存在的残余物还包括金属、硅、硅酸盐和/或层间介电材料如沉积的氧化硅和氧化硅衍生物如HSQ、MSQ、FOX、TEOS和旋涂玻璃、化学气相沉积介电材料、和/或高k材料如硅酸铪、氧化铪、钛酸钡锶(BST)、TiO2、TaO5,其中残余物和金属、硅、硅化物、层间介电材料、低k和/或高k材料都与清洗组合物接触。在此公开的组合物和方法用于选择性地去除残余物如光致抗蚀剂、BARC、间隙填充物、和/或加工残余物,而不会显著地侵蚀金属、硅、二氧化硅、层间介电材料、低k和/或高k材料。在某些实施方案中,基底可以包括金属例如但不局限于铝、铝铜合金、铜、铜合金、钛、一氮化钛、钽、一氮化钽、钨、和/或钛/钨合金。在一个实施方案中,在此公开的组合物适用于含有敏感低k薄膜的基底。
在此公开的组合物可包括5至95wt%或10至80wt%的水溶性有机溶剂。在一个实施方案中,该组合物可含有大量一种或多种水溶性有机溶剂(即50wt%或更多)和少量水(即少于50wt%)。在可替代的实施方案中,该组合物可含有大量水(即50wt%或更多)和少量一种或多种水溶性有机溶剂(即少于50wt%)。
水溶性有机溶剂包括有机胺、酰胺、亚砜、砜、内酰胺、咪唑啉酮、内酯、多元醇、二醇醚、二醇等。典型地可与水混溶的有机溶剂通常用于清除和清洗应用的制剂中。有机胺的实例包括一乙醇胺(MEA)、N-甲基乙醇胺(NMEA)、1,2-乙二胺、2-(2-氨基乙基氨基)乙醇、二乙醇胺、二丙胺、2-乙氨基乙醇、二甲氨基乙醇、环己胺、苄胺、吡咯、吡咯烷、吡啶、吗啉、哌啶、唑等。酰胺的实例包括N,N-二甲基甲酰胺、二甲基乙酰胺(DMAC)、二乙基乙酰胺等。亚砜的实例包括二甲基亚砜。砜的实例包括二甲基砜和二乙基砜。内酰胺的实例包括N-甲基-2-吡咯烷酮和咪唑啉酮。内酯的实例包括丁内酯和戊内酯。多元醇的实例包括乙二醇、丙二醇、乙二醇单甲醚乙酸酯、一缩二丙二醇甲基醚和二缩三丙二醇甲基醚。有机溶剂的其它实例还包括但不限于N-甲基吡咯烷酮(pyrrolidinone)(NMP)、二甲基甲酰胺、N-甲基甲酰胺、甲酰胺、二甲基-2-哌啶酮(DMPD)、四氢糠醇或多官能化合物如羟基酰胺或氨基醇。上述列举的有机溶剂可以单独使用或者两种或多种溶剂混合使用。
在特定实施方案中,水溶性有机溶剂可以是二醇醚。该二醇醚可包括二醇单(C1-C6)烷基醚和二醇二(C1-C6)烷基醚,例如但不限于(C1-C20)链烷二醇、(C1-C6)烷基醚和(C1-C20)链烷二醇二(C1-C6)烷基醚。二醇醚的实例为乙二醇单甲醚、乙二醇单乙醚、乙二醇单丁醚、乙二醇二甲醚、乙二醇二乙醚、二甘醇单甲醚、二甘醇单乙醚、二甘醇单丙醚、二甘醇单异丙醚、二甘醇单丁醚、二甘醇单异丁醚、二甘醇单苄基醚、二甘醇二甲醚、二甘醇二乙醚、三甘醇单甲醚、三甘醇二甲醚、聚乙二醇单甲醚、二甘醇甲乙醚、三甘醇乙二醇单甲醚乙酸酯、乙二醇单乙醚乙酸酯、丙二醇单甲醚、丙二醇二甲醚、丙二醇单丁醚、丙二醇单丙醚、一缩二丙二醇单甲醚、一缩二丙二醇单丙醚、一缩二丙二醇单异丙醚、一缩二丙二醇单丁醚、一缩二丙二醇二异丙醚、二缩三丙二醇单甲醚、1-甲氧基-2-丁醇、2-甲氧基-1-丁醇、2-甲氧基-2-甲基丁醇、1,1-二甲氧基乙烷和2-(2-丁氧基乙氧基)乙醇。二醇醚更典型的实例是丙二醇单甲醚、丙二醇单丙醚、二缩三(丙二醇)单甲醚和2-(2-丁氧基乙氧基)乙醇。
组合物可含有5-95wt%或10至80wt%的水。它可以附带地存在其它元素的组分如包含含氟化合物(fluoride containing compound)的水溶液,或者可以单独添加它。水的一些非限定实例包括去离子水、超纯水、蒸馏水、二次蒸馏水或具有低金属含量的去离子水。
在特定实施方案中,组合物可包括含氟化合物。基于组合物的总重量该含氟化合物或其混合物的含量为0.1wt%至20wt%、或0.1至10wt%或从大于0.8至10wt%。含氟化合物可包括通式R1R2R3R4NF表示的化合物,其中R1、R2、R3和R4分别单独为氢、醇基、烷氧基、烷基及其混合物。这种化合物的实例包括氟化铵、氟化四甲铵、氟化四乙铵、氟化四丁铵和其混合物。含氟化合物的其它实例还包括氟硼酸、氢氟酸、氟硼酸盐、氟硼酸、四丁基铵四氟硼酸盐、六氟化铝和胆碱氢氟酸盐。另外的实施方案中,可以使用的含氟化合物为脂肪族伯、仲或叔胺的氟化物盐。在其中含氟化合物是氟化铵的实施方案中,组合物不包括任何另外的含氟化合物。
在特定实施方案中,组合物可包括一种或多种季铵化合物。组合物还包括一种或多种通式为[N-R5R6R7R8]+OH-的季铵化合物,其中R5、R6、R7和R8各自单独地为1-20个碳原子的烷基。术语“烷基”指的是直链或支链未取代的碳原子数为1-20、或1-8或者1-4的烃基。适合的烷基实例包括甲基、乙基、丙基、异丙基、丁基和叔丁基。表述“低级烷基”指的是碳原子数为1-4的烷基。适合的季铵化合物的实例包括氢氧化四甲铵(TMAH)、氢氧化四乙铵、氢氧化四丁铵(TBAH)、氢氧化四丙铵、氢氧化三甲基乙基铵、氢氧化(2-羟乙基)三甲基铵、氢氧化(2-羟乙基)三乙基铵、氢氧化(2-羟乙基)三丙基铵、氢氧化(1-羟丙基)三甲基铵、氢氧化乙基三甲基铵、氢氧化二乙基二甲基铵和氢氧化苄基三甲基铵。季铵化合物的含量为约0.1-15wt%或约0.1-10wt%。
在特定实施方案中,在此描述的组合物还任选地可包含最多约20wt%或者约0.2至约19wt%的腐蚀抑制剂。可以使用在相似应用的领域中公知的任何腐蚀抑制剂,例如在此引入参考的美国专利5417877公开的那些。腐蚀抑制剂可以是例如有机酸、有机酸盐、酚、三唑、羟胺及其酸式盐。具体腐蚀抑制剂的实例包括邻氨基苯甲酸、五倍子酸、苯甲酸、间苯二甲酸、马来酸、富马酸、D,L-羟基丁二酸、丙二酸、邻苯二甲酸、马来酸酐、邻苯二甲酸酐、苯并三唑(BZT)、羧基苯并三唑、间苯二酚、羧基苯并三唑、二乙基羟胺及其乳酸和柠檬酸盐等。可以使用的腐蚀抑制剂的其它实例包括邻苯二酚、间苯二酚、连苯三酚以及五倍子酸酯。可以使用的具体羟胺包括二乙基羟胺及其乳酸和柠檬酸盐等。适合的腐蚀抑制剂的其它实例包括果糖、硫代硫酸铵、氨基乙酸、乳酸、四甲基胍、亚氨基二乙酸、二甲基乙酰乙酰胺、三羟基苯、二羟基苯、水杨基羟肟酸(salicyclohydroxamic)和硫代甘油。
组合物还包括一种或多种以下的添加剂,条件是这些添加剂既不会不利地影响组合物的清洗和清除功能也不会损害下面的基底表面:表面活性剂、螯合剂、化学改性剂、染料、生物杀灭剂和/或其它添加剂,其总含量最高为组合物总重量的5wt%。代表性的添加剂的一些实例包括炔醇及其衍生物、炔二醇(非离子烷氧基化的和/或可自乳化的炔二醇表面活性剂)及其衍生物、醇、季铵和二胺、酰胺(包括非质子溶剂如二甲基甲酰胺和二甲基乙酰胺)、烷基烷醇胺(如二乙醇乙胺),以及螯合剂如β-二酮、β-酮亚胺、羧酸、羟基丁二酸和酒石酸基酯和二酯及其衍生物、以及叔胺、二胺以及三胺。
在某些实施方案中,例如当组合物包括大于50%的水时,在此公开的组合物的pH值介于约7至约14、或者约9至约13范围内。在选择性实施方案中,例如组合物包括小于50%的水时,在此公开的组合物可具有介于约4至约9、或者约5至约7范围内的pH。
在此公开的组合物与低k薄膜如HSQ(FOx)、MSQ、SiLK等相容。该配方在低温下同样有效地清除光致抗蚀剂和等离子蚀刻残余物如有机残余物、有机金属残余物、无机残余物、金属氧化物或光致抗蚀剂复合物,并且极小或不腐蚀含铝、铜、钛的基底。此外,该组合物与多种低介电常数和高介电常数的材料相容。
在制备工艺中,光致抗蚀剂层涂覆在基底上。利用光刻蚀法工艺,在光致抗蚀剂层上限定图案。从而该已形成图案的光致抗蚀剂层经受等离子蚀刻,由此将图案转印到基底上。在蚀刻阶段产生蚀刻残余物。用于本发明的一些基底进行灰化而一些不进行灰化。当对基底进行灰化时,要清洗的主要残余物是蚀刻剂残余物。如果基底没有进行灰化,则要清洗或者清除的主要残余物是蚀刻残余物和光致抗蚀剂。
在此描述的方法可通过使基板和所述组合物接触而进行,该基板具有以薄膜或残余物形式存在的金属、有机或金属有机聚合物、无机盐、氧化物、氢氧化物或者其复合物或组合物。实际条件如温度、时间等依赖于要去除的材料的性质和厚度。一般而言,基底在温度范围20℃至85℃、或20℃至60℃、或20℃至40℃内接触或者浸入含有该组合物的容器中。基板暴露在组合物下的典型时间周期可以是例如0.1至60分钟、或1至30分钟、或1至15分钟。在与该组合物接触后,可以冲洗基板并进行干燥。干燥典型地在惰性气氛下进行。在某些实施方案中,在使基板和在此所述的组合物接触之前、之中和/或之后可采用去离子水冲洗或含有其它添加剂的去离子水冲洗。然而,该组合物可用于本领域公知的利用清洗流体以去除光致抗蚀剂、灰化或蚀刻残余物和/或残余物的任何方法。
实施例
提供以下实施例以进一步阐述在此公开的组合物和方法。在表I中列出各种代表性组合物和每种组合物的pH值的实施例。在表I中,给出的含量都是重量百分比并且总数为100wt%。通过在室温将组分一起混合到容器中并且直到所有固体溶解来制备在此公开的组合物。在以下实施例中,在环境温度下利用5%水溶液测定pH值。涂覆有正性抗蚀剂的基底在暴露于组合物之前进行显影、蚀刻和灰化。在以下表格中,“n/t”指的是未测试的而“NC”指的是不相容。
表II中示出了具有各种金属层的每种代表性组合物的金属蚀刻速率(“ER”)的汇总。在所有下述蚀刻速率中,在表II示出的温度下在暴露时间为5、10、20、40和60分钟时进行测量。在每个时间间隔进行厚度测量并根据每个代表性组合物的结果利用“最小平方拟合”线性回归模型做图。每个组合物“最小平方拟合”模型计算的斜率为以埃/分钟
Figure GSA00000079940700061
表示的蚀刻速率结果。在测定金属蚀刻速率中,晶片具有以公知厚度的沉积在其上的特定金属或者金属合金涂覆层。利用CDE ResMap 273四点探针测定晶片的初始厚度。在测定初始厚度后,将测试晶片浸入代表性组合物中。在每个时间间隔后,将测试晶片从代表性组合物中取出、用去离子水冲洗三分钟并在氮气下彻底干燥。测量每个晶片的厚度,并且如果必要,在下一个时间间隔重复上述步骤。如果要测试的金属是钛,那么需要在磷酸中进行初始浸渍。
表III中示出了具有各种氧化物层的每种代表性组合物的氧化物蚀刻速率(“ER”)的汇总。利用Nanospec AFT 181或者SENTECH SE-800分光椭率计测定氧化物蚀刻速率。将定量200ml的代表性组合物放置在250ml烧杯中进行搅拌和加热,如果需要加热到特定温度。对于在Nanospec AFT 181上进行的这些测试,在要测试的每个晶片上划三个圆。每个晶片上的标记区域为要进行测量的区域。初始测量每个晶片。在初始测量后,将晶片浸渍在代表性组合物中5分钟。如果仅有一个晶片放置在盛有溶液的烧杯中,那么在烧杯中要放置模拟晶片。5分钟后,用去离子水冲洗测试晶片3分钟并在氮气下干燥。测量每个晶片的标记区域并且如果必要在下一个时间间隔内重复该步骤。
表IV中示出了具有各种低k层的每种代表性组合物典型的低电介质速率(“ER”)的汇总。采用具有沉积其上的各种低k有机硅酸盐薄膜的硅晶片进行低k电介质蚀刻速率。在椭率计上获得低k蚀刻速率,该椭率计的操作方法与为获得氧化物蚀刻速率所述的Nanospec AFT或者SENTECH的操作方法相同。
各种测试晶片暴露于代表性组合物3和4下并采用扫描电子显微镜(SEM)在各个位置评估以测定蚀刻和灰化去除的程度以及对下面的基底的影响。切开晶片以提供边缘,然后采用SEM在晶片的各个预定位置上进行检测,并将结果可视化示出并且以下述方式标记:“+++”表示优秀;“++”表示良好;“+”表示一般;以及“-”表示差。在暴露于代表性组合物3或者4后,晶片采用去离子水清洗并在氮气下干燥。结果示于表V中。表V中的所有结果表明代表性组合物3和4去除了蚀刻和灰化残余物而基本上没有侵蚀底层。
表I
Figure GSA00000079940700081
d-PGME    一缩二丙二醇甲醚
t-PGME    二缩三丙二醇甲醚
PG        丙二醇
BZT       苯并三唑
TMAF      氟化四甲铵
TMAH      氢氧化四甲铵
AF        氟化铵
GE        乙二醇醚
表II以埃每分钟表示的在典型金属上的蚀刻速率
  实施例 温度(℃)   Al   Cu   Ta   TaN   Ti   TiN   TiW   W
  实施例1 40   5   <1   <1   <1   20   1   <1   <1
  实施例2 55   NC   <1   <1   <1   1   <1   9   3
  实施例3 25   19   2   n/t   n/t   n/t   n/t   n/t   n/t
  实施例4 25   6   2   <1   <1   <1   <1   <1   <1
  实施例4 40   7   1   <1   n/t   15   n/t   n/t   <1
表III以埃每分钟
Figure GSA00000079940700091
表示的在典型氧化物上的蚀刻速率
  实施例   温度(℃)   FSG(1)   PTEOS(2)   TEOS(D)(3)   Tox(4)
  实施例1   40   71   73   49   30
  实施例2   55   1   3   <1   <1
  实施例4   25   <1   n/t   n/t   <1
  实施例4   40   n/t   1   1   n/t
(1)氟硅酸盐玻璃(FSG)
(2)磷掺杂未硬化的TEOS(原硅酸四乙酯)
(3)未掺杂的硬化的TEOS
(4)热氧化物
表IV以埃每分钟
Figure GSA00000079940700092
表示的典型低k电介质的蚀刻速率
Figure GSA00000079940700093
DEMS低k电介质是Air Products and Chemicals Inc.的注册商标;
BLACK DIAMOND
Figure GSA00000079940700095
低k电介质是Applied Materials Inc.的注册商标;
CORAL低k电介质是Green,Tweed的注册商标;
ORION
Figure GSA00000079940700097
低k电介质是Trikon Technologies的注册商标;
SiLK
Figure GSA00000079940700098
半导体电介质树脂是Dow Chemical Company的注册商标;
表V
Figure GSA00000079940700101

Claims (12)

1.用于从物品上去除残余物的组合物,该组合物包括:
50wt%或更多的含有二醇醚的至少一种水溶性有机溶剂;
小于50wt%的水;
0.1wt%-20wt%的含氟化合物,条件是如果含氟化合物为氟化铵则没有其它的含氟化合物添加到该组合物中,其中该含氟化合物通式为R1R2R3R4NF,其中R1、R2、R3和R4独立地为烷基;
0.2-20wt%的腐蚀抑制剂,其包括选自如下成分组成的组中的至少一种:二乙基羟胺,二乙基羟胺乳酸盐和二乙基羟胺柠檬酸盐;和
季铵化合物,该季铵化合物的通式为[N-R5R6R7R8]+OH-,其中R5、R6、R7和R8各自单独地为1-20个碳原子的烷基。
2.权利要求1的组合物,其中二醇醚选自乙二醇单甲醚、乙二醇单乙醚、乙二醇单丁醚、乙二醇二甲醚、乙二醇二乙醚、二甘醇单甲醚、二甘醇单乙醚、二甘醇单丙醚、二甘醇单异丙醚、二甘醇单丁醚、二甘醇单异丁醚、二甘醇单苄基醚、二甘醇二甲醚、二甘醇二乙醚、三甘醇单甲醚、三甘醇二甲醚、聚乙二醇单甲醚、二甘醇甲基乙基醚、三甘醇乙二醇单甲醚乙酸酯、乙二醇单乙醚乙酸酯、丙二醇单甲醚、丙二醇二甲醚、丙二醇单丁醚、丙二醇单丙醚、一缩二丙二醇单甲醚、一缩二丙二醇单丙醚、一缩二丙二醇单异丙醚、一缩二丙二醇单丁醚、一缩二丙二醇二异丙醚、二缩三丙二醇单甲醚、1-甲氧基-2-丁醇、2-甲氧基-1-丁醇、2-甲氧基-2-甲基丁醇、1,1-二甲氧基乙烷和2-(2-丁氧基乙氧基)乙醇。
3.权利要求1的组合物,其中水溶性有机溶剂还包括选自二甲基乙酰胺、N-甲基吡咯烷酮、二甲亚砜、二甲基甲酰胺、N-甲基甲酰胺、甲酰胺、二甲基-2-哌啶酮、四氢糠醇、链烷醇胺、丙三醇及其混合物中的一种。
4.权利要求1的组合物,其中含氟化合物选自氟化四甲铵、氟化四乙铵、氟化四丁铵、胆碱氢氟酸盐及其混合物。
5.权利要求1的组合物,其中该组合物包括季铵化合物。
6.权利要求5的组合物,其中季铵化合物选自氢氧化四甲铵、氢氧化四乙铵、氢氧化四丙铵、氢氧化四丁铵、氢氧化三甲基乙基铵、氢氧化(2-羟基乙基)三甲基铵、氢氧化(2-羟基乙基)三乙基铵、氢氧化(2-羟基乙基)三丙基铵、氢氧化(1-羟基丙基)三甲基铵及其混合物。
7.用于从物品上去除残余物的组合物,该组合物包括:
小于50wt%的二醇醚;
0.1-20wt%的含氟化合物,其中该含氟化合物通式为R1R2R3R4NF,其中R1、R2、R3和R4独立地为烷基;以及
0.2-20wt%的腐蚀抑制剂,其包括选自如下成分组成的组中的至少一种:二乙基羟胺,二乙基羟胺乳酸盐和二乙基羟胺柠檬酸盐;
季铵化合物,该季铵化合物的通式为[N-R5R6R7R8]+OH-,其中R5、R6、R7和R8各自单独地为1-20个碳原子的烷基;和
水。
8.权利要求7的组合物,其中所述组合物还包括选自二甲基乙酰胺、N-甲基吡咯烷酮、二甲亚砜、二甲基甲酰胺、N-甲基甲酰胺、甲酰胺、二甲基-2-哌啶酮、四氢糠醇、链烷醇胺、多元醇及其混合物中的至少一种水溶性有机溶剂。
9.权利要求8的组合物,其中所述至少一种水溶性有机溶剂包括多元醇。
10.权利要求9的组合物,其中所述多元醇包括选自丙二醇和丙三醇中的一种。
11.权利要求7的组合物,其中所述组合物的pH为4-9。
12.用于从物品上去除残余物的组合物,该组合物包括:
5-50wt%的二醇醚;
12-22wt%的含有多元醇的至少一种水溶性有机溶剂;以及
0.1-20wt%的含氟化合物,其中该含氟化合物通式为R1R2R3R4NF,其中R1、R2、R3和R4独立地为烷基;以及
0.2-20wt%的腐蚀抑制剂,其包括选自如下成分组成的组中的至少一种:羟胺、羟胺的酸盐、有机酸、有机酸盐、酚、噻唑及其混合物;
季铵化合物,该季铵化合物的通式为[N-R5R6R7R8]+OH-,其中R5、R6、R7和R8各自单独地为1-20个碳原子的烷基;和
水,其中该组合物的pH为4-7。
CN2010101568822A 2004-07-01 2005-07-01 用于清除和清洗的组合物及其用途 Expired - Fee Related CN101857825B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US58473304P 2004-07-01 2004-07-01
US60/584733 2004-07-01
US11/155654 2005-06-20
US11/155,654 US8030263B2 (en) 2004-07-01 2005-06-20 Composition for stripping and cleaning and use thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2005100896994A Division CN1715389B (zh) 2004-07-01 2005-07-01 用于清除和清洗的组合物及其用途

Publications (2)

Publication Number Publication Date
CN101857825A true CN101857825A (zh) 2010-10-13
CN101857825B CN101857825B (zh) 2012-09-19

Family

ID=35058480

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2010101568822A Expired - Fee Related CN101857825B (zh) 2004-07-01 2005-07-01 用于清除和清洗的组合物及其用途
CN2005100896994A Active CN1715389B (zh) 2004-07-01 2005-07-01 用于清除和清洗的组合物及其用途

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2005100896994A Active CN1715389B (zh) 2004-07-01 2005-07-01 用于清除和清洗的组合物及其用途

Country Status (10)

Country Link
US (2) US8030263B2 (zh)
EP (1) EP1612858B1 (zh)
JP (2) JP2006049860A (zh)
KR (1) KR100770624B1 (zh)
CN (2) CN101857825B (zh)
AT (1) ATE495546T1 (zh)
DE (1) DE602005025830D1 (zh)
IL (1) IL169438A0 (zh)
SG (1) SG136966A1 (zh)
TW (1) TWI274968B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107034028A (zh) * 2015-12-04 2017-08-11 三星电子株式会社 用于除去有机硅树脂的组合物、使用其薄化基材和制造半导体封装体的方法及使用其的系统
US10894935B2 (en) 2015-12-04 2021-01-19 Samsung Electronics Co., Ltd. Composition for removing silicone resins and method of thinning substrate by using the same
CN112698554A (zh) * 2021-02-01 2021-04-23 福建省佑达环保材料有限公司 一种半导体制程用光阻清洗剂
CN114426909A (zh) * 2022-02-22 2022-05-03 伊利诺斯工具制品有限公司 一种积碳清洗方法
CN114746536A (zh) * 2019-11-25 2022-07-12 昭和电工株式会社 分解清洗组合物的制造方法
CN115537277A (zh) * 2022-09-29 2022-12-30 南通群安电子材料有限公司 一种用于电路板的环保清洗液

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236279B2 (en) * 2003-06-27 2016-01-12 Lam Research Corporation Method of dielectric film treatment
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US9217929B2 (en) * 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
US20060063687A1 (en) * 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060116313A1 (en) * 2004-11-30 2006-06-01 Denise Geitz Compositions comprising tannic acid as corrosion inhibitor
KR101238471B1 (ko) * 2005-02-25 2013-03-04 이케이씨 테크놀로지, 인코포레이티드 구리 및 저 k 유전체 물질을 갖는 기판으로부터 레지스트,에칭 잔류물 및 구리 산화물을 제거하는 방법
SG10201504423QA (en) * 2005-06-07 2015-07-30 Entegris Inc Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US7700533B2 (en) * 2005-06-23 2010-04-20 Air Products And Chemicals, Inc. Composition for removal of residue comprising cationic salts and methods using same
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
TW200722505A (en) * 2005-09-30 2007-06-16 Rohm & Haas Elect Mat Stripper
US20090032766A1 (en) * 2005-10-05 2009-02-05 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
US8263539B2 (en) * 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US7632796B2 (en) 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
JP4826235B2 (ja) * 2005-12-01 2011-11-30 三菱瓦斯化学株式会社 半導体表面処理剤
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
US9058975B2 (en) * 2006-06-09 2015-06-16 Lam Research Corporation Cleaning solution formulations for substrates
KR100793241B1 (ko) * 2006-06-19 2008-01-10 삼성전자주식회사 실리콘 고분자 및 포토레지스트 제거용 조성물, 이를이용한 막 제거 방법 및 패턴 형성 방법
JP5159066B2 (ja) * 2006-08-24 2013-03-06 ダイキン工業株式会社 半導体ドライプロセス後の残渣除去液及びそれを用いた残渣除去方法
JP5007089B2 (ja) * 2006-09-08 2012-08-22 富士フイルム株式会社 レジストの剥離方法
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
CN101169598A (zh) * 2006-10-27 2008-04-30 安集微电子(上海)有限公司 一种光刻胶清洗剂
CN101201556A (zh) * 2006-12-15 2008-06-18 安集微电子(上海)有限公司 低蚀刻性光刻胶清洗剂
US8026201B2 (en) * 2007-01-03 2011-09-27 Az Electronic Materials Usa Corp. Stripper for coating layer
US7879783B2 (en) 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
CN101290482A (zh) * 2007-04-19 2008-10-22 安集微电子(上海)有限公司 一种清洗等离子刻蚀残留物的清洗液
CN101339368A (zh) * 2007-07-06 2009-01-07 安集微电子(上海)有限公司 一种光刻胶清洗剂
CN101364056A (zh) * 2007-08-10 2009-02-11 安集微电子(上海)有限公司 一种光刻胶清洗剂
US8551682B2 (en) 2007-08-15 2013-10-08 Dynaloy, Llc Metal conservation with stripper solutions containing resorcinol
CN101373340B (zh) * 2007-08-23 2013-07-10 安集微电子(上海)有限公司 一种光刻胶清洗剂
CN101398638A (zh) * 2007-09-29 2009-04-01 安集微电子(上海)有限公司 一种光刻胶清洗剂
CN101412949A (zh) * 2007-10-19 2009-04-22 安集微电子(上海)有限公司 一种等离子刻蚀残留物清洗液
EP2206140A2 (en) * 2007-10-31 2010-07-14 EKC Technology, INC. Compounds for photoresist stripping
KR100928996B1 (ko) 2007-11-05 2009-11-26 리퀴드테크놀로지(주) 포토레지스트 박리액 조성물
TWI415936B (zh) * 2007-11-23 2013-11-21 Anji Microelectronics Co Ltd 低蝕刻性較厚光阻清洗液
US8168577B2 (en) * 2008-02-29 2012-05-01 Avantor Performance Materials, Inc. Post plasma etch/ash residue and silicon-based anti-reflective coating remover compositions containing tetrafluoroborate ion
US8357646B2 (en) * 2008-03-07 2013-01-22 Air Products And Chemicals, Inc. Stripper for dry film removal
US7687447B2 (en) * 2008-03-13 2010-03-30 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning composition containing aminobenzenesulfonic acid
US20090229629A1 (en) * 2008-03-14 2009-09-17 Air Products And Chemicals, Inc. Stripper For Copper/Low k BEOL Clean
TWI450052B (zh) * 2008-06-24 2014-08-21 Dynaloy Llc 用於後段製程操作有效之剝離溶液
CN101614970B (zh) * 2008-06-27 2012-12-19 安集微电子(上海)有限公司 一种光刻胶清洗剂组合物
KR101521066B1 (ko) * 2008-10-09 2015-05-18 아반토르 퍼포먼스 머티리얼스, 인크. 산화구리 에칭 잔여물 제거 및 구리 전착 방지용 수성 산성 배합물
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US9481937B2 (en) * 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
US8110535B2 (en) * 2009-08-05 2012-02-07 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning formulation for metal substrate and methods for using same
KR20110028109A (ko) * 2009-09-11 2011-03-17 동우 화인켐 주식회사 세정액 조성물
JP5827623B2 (ja) * 2009-09-18 2015-12-02 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung インクジェット印刷可能なエッチングインク及び関連する方法
TWI470119B (zh) * 2009-11-13 2015-01-21 Avantor Performance Mat Inc 用於氧化銅蝕刻殘留物之移除及避免銅電鍍之水相酸性調配物
TWI539493B (zh) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
JP5513196B2 (ja) * 2010-03-25 2014-06-04 富士フイルム株式会社 洗浄組成物及び半導体装置の製造方法
KR101169332B1 (ko) * 2010-05-12 2012-07-30 주식회사 이엔에프테크놀로지 포토레지스트 박리액 조성물
JP5508130B2 (ja) * 2010-05-14 2014-05-28 富士フイルム株式会社 洗浄組成物、半導体装置の製造方法及び洗浄方法
CN102338994B (zh) * 2010-07-23 2014-12-31 安集微电子(上海)有限公司 一种光刻胶的清洗液
CN102346383B (zh) * 2010-08-06 2016-03-16 安集微电子(上海)有限公司 一种光刻胶的清洗液
US20120073607A1 (en) * 2010-09-27 2012-03-29 Eastman Chemical Company Polymeric or monomeric compositions comprising at least one mono-amide and/or at least one diamide for removing substances from substrates and methods for using the same
US8449681B2 (en) * 2010-12-16 2013-05-28 Intermolecular, Inc. Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
US8889471B2 (en) 2011-05-09 2014-11-18 Sichuan Yinhe Chemical Co., Ltd. Burnthrough formulations
WO2013003013A1 (en) * 2011-06-30 2013-01-03 Fsi International, Inc. Acid treatment strategies useful to fabricate microelectronic devices and precursors thereof
TW201311884A (zh) * 2011-09-13 2013-03-16 Anji Microelectronics Co Ltd 光阻(光刻)膠的清洗液
TW201311883A (zh) * 2011-09-13 2013-03-16 Anji Microelectronics Co Ltd 光阻(光刻)膠的清洗液
CN103975052B (zh) * 2011-10-05 2016-11-09 安万托特性材料股份有限公司 具有铜/唑类聚合物抑制作用的微电子衬底清洁组合物
JP5871562B2 (ja) * 2011-11-01 2016-03-01 東京応化工業株式会社 フォトリソグラフィ用剥離液及びパターン形成方法
JP6157081B2 (ja) * 2012-09-24 2017-07-05 東京応化工業株式会社 フォトリソグラフィ用剥離液、及びパターン形成方法
CN102880017B (zh) * 2012-09-28 2014-07-23 京东方科技集团股份有限公司 光刻胶用剥离液组合物及其制备和应用
JP6029419B2 (ja) * 2012-11-02 2016-11-24 ダイキン工業株式会社 半導体ドライプロセス後の残渣除去液及びそれを用いた残渣除去方法
US9158202B2 (en) * 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
US10189712B2 (en) 2013-03-15 2019-01-29 International Business Machines Corporation Oxidation of porous, carbon-containing materials using fuel and oxidizing agent
KR102091543B1 (ko) * 2013-08-01 2020-03-23 동우 화인켐 주식회사 망상형 고분자 용해용 조성물
CN104651081A (zh) * 2013-11-18 2015-05-27 青岛锦涟鑫商贸有限公司 一种新型清洗剂
KR20230129193A (ko) 2013-12-06 2023-09-06 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
CN104277925A (zh) * 2014-10-09 2015-01-14 济南瑞东实业有限公司 一种高效无污染乙烯油基清洗剂及其制备方法
US10647950B2 (en) * 2015-03-31 2020-05-12 Versum Materials Us, Llc Cleaning formulations
US10072237B2 (en) 2015-08-05 2018-09-11 Versum Materials Us, Llc Photoresist cleaning composition used in photolithography and a method for treating substrate therewith
CN105132217A (zh) * 2015-08-30 2015-12-09 烟台顺隆化工科技有限公司 一种锌污染建筑废物用洗涤剂
US11175587B2 (en) * 2017-09-29 2021-11-16 Versum Materials Us, Llc Stripper solutions and methods of using stripper solutions
EP3480288A1 (en) * 2017-11-07 2019-05-08 Henkel AG & Co. KGaA Fluoride based cleaning composition
WO2020080060A1 (ja) * 2018-10-16 2020-04-23 昭和電工株式会社 組成物、接着性ポリマーの洗浄方法、デバイスウェハの製造方法、及び支持ウェハの再生方法
JP7405100B2 (ja) * 2019-01-15 2023-12-26 株式会社レゾナック 分解洗浄組成物、接着性ポリマーの洗浄方法、及びデバイスウェハの製造方法
KR102541336B1 (ko) * 2019-02-15 2023-06-13 닛산 가가쿠 가부시키가이샤 세정제 조성물 및 세정 방법
WO2020235605A1 (ja) * 2019-05-22 2020-11-26 信越化学工業株式会社 洗浄剤組成物、基板の洗浄方法及び支持体又は基板の洗浄方法
JP7220119B2 (ja) * 2019-05-22 2023-02-09 信越化学工業株式会社 基板用仮接着剤の洗浄液、基板の洗浄方法および支持体または基板の洗浄方法
KR102397700B1 (ko) * 2019-09-06 2022-05-17 엘티씨 (주) 세정제 조성물
TWI749964B (zh) * 2020-12-24 2021-12-11 達興材料股份有限公司 鹼性清洗組合物、清洗方法和半導體製造方法

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
US7144848B2 (en) * 1992-07-09 2006-12-05 Ekc Technology, Inc. Cleaning compositions containing hydroxylamine derivatives and processes using same for residue removal
JPH0770534B2 (ja) * 1993-01-11 1995-07-31 日本電気株式会社 半導体装置の製造方法
DE9304878U1 (zh) * 1993-03-31 1993-06-09 Hoechst Ag, 6230 Frankfurt, De
US5563119A (en) * 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US6417112B1 (en) * 1998-07-06 2002-07-09 Ekc Technology, Inc. Post etch cleaning composition and process for dual damascene system
US20030166132A1 (en) * 1998-08-26 2003-09-04 Genentech, Inc. Secreted and transmembrane polypeptides and nucleic acids encoding the same
US20050019868A1 (en) 1998-09-03 2005-01-27 Japan Science And Technology Corporation Neutral amino acid transporter and gene thereof
US6828289B2 (en) * 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
US6117364A (en) * 1999-05-27 2000-09-12 Nalco/Exxon Energy Chemicals, L.P. Acid corrosion inhibitor
JP2001100436A (ja) 1999-09-28 2001-04-13 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
TWI243204B (en) * 2000-02-04 2005-11-11 Sumitomo Chemical Co Electronic parts cleaning solution
US6531436B1 (en) * 2000-02-25 2003-03-11 Shipley Company, L.L.C. Polymer removal
DE60108286T2 (de) * 2000-03-27 2005-12-29 Shipley Co., L.L.C., Marlborough Entfernungsmittel für Polymer
US20050198688A1 (en) * 2000-09-19 2005-09-08 Fong Thomas K.T. System and method for digitally monitoring a cable plant
KR100822236B1 (ko) * 2000-11-30 2008-04-16 토소가부시키가이샤 레지스트 박리제
US20030022800A1 (en) * 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
TWI297102B (en) * 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
JP3403187B2 (ja) * 2001-08-03 2003-05-06 東京応化工業株式会社 ホトレジスト用剥離液
JP4661007B2 (ja) 2001-08-23 2011-03-30 昭和電工株式会社 サイドウォール除去液
JP3797541B2 (ja) * 2001-08-31 2006-07-19 東京応化工業株式会社 ホトレジスト用剥離液
JP3900882B2 (ja) * 2001-10-05 2007-04-04 松下電器産業株式会社 焼却装置
US20030138737A1 (en) 2001-12-27 2003-07-24 Kazumasa Wakiya Photoresist stripping solution and a method of stripping photoresists using the same
KR101017738B1 (ko) * 2002-03-12 2011-02-28 미츠비시 가스 가가쿠 가부시키가이샤 포토레지스트 박리제 조성물 및 세정 조성물
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
AU2003225178A1 (en) * 2002-04-24 2003-11-10 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
JP2004029346A (ja) * 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
JP4443864B2 (ja) * 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
JP2004133384A (ja) * 2002-08-14 2004-04-30 Sony Corp レジスト用剥離剤組成物及び半導体装置の製造方法
KR100649418B1 (ko) 2002-08-22 2006-11-27 다이킨 고교 가부시키가이샤 박리액
US7166419B2 (en) * 2002-09-26 2007-01-23 Air Products And Chemicals, Inc. Compositions substrate for removing etching residue and use thereof
WO2004094581A1 (en) 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
US20040220066A1 (en) * 2003-05-01 2004-11-04 Rohm And Haas Electronic Materials, L.L.C. Stripper
US20060003910A1 (en) * 2004-06-15 2006-01-05 Hsu Jiun Y Composition and method comprising same for removing residue from a substrate
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
KR101238471B1 (ko) 2005-02-25 2013-03-04 이케이씨 테크놀로지, 인코포레이티드 구리 및 저 k 유전체 물질을 갖는 기판으로부터 레지스트,에칭 잔류물 및 구리 산화물을 제거하는 방법
US7700533B2 (en) * 2005-06-23 2010-04-20 Air Products And Chemicals, Inc. Composition for removal of residue comprising cationic salts and methods using same
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
US8288330B2 (en) * 2006-05-26 2012-10-16 Air Products And Chemicals, Inc. Composition and method for photoresist removal
US7687447B2 (en) * 2008-03-13 2010-03-30 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning composition containing aminobenzenesulfonic acid

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107034028A (zh) * 2015-12-04 2017-08-11 三星电子株式会社 用于除去有机硅树脂的组合物、使用其薄化基材和制造半导体封装体的方法及使用其的系统
US10894935B2 (en) 2015-12-04 2021-01-19 Samsung Electronics Co., Ltd. Composition for removing silicone resins and method of thinning substrate by using the same
CN107034028B (zh) * 2015-12-04 2021-05-25 三星电子株式会社 用于除去有机硅树脂的组合物、使用其薄化基材和制造半导体封装体的方法及使用其的系统
CN114746536A (zh) * 2019-11-25 2022-07-12 昭和电工株式会社 分解清洗组合物的制造方法
CN114746536B (zh) * 2019-11-25 2024-01-09 株式会社力森诺科 分解清洗组合物的制造方法
CN112698554A (zh) * 2021-02-01 2021-04-23 福建省佑达环保材料有限公司 一种半导体制程用光阻清洗剂
CN114426909A (zh) * 2022-02-22 2022-05-03 伊利诺斯工具制品有限公司 一种积碳清洗方法
CN115537277A (zh) * 2022-09-29 2022-12-30 南通群安电子材料有限公司 一种用于电路板的环保清洗液

Also Published As

Publication number Publication date
US8030263B2 (en) 2011-10-04
KR20060048757A (ko) 2006-05-18
US20110311921A1 (en) 2011-12-22
JP2006049860A (ja) 2006-02-16
CN1715389B (zh) 2010-10-27
EP1612858A2 (en) 2006-01-04
TWI274968B (en) 2007-03-01
CN1715389A (zh) 2006-01-04
US20060014656A1 (en) 2006-01-19
US8440599B2 (en) 2013-05-14
CN101857825B (zh) 2012-09-19
JP2009224793A (ja) 2009-10-01
JP4814356B2 (ja) 2011-11-16
IL169438A0 (en) 2009-02-11
EP1612858B1 (en) 2011-01-12
EP1612858A3 (en) 2007-06-27
TW200602817A (en) 2006-01-16
KR100770624B1 (ko) 2007-10-29
SG136966A1 (en) 2007-11-29
ATE495546T1 (de) 2011-01-15
DE602005025830D1 (de) 2011-02-24

Similar Documents

Publication Publication Date Title
CN1715389B (zh) 用于清除和清洗的组合物及其用途
CN1724626B (zh) 用于从基片上除去光致抗蚀剂和/或蚀刻残留物的组合物及其应用
CN1949085B (zh) 用于去除残留物的水性清洗组合物及使用该组合物的方法
US7888302B2 (en) Aqueous based residue removers comprising fluoride
US20060003910A1 (en) Composition and method comprising same for removing residue from a substrate
CN1776532A (zh) 从基片上除去残留物的组合物及其方法
US7682458B2 (en) Aqueous based residue removers comprising fluoride
US11124746B2 (en) Post CMP cleaning composition
US20220336210A1 (en) Cleaning composition
CN1963678B (zh) 限定图案的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20170623

Address after: Arizona, USA

Patentee after: Versum Materials US, LLC

Address before: American Pennsylvania

Patentee before: Air Products and Chemicals, Inc.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120919

Termination date: 20170701

CF01 Termination of patent right due to non-payment of annual fee