KR20060048757A - 탈거 및 세정용 조성물 및 이의 용도 - Google Patents

탈거 및 세정용 조성물 및 이의 용도 Download PDF

Info

Publication number
KR20060048757A
KR20060048757A KR1020050057729A KR20050057729A KR20060048757A KR 20060048757 A KR20060048757 A KR 20060048757A KR 1020050057729 A KR1020050057729 A KR 1020050057729A KR 20050057729 A KR20050057729 A KR 20050057729A KR 20060048757 A KR20060048757 A KR 20060048757A
Authority
KR
South Korea
Prior art keywords
ether
composition
fluoride
glycol
containing compound
Prior art date
Application number
KR1020050057729A
Other languages
English (en)
Other versions
KR100770624B1 (ko
Inventor
매튜 아이 에그비
마이클 레겐자
토마스 위더
제니퍼 엠 리커
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20060048757A publication Critical patent/KR20060048757A/ko
Application granted granted Critical
Publication of KR100770624B1 publication Critical patent/KR100770624B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • C11D7/30Halogenated hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/04Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors
    • C23G1/06Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors organic inhibitors
    • C23G1/061Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors organic inhibitors nitrogen-containing compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/16Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions using inhibitors
    • C23G1/18Organic inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Detergent Compositions (AREA)

Abstract

본 발명은 글리콜 에테르를 포함하는 하나 이상의 수용성 유기 용매; 물; 플루오라이드 함유 화합물[단, 플루오라이드 함유 화합물이 플루오르화암모늄이면 추가의 플루오라이드 함유 화합물이 조성물에 첨가되지 않아야 함]; 임의로 4급 암모늄 화합물; 및 임의로 부식 억제제를 포함하는 조성물에 관한 것으로서, 상기 조성물은 포토레지스트 및/또는 에칭 잔류물과 같은 잔류물을 제품으로부터 제거할 수 있다. 또한, 본 명세서에 개시된 조성물을 사용하여 제품으로부터 잔류물을 제거하는 방법도 제공된다.
플루오라이드 함유 화합물, 잔류물 제거, 탈거 및 세정 조성물

Description

탈거 및 세정용 조성물 및 이의 용도{COMPOSITION FOR STRIPPING AND CLEANING AND USE THEREOF}
관련 출원의 상호 참조
본 출원은 2004년 7월 1일 출원한 미국 가명세서 출원 60/584,733호를 우선권으로 주장한다.
마이크로전자 구조체의 제작에는 여러 단계가 연루되어 있다. 집적 회로를 제작하는 제조 방안에는 반도체의 상이한 표면의 선택적 에칭이 때로 요구된다. 역사적으로, 물질을 선택적으로 제거하기 위한, 매우 방대하게 다른 종류의 에칭 공정이 다양한 정도로 성공적으로 이용되어 왔다. 또한, 마이크로전자 구조체 내의 상이한 층의 선택적 에칭은 집적 회로 제작 공정에서 중요한 결정적 단계로 간주된다.
반응성 이온 에칭(RIE)이 바이어(via), 금속 라인 및 트렌치(trench) 형성 과정에서 패턴 전사를 위한 선택 공정으로 이용되는 경향이 증가하고 있다. 예를 들어, 라인 접속 배선의 다층 이면 단부를 필요로 하는 복합 반도체 장치, 예컨대 첨단 DRAMS 및 마이크로프로세서는 바이어스, 금속 라인 및 트렌치 구조를 생성하 기 위해 RIE를 이용한다. 바이어를 사용하여 층간 유전체를 통해 규소, 규화물 또는 금속 배선의 한 레벨과 다음 배선 레벨 사이의 접촉을 제공한다. 금속 라인은 소자 접속으로서 사용된 전도성 구조체이다. 트렌치 구조는 금속 라인 구조의 형성에 사용된다. 바이어, 금속 라인 및 트렌치 구조에서는 통상적으로 금속 및 합금, 예컨대 Al, Al/Cu, Cu, Ti, TiN, Ta, TaN, W, TiW, 규소 또는 규화물, 예컨대 텅스텐, 티탄 또는 코발트의 규화물이 노출된다. 통상적으로 RIE 공정은, 리소그래피로 바이어, 금속 라인 및/또는 트렌치 구조를 형성(define)하는 데 사용된 포토레지스트 및 반사방지 코팅 물질로부터의 유기 물질(가능하다면) 뿐 아니라 리스퍼터링된(re-sputtered) 산화물 물질을 포함할 수 있는 (복합 혼합물의) 잔류물을 남긴다.
따라서, 플라즈마 및/또는 RIE를 사용한 선택적 에칭으로부터 생긴 잔류물과 같은 처리 잔류물 및/또는 잔존하는 포토레지스트 등의 잔류물을 제거할 수 있는 선택적인 세정 조성물 및 방법을 제공하는 것이 바람직하다. 또한, 세정 조성물에 노출되는 증착 산화물과 같은 저 k 유전체 물질을 비롯한 레벨간(interlevel) 유전체 물질 및/또는 금속, 고 k 유전체 물질, 규소, 규화물과 비교하여 잔류물에 대한 높은 선택성을 나타내고, 포토레지스트 및 에칭 잔류물과 같은 잔류물을 제거할 수 있는 선택적 세정 조성물 및 방법을 제공하는 것이 바람직하다. 상용가능하고, HSQ, MSQ, FOx, 블랙 다이아몬드 및 TEOS(테트라에틸실리케이트)과 같은 민감성 저-k 필름과 함께 사용할 수 있는 조성물을 제공하는 것이 바람직하다.
본 명세서에 개시된 조성물은, 이 조성물에 노출되어야 하는 금속, 저 k 및/ 또는 고 k 유전체 물질을 임의의 바람직하지 않은 범위로 공격하지 않고 기판으로부터 포토레지스트 및 처리 잔류물과 같은 잔류물을 선택적으로 제거할 수 있다. 또한, 본 명세서에 개시된 조성물은 산화규소와 같은 일부 유전체 물질의 최소 에칭율을 나타낼 수 있다.
본 명세서에 개시된 조성물과 기판을 접촉시키는 것을 포함하는, 기판으로부터 포토레지스트 및/또는 에칭 잔류물을 비롯한 잔류물을 제거하는 방법도 개시되어 있다.
에칭, 특히 반응성 이온 에칭에 의해 형성된 잔류물과 같은 처리 잔류물 및/또는 포토레지스트 등의 잔류물을 선택적으로 제거하기 위한 조성물 및 이를 포함하는 방법이 제공된다. 마이크로전자 소자에 유용한 기판을 포함하는 세정 방법에 있어서, 제거할 전형적인 오염물은 유기 화합물, 예컨대 노출된 및/또는 애슁된(ashed) 포토레지스트 물질, 애슁된 포토레지스트 잔류물, UV- 또는 X-선 경화된 포토레지스트, C-F 함유 중합체, 저 분자량 및 고 분자량 중합체와 기타 유기 에칭 잔류물; 무기 화합물, 예컨대 금속 산화물, 화학적 물리적 평탄화(CMP) 슬러리로부터의 세라믹 입자 및 기타 무기 에칭 잔류물; 금속 함유 화합물, 예컨대 유기금속 잔류물 및 금속 유기 화합물; 이온성 및 중성의 경질 및 중질 무기 (금속) 종, 수분 및 불용성 물질, 예컨대 평탄화 및 에칭 공정과 같은 처리에 의해 형성된 입자를 포함할 수 있다. 특별한 한 구체예에서, 제거되는 잔류물은 반응성 이온 에칭에 의해 행성된 것과 같은 처리 잔류물이다.
잔류물은 통상적으로 금속, 규소, 규산염 및/또는 레벨간 유전체 물질, 예를 들어 증착된 이산화규소 및 유도체화된 산화규소, 예컨대 HSQ, MSQ, FOX, TEOS 및 스핀-온 글래스(spin-on glass), 화학증착 유전체 물질 및/또는 고-k 물질, 예컨대 규산하프늄, 산화하프늄, 티탄산스트론튬바륨(BST), TiO2, TaO5를 포함하는 기판에 존재하며, 여기서 상기 잔류물 및 금속, 규소, 규화물, 레벨간 유전체 물질, 저-k 및/또는 고-k 물질은 모두 세정 조성물과 접촉하게 될 것이다. 본 명세서에 개시된 조성물 및 방법은 금속, 규소, 이산화규소, 레벨간 유전체 물질, 저-k 및/또는 고-k 물질을 유의적으로 공격하지 않고 포토레지스트, BARC, 갭 충전재(gap fill) 및/또는 처리 잔류물과 같은 잔류물을 선택적으로 제거하기 위해 제공된다. 일부 구체예에서, 기판은 금속, 비제한적인 예로서 알루미늄, 알루미늄 구리 합금, 구리, 구리 합금, 티탄, 질화티탄, 탄탈, 질화탄탈, 텅스텐, 및/또는 티탄/텅스텐 합금을 포함할 수 있다. 일 구체예에서, 본원 명세서에 개시된 조성물은 민감성 저-k 필름을 함유하는 기판용으로 적절할 수 있다.
본 명세서에 개시된 조성물은 수용성 유기 용매 5∼95 중량% 또는 10∼80 중량%를 포함할 수 있다. 일 구체예에서, 조성물은 하나 이상의 수용성 유기 용매 다량(즉, 50 중량% 이상) 및 물 소량(즉, 50 중량% 미만)을 포함할 수 있다. 대안적인 구체예에서, 조성물은 물 다량(즉, 50 중량% 이상) 및 하나 이상의 수용성 유기 용매 소량(즉, 50 중량% 미만)을 포함할 수 있다.
수용성 유기 용매는 유기 아민, 아미드, 설폭시드, 설폰, 락탐, 이미다졸리 디논, 락톤, 다가 알콜, 글리콜 에테르, 글리콜 등을 포함한다. 유기 용매는 통상적으로 수 혼화성이며, 탈거 및 세정 용도를 위한 배합물에 일반적으로 사용된다. 유기 아민의 예에는 모노에탄올아민 (MEA), N-메틸에탄올아민 (NMEA), 에틸렌디아민, 2-(2-아미노에틸아미노)에탄올, 디에탄올아민, 디프로필아민, 2-에틸아미노에탄올, 디메틸아미노에탄올, 시클로헥실아민, 벤질아민, 피롤, 피롤리딘, 피리딘, 모르폴린, 피페리딘, 옥사졸 등이 포함된다. 아미드의 예에는 N,N-디메틸포름아미드, 디메틸아세트아미드 (DMAC), 디에틸아세트아미드 등이 포함된다. 설폭시드의 예로는 디메틸 설폭시드 등이 있다. 설폰의 예로는 디메틸 설폰 및 디에틸 설폰 등이 있다. 락탐의 예에는 N-메틸-2-피롤리돈 및 이미다졸리디논이 포함된다. 락톤의 예에는 부티로락톤 및 발레로락톤이 포함된다. 다가 알코올의 예에는 에틸렌 글리콜, 프로필렌 글리콜, 에틸렌 글리콜 모노메틸 에테르 아세테이트, 디프로필렌 글리콜 메틸 에테르, 및 트리프로필렌 글리콜 메틸 에테르가 포함된다. 유기 용매의 추가의 예는 N-메틸 피롤리디논 (NMP), 디메틸포름아미드, N-메틸포름아미드, 포름아미드, 디메틸-2-피페리돈(DMPD), 테트라히드로푸르푸릴 알코올, 또는 다작용성 화합물, 예컨대 히드록시아미드 또는 아미노 알코올을 포함하나, 이에 국한되는 것은 아니다. 상기 열거된 유기 용매는 단독으로 또는 2종 이상의 용매와 함께 사용될 수 있다.
일부 구체예에서, 수용성 유기 용매는 글리콜 에테르일 수 있다. 글리콜 에테르는 글리콜 모노(C1-C6)알킬 에테르 및 글리콜 디(C1-C6)알킬 에테르, 예컨대 비 제한적인 예로서 (C1-C20)알칸 디올, (C1-C6)알킬 에테르, 및 (C1-C20)알칸 디올 디(C1-C6)알킬 에테르를 포함할 수 있다. 글리콜 에테르의 예는 에틸렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 디메틸 에테르, 에틸렌 글리콜 디에틸 에테르, 디에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노프로필 에테르, 디에틸렌 글리콜 모노이소프로필 에테르, 디에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노이소부틸 에테르, 디에틸렌 글리콜 모노벤질 에테르, 디에틸렌 글리콜 디메틸 에테르, 디에틸렌 글리콜 디에틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 디메틸 에테르, 폴리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 메틸 에틸 에테르, 트리에틸렌 글리콜 에틸렌 글리콜 모노메틸 에테르 아세테이트, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 디메틸 에테르, 프로필렌 글리콜 모노부틸 에테르, 프로필렌 글리콜, 모노프로필 에테르, 디프로필렌 글리콜 모노메틸 에테르, 디프로필렌 글리콜 모노프로필 에테르, 디프로필렌 글리콜 모노이소프로필 에테르, 디프로필렌 모노부틸 에테르, 디프로필렌 글리콜 디이소프로필 에테르, 트리프로필렌 글리콜 모노메틸 에테르, 1-메톡시-2-부탄올, 2-메톡시-1-부탄올, 2-메톡시-2-메틸부탄올, 1,1-디메톡시에탄 및 2-(2-부톡시에톡시)에탄올이다. 더욱 전형적인 글리콜 에테르의 예는 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노프로필 에테르, 트리(프로필렌 글리콜)모노메틸 에테르 및 2-(2-부톡시에톡시)에 탄올이다.
조성물은 물을 5∼95 중량% 또는 10∼80 중량% 포함할 수 있다. 물은, 예를 들어 플루오라이드 함유 화합물을 포함하는 수용액과 같은 다른 요소의 성분으로서 우연히 존재하거나, 또는 별도로 첨가될 수 있다. 물의 일부 비제한적인 예는 탈이온수, 초순수, 증류수, 이중 증류수 또는 금속 함량이 낮은 탈이온수를 포함한다.
일부 구체예에서, 조성물은 플루라이드 함유 화합물을 포함할 수 있다. 플루오라이드 함유 화합물 또는 이의 혼합물은 조성물의 총 중량을 기준으로 0.1∼20 중량%, 또는 0.1∼10 중량%, 또는 0.8 초과∼10 중량%의 양으로 존재한다. 플루오라이드 함유 화합물은 화학식 R1R2R3R4NF[여기서, R1, R2, R3 및 R4는 각각 독립적으로 수소, 알코올기, 알콕시기, 알킬기임]의 것들 및 이의 혼합물을 포함할 수 있다. 그러한 화합물의 예는 암모늄 플루오라이드, 테트라메틸 암모늄 플루오라이드, 테트라에틸 암모늄 플루오라이드, 테트라부틸 암모늄 플루오라이드, 및 이의 혼합물을 포함한다. 플루오라이드 함유 화합물의 또 다른 구체예는 플루오로붕산, 히드로플루오르산, 플루오로보레이트, 플루오로붕산, 테트라부틸암모늄 테트라플루오로보레이트, 알루미늄 헥사플루오라이드 및 콜린 플루오라이드를 포함한다. 추가의 구체예에서, 플루오라이드 함유 화합물로서는 지방족 1급, 2급 또는 3급 아민의 플루오라이드염이 사용될 수 있다. 플루오라이드 함유 화합물이 플루오르화암모늄인 구체예에서, 조성물은 임의의 추가의 플루오라이드 함유 화합물을 포함하지 않는다.
일부 구체예에서, 조성물은 하나 이상의 4급 암모늄 화합물을 포함할 수 있다. 이 조성물은 화학식 [N-R5R6R7R8]+OH- (여기서, R5, R6, R7, 및 R8은 각각 독립적으로 C1-20의 알킬기임)의 하나 이상의 4급 암모늄 화합물을 포함한다. 용어 "알킬"은 C1-20, 또는 C1-8, 또는 C1-4의 직쇄 또는 분지쇄 비치환된 탄화수소기를 의미한다. 적절한 알킬기의 예는 메틸, 에틸, 프로필, 이소프로필, 부틸 및 t-부틸을 포함한다. 표현 "저급 알킬"은 C1-4의 알킬기를 의미한다. 적절한 4급 암모늄 화합물의 예는 테트라메틸암모늄 히드록시드(TMAH), 테트라에틸암모늄 히드록시드, 테트라부틸암모늄 히드록시드 (TBAH), 테트라프로필암모늄 히드록시드, 트리메틸에틸암모늄 히드록시드, (2-히드록시에틸)트리메틸암모늄 히드록시드, (2-히드록시에틸)트리에틸암모늄 히드록시드, (2-히드록시에틸)트리프로필암모늄 히드록시드, (1-히드록시프로필)트리메틸암모늄 히드록시드, 에틸트리메틸암모늄 히드록시드, 디에틸디메틸암모늄 히드록시드 및 벤질트리메틸암모늄 히드록시드를 포함한다. 4급 암모늄 화합물은 약 0.1∼15 중량% 또는 0.1∼10 중량% 범위의 양으로 존재한다.
일부 구체예에서, 본 명세서에 개시된 조성물은 경우에 따라 부식 억제제를 약 20 중량% 이하, 또는 약 0.2∼19 중량% 포함할 수 있다. 유사한 용도에 대해 당업계에 공지된 임의의 부식 억제제, 예컨대 참고 인용한 미국 특허 제5,417,877호에 개시된 것들을 사용할 수 있다. 예를 들어, 부식 억제제는 유기산, 유기산염, 페놀, 트리아졸, 히드록실아민 또는 이의 산염일 수 있다. 구체적인 부식 억제제의 예는 안트라닐산, 갈산, 벤조산, 이소프탈산, 말레산, 푸마르산, D,L-말산, 말론산, 프탈산, 말레산 무수물, 프탈산 무수물, 벤조트리아졸(BZT), 카르복시벤조트리아졸, 레조르시놀, 카르복시벤조트리아졸, 디에틸 히드록실아민 및 이의 락트산 및 구연산 염을 포함한다. 사용할 수 있는 부식 억제제의 추가의 예는 카테콜, 레조르시놀, 피로갈롤, 및 갈산의 에스테르를 포함한다. 사용할 수 있는 구체적인 히드록실아민은 디에틸히드록실아민 및 이의 락트산 및 구연산 염을 포함한다. 적절한 부식 억제제의 또 다른 예는 프럭토스, 암모늄 티오설페이트, 글리신, 락트산, 테트라메틸구아니딘, 이미노디아세트산 및 디메틸아세토아세트아미드를 포함한다.
조성물은 계면활성제, 킬레이팅제, 화학 변성제, 염료, 살생물제 및/또는 다른 첨가제 중 하나 이상의 첨가제를 조성물의 총 중량을 기준으로 총 5 중량% 이하의 양으로 포함할 수 있으며, 단 이들 첨가제는 조성물의 탈거 및 세정 성능에 불리한 영향을 미치거나, 또는 하부 기판 표면을 손상시키지 않아야 한다. 대표적인 첨가제의 일부 예는 아세틸렌 알콜 및 이의 유도체, 아세틸렌계 디올(비이온성 알콕실화된 및/또는 자체 유화가능한 아세틸렌계 디올 계면활성제) 및 이의 유도체, 알코올, 4급 아민 및 디아민, 아미드(디메틸 포름아미드 및 디메틸 아세트아미드와 같은 비양성자성 용매 포함), 알킬 알칸올아민(예, 디에탄올에틸아민), 및 킬레이팅제, 예컨대 베타-디케톤, 베타-케토이민, 카르복실산, 말산 및 타르타르산 계열의 에스테르 및 디에스테르와 이의 유도체, 및 3급 아민, 디아민 및 트리아민을 포함한다.
조성물이 물을 50 중량% 넘게 포함하는 경우와 같은 일부 구체예에서, 본 명 세서에 개시된 조성물은 pH 범위가 약 7∼약 14, 또는 약 9∼약 13일 수 있다. 조성물이 물을 50% 미만으로 포함하는 경우와 같은 대안 구체예에서는, 본 명세서에 개시된 조성물은 pH 범위가 약 4∼약 9, 또는 약 5∼약 7일 수 있다.
본 명세서에 개시된 조성물은 HSQ(FOx), MSQ, SiLK 등과 같은 저-k 필름과 상용성이다. 이 배합물은, 알루미늄, 구리, 티탄 함유 기판의 부식을 최소로 하거나 또는 방지하면서 포토레지스트 및 플라즈마 에칭 잔류물, 예컨대 유기 잔류물, 유기금속 잔류물, 무기 잔류물, 금속 산화물 또는 포토레지스트 복합물을 저온에서 탈거하는 데 효과적이다. 또한, 조성물은 각종 저 유전율 및 고 유전율 물질과 상용성이다.
제조 과정에서 포토레지스트 층을 기판에 코팅한다. 포토리소그래피 공정을 이용하여 포토레지스트 층에 패턴을 형성한다. 따라서, 패턴화된 포토레지스트층을 플라즈마 에칭시켜 패턴을 기판으로 전사시킨다. 에칭 잔류물이 에칭 단계에서 형성된다. 본 발명에 사용된 기판의 일부는 애슁되며, 일부는 애슁되지 않는다. 기판이 애슁되는 경우, 세정할 주요 잔류물은 에칭제 잔류물이다. 기판이 애슁되지 않는 경우, 세정 또는 탈거할 주요 잔류물은 에칭 잔류물과 포토레지스트 둘다이다.
본 명세서에 개시된 방법은 필름 또는 잔류물로서 존재하는 금속, 유기 또는 금속-유기 중합체, 무기 염, 산화물, 수산화물 또는 착물 또는 이의 조합을 갖는 기판을 개시된 조성물과 접촉시켜 실시할 수 있다. 실제 조건, 예컨대 온도, 시간 등은 제거할 물질의 성질 및 두께에 따라 달라진다. 일반적으로, 20∼85℃, 또는 20∼60℃, 또는 20∼40℃ 범위의 온도에서 조성물을 함유하는 용기와 기판을 접촉 시키거나, 또는 상기 용기에 기판을 침지한다. 조성물에 기판을 노출시키는 통상적인 시간은, 예컨대 0.1∼60분, 또는 1∼30분, 또는 1∼15분 범위일 수 있다. 조성물과 접촉시킨 후에, 기판을 헹군 다음 건조시킬 수 있다. 건조는 통상적으로 비활성 대기 하에 실시한다. 일부 구체예에서, 탈이온수 린스 또는 다른 첨가제와 함께 탈 이온수를 함유하는 린스를 본 명세서에 개시된 조성물의 기판과의 접촉 전, 도중 및/또는 후에 사용할 수 있다. 그러나, 포토레지스트, 애쉬 또는 에칭 잔류물 및/또는 잔류물의 제거를 위해 세정 유체를 사용하는 종래 공지된 임의의 방법에서 상기 조성물을 사용할 수 있다.
실시예
하기 실시예는 본 명세서에 개시된 조성물 및 방법을 추가로 예시하기 위해 제공된다. 다양한 예시적 조성물의 예 및 각 조성물에 대한 pH 수준이 표 1에 제시되어 있다. 표 1에서 모든 양은 중량% 단위이며, 합은 100 중량%이다. 본 명세서에 개시된 조성물은 모든 고체가 용해될 때까지 실온 하에 용기 중에서 성분들을 함께 혼합하여 준비하였다. 하기 실시예에서는, 상온에서 5% 수용액을 사용하여 pH를 결정하였다. 기판은, 조성물에의 노출 전에 현상, 에칭 및 애슁한 포지티브 레지스트로 코팅하였다. 하기 표에서 "n/t"는 테스트되지 않음을 의미하고, "NC"는 상용성이 아님을 의미한다.
각종 금속 층을 갖는 각각의 예시적인 조성물에 대한 금속 에칭율("ER")이 표 2에 요약되어 있다. 다음의 에칭율 모두에 대해, 표 2에 제공된 온도에서 5, 10, 20, 40 및 60분 후에 측정을 실시하였다. 각각의 시간 간격을 두고 두께를 측 정하였으며, 각각의 예시적 조성물에 대한 결과에 대해 "최소 제곱값(least squares fit)" 선형 회귀 모델을 사용하여 그래프로 나타내었다. 각 조성물의 "최소 제곱값" 모델의 계산된 기울기는 옹스트롬/분(Å/분) 단위로 제공되는 생성된 에칭율이다. 금속 에칭율을 결정하는 데 있어서, 웨이퍼는 그 위에 증착된 기지 두께의 특정 금속 또는 금속 합금의 덮개층(blanket layer)을 갖는다. 웨이퍼의 초기 두께는 CDE ResMap 273 Four Point Probe를 사용하여 측정하였다. 초기 두께의 측정 후에, 테스트 웨이퍼를 예시적인 조성물에 침지하였다. 각각의 시간 간격을 둔 후에, 테스트 웨이퍼를 예시적인 조성물로부터 꺼내고, 탈이온수로 3분간 헹구고, 질소 하에서 완전 건조시켰다. 각 웨이퍼 두께를 측정하고, 필요에 따라 후속 시간 간격을 두고 절차를 반복하였다. 테스트할 금속이 티탄인 경우, 인산 중에서의 초기 담금이 필요하였다.
각종 산화층을 갖는 각각의 예시적 조성물에 대한 산화물 에칭율("ER")은 표 3에 요약되어 있다. Nanospec AFT 181 또는 SENTECH SE-800 분광 타원 분석기를 사용하여 산화물 에칭율을 결정하였다. 200 ㎖의 양의 예시적 조성물을 교반하면서 250 ㎖ 비이커에 넣고, 필요에 따라 특정 온도로 가열하였다. Nanospec AFT 181에서 실행된 테스트의 경우, 테스트할 웨이퍼 각각에 3개의 원을 그렸다. 각 웨이퍼 상에 표시된 영역은 측정이 행해진 영역이다. 각 웨이퍼의 초기 측정을 실시하였다. 초기 측정 후에, 웨이퍼를 5분간 예시적 조성물에 침지하였다. 단 하나의 웨이퍼만을 용액 함유 비이커 내에 둔 경우에는, 그 비이커에 모조 웨이퍼를 배치하였다. 5분 후에, 테스트 웨이퍼를 3분간 탈이온수로 세척하고, 질소 하에 건조하였 다. 각 웨이퍼 상의 선으로 그어진 영역을 측정하고, 필요에 따라 후속 시간 간격을 두고 절차를 반복하였다.
다양한 저-k 층을 갖는 예시적 조성물 각각에 대한 전형적인 저-k 유전체 에칭율("ER")은 표 4에 요약되어 있다. 저-k 유전체 에칭율은 다양한 저-k 유기실리케이트 필름이 상부에 증착되어 있는 규소 웨이퍼를 사용하여 측정하였다. 저-k 에칭율은 산화물 에칭율을 얻기 위해 상기 개시된 Nanospec AFT 또는 SENTECH와 동일한 방식으로 조작한 타원 분석기에서 얻었다.
각종 테스트 웨이퍼를 예시적 조성물 3 및 4에 노출시키고, 에칭 및 애쉬 잔류물 제거 정도와 하부 기판에 대한 효과를 결정하기 위해서 여러 위치에서 주사 전자 현미경(SEM)을 사용하여 평가하였다. 웨이퍼를 쪼개어 에지를 제공한 다음 웨이퍼 상의 여러 소정 위치에서 SEM을 사용하여 조사하였으며, 그 결과는 육안으로 검사하여 해석하고 하기 제시된 방식으로 코드화하였다; "+++"는 탁월함; "++"는 양호함; "+"는 적절함; "-"는 불량함. 예시적 조성물 3 또는 4에 노출된 후에, 웨이퍼(들)를 탈이온수로 헹구고, 질소 기체로 건조하였다. 결과는 표 5에 제시되어 있다. 표 5에 제시된 모든 결과는 예시적 조성물 3 및 4가 하부층을 실질적으로 공격하지 않고 에칭 및 애쉬 잔류물을 제거한다는 것을 예시한다.
Figure 112005035357394-PAT00001
d-PGME 디프로필렌 글리콜 메틸 에테르
t-PGME 트리프로필렌 글리콜 메틸 에테르
PG 프로필렌 글리콜
BZT 벤조트리아졸
TMAF 테트라메틸암모늄 플루오라이드
TMAH 테트라메틸암모늄 히드록시드
AF 암모늄 플루오라이드
GE 글리콜 에테르
[표 2]
분당 옹스트롬(Å/분) 단위의 전형적인 금속 상에서의 에칭율
Figure 112005035357394-PAT00002
[표 3]
분당 옹스트롬(Å/분) 단위의 전형적인 산화물 상에서의 에칭율
Figure 112005035357394-PAT00003
(1) 플루오로실리케이트 글래스 (FSG)
(2) 인-도핑되고 비압축된(undensified) TEOS (테트라에틸 오르토 실리케이트)
(3) 비도핑되고 압축된 TEOS
(4) 열 산화물
[표 4]
분당 옹스트롬(Å/분) 단위의 전형적인 저-k 유전체 상에서의 에칭율
Figure 112005035357394-PAT00004
DEMS
Figure 112005035357394-PAT00005
저-k 유전체는 에어 프로덕츠 앤드 케미칼스 인코포레이티드의 등록 상표명이고;
BLACK DIAMOND
Figure 112005035357394-PAT00006
저-k 유전체는 어플라이드 머터리얼즈 인코포레이티드의 등록 상표명이며;
CORAL
Figure 112005035357394-PAT00007
저-k 유전체는 그린 트위드의 등록 상표명이고;
ORION
Figure 112005035357394-PAT00008
저-k 유전체는 트리콘 테크놀로지즈의 등록 상표명이며;
SiLK
Figure 112005035357394-PAT00009
반도체 유전체 수지는 다우 케미칼스 캄파니의 등록 상표명이다.
층 Cu/저-k 온도(℃) 시간(분) 에칭되고 애슁된 포토레지스트 잔류물 Cu 공격
실시예 3 TEOS/CORAL
Figure 112005035357394-PAT00010
27 3 +++ 없음
실시예 4 JSR LKD-5109 저-k 유전체 27 5 +++ 없음
실시예 4 JSR LKD-5109 저-k 유전체 25 10 +++ 없음
본 명세서에 개시된 조성물은, 이 조성물에 노출되어야 하는 금속, 저 k 및/ 또는 고 k 유전체 물질을 임의의 바람직하지 않은 범위로 공격하지 않고 기판으로부터 포토레지스트 및 처리 잔류물과 같은 잔류물을 선택적으로 제거할 수 있다. 또한, 본 명세서에 개시된 조성물은 산화규소와 같은 일부 유전체 물질의 최소 에칭율을 나타낼 수 있다.

Claims (19)

  1. 제품으로부터 잔류물을 제거하기 위한 조성물로서,
    글리콜 에테르를 포함하는 하나 이상의 수용성 유기 용매;
    물;
    플루오라이드 함유 화합물[단, 플루오라이드 함유 화합물이 플루오르화암모늄인 경우에는 추가의 플루오라이드 함유 화합물이 상기 조성물에 첨가되지 않아야 함]; 및
    임의로 4급 암모늄 화합물을 포함하는 조성물.
  2. 제1항에 있어서, 부식 억제제를 더 포함하는 것인 조성물.
  3. 제2항에 있어서, 부식 억제제는 유기산, 유기산염, 카테콜, 레조르시놀, 페놀, 말레산 무수물, 프탈산 무수물, 카테콜, 피로갈롤, 갈산 또는 이의 에스테르, 벤조트리아졸, 카르복시벤조트리아졸, 히드록실아민, 프럭토스, 암모늄 티오설페이트, 글리신, 테트라메틸구아니딘, 이미노디아세트산, 디메틸아세토아세트아미드, 트리히드록시벤젠, 디히드록시벤젠, 살리시클로히드록삼산, 티오글리세롤 및 이의 혼합물로부터 선택된 하나 이상을 포함하는 것인 조성물.
  4. 제3항에 있어서, 부식 억제제는 히드록실아민을 포함하는 것인 조성물.
  5. 제4항에 있어서, 상기 히드록실아민은 디에틸 히드록실아민을 포함하는 것인 조성물.
  6. 제1항에 있어서, 글리콜 에테르는 에틸렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 디메틸 에테르, 에틸렌 글리콜 디에틸 에테르, 디에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노프로필 에테르, 디에틸렌 글리콜 모노이소프로필 에테르, 디에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노이소부틸 에테르, 디에틸렌 글리콜 모노벤질 에테르, 디에틸렌 글리콜 디메틸 에테르, 디에틸렌 글리콜 디에틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 디메틸 에테르, 폴리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 메틸 에틸 에테르, 트리에틸렌 글리콜 에틸렌 글리콜 모노메틸 에테르 아세테이트, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 디메틸 에테르, 프로필렌 글리콜 모노부틸 에테르, 프로필렌 글리콜 모노프로필 에테르, 디프로필렌 글리콜 모노메틸 에테르, 디프로필렌 글리콜 모노프로필 에테르, 디프로필렌 글리콜 모노이소프로필 에테르, 디프로필렌 모노부틸 에테르, 디프로필렌 글리콜 디이소프로필 에테르, 트리프로필렌 글리콜 모노메틸 에테르, 1-메톡시-2-부탄올, 2-메톡시-1-부탄올, 2-메톡시-2-메틸부탄올, 1,1-디메톡시에탄, 및 2-(2-부톡시에톡시)에탄올로 구성된 군에서 선택되는 것인 조성물.
  7. 제1항에 있어서, 수용성 유기 용매는 디메틸아세트아미드, N-메틸 피롤리디논, 디메틸설폭시드, 디메틸포름아미드, N-메틸포름아미드, 포름아미드, 디메틸-2-피페리돈, 테트라히드로푸르푸릴 알코올, 알칸올아민, 글리세롤, 및 이의 혼합물로 구성된 군에서 선택된 것을 추가로 포함하는 것인 조성물.
  8. 제1항에 있어서, 조성물은 물을 50 중량% 이상 포함하는 것인 조성물.
  9. 제1항에 있어서, 조성물은 수용성 유기 용매를 50 중량% 이상 포함하는 것인 조성물.
  10. 제1항에 있어서, 플루오라이드 함유 화합물은 화학식 R1R2R3R4NF[여기서, R1, R2, R3 및 R4는 각각 독립적으로 수소, 알코올기, 알콕시기, 알킬기임]의 화합물 및 이의 혼합물을 포함하는 것인 조성물.
  11. 제10항에 있어서, 플루오라이드 함유 화합물은 테트라메틸 암모늄 플루오라이드, 테트라에틸 암모늄 플루오라이드, 테트라부틸 암모늄 플루오라이드, 콜린 플루오라이드 및 이의 혼합물로부터 선택되는 것인 조성물,
  12. 제1항에 있어서, 플루오라이드 함유 화합물은 플루오로붕산을 포함하는 것인 조성물.
  13. 제1항에 있어서, 4급 암모늄 화합물을 포함하는 것인 조성물.
  14. 제13항에 있어서, 4급 암모늄 화합물은 테트라메틸암모늄 히드록시드, 테트라에틸암모늄 히드록시드, 테트라프로필암모늄 히드록시드, 테트라부틸암모늄 히드록시드, 트리메틸에틸암모늄 히드록시드, (2-히드록시에틸)트리메틸암모늄 히드록시드, (2-히드록시에틸)트리에틸암모늄 히드록시드, (2-히드록시에틸)트리프로필암모늄 히드록시드, (1-히드록시프로필)트리메틸암모늄 히드록시드, 및 이의 혼합물로 구성된 군에서 선택되는 것인 조성물.
  15. 기판 상에 포토레지스트를 코팅하는 단계;
    포토레지스트 상에 리소그래피로 패턴을 형성하는(define) 단계;
    패턴을 기판에 전사하는 단계;
    글리콜 에테르를 포함하는 수용성 유기 용매, 물, 플루오라이드 함유 화합물[단, 플루오라이드 함유 화합물이 플루오르화암모늄인 경우에는 추가의 플루오라이드 함유 화합물이 상기 조성물에 첨가되지 않아야 함]; 및 임의로 4급 암모늄 화합물을 포함하는 조성물과 상기 기판을 접촉시켜 기판으로부터 포토레지스트 또는 에칭 잔류물 또는 둘다를 제거하는 단계
    를 포함하는 패턴 형성 방법.
  16. 제15항에 있어서, 포토레지스트는 포지티브 포토레지스트인 방법.
  17. 제15항에 있어서, 포토레지스트는 네가티브 포토레지스트인 방법.
  18. 제품으로부터 잔류물을 제거하기 위한 조성물로서,
    글리콜 에테르를 포함하는 하나 이상의 수용성 유기 용매 50 중량% 이상;
    물 50 중량% 미만;
    플루오라이드 함유 화합물[단, 플루오라이드 함유 화합물이 플루오르화암모늄인 경우에는 추가의 플루오라이드 함유 화합물이 상기 조성물에 첨가되지 않아야 함] 20 중량% 이하; 및
    부식 억제제 20 중량% 이하
    를 포함하는 조성물.
  19. 제품으로부터 잔류물을 제거하기 위한 조성물로서,
    물 50 중량% 이상;
    글리콜 에테르를 포함하는 하나 이상의 수용성 유기 용매 50 중량% 미만;
    플루오라이드 함유 화합물[단, 플루오라이드 함유 화합물이 플루오르화암모늄인 경우에는 추가의 플루오라이드 함유 화합물이 상기 조성물에 첨가되지 않아야 함] 20 중량% 이하; 및
    부식 억제제 20 중량% 이하
    를 포함하는 조성물.
KR1020050057729A 2004-07-01 2005-06-30 탈거 및 세정용 조성물 및 이의 용도 KR100770624B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US58473304P 2004-07-01 2004-07-01
US60/584,733 2004-07-01
US11/155,654 2005-06-20
US11/155,654 US8030263B2 (en) 2004-07-01 2005-06-20 Composition for stripping and cleaning and use thereof

Publications (2)

Publication Number Publication Date
KR20060048757A true KR20060048757A (ko) 2006-05-18
KR100770624B1 KR100770624B1 (ko) 2007-10-29

Family

ID=35058480

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050057729A KR100770624B1 (ko) 2004-07-01 2005-06-30 탈거 및 세정용 조성물 및 이의 용도

Country Status (10)

Country Link
US (2) US8030263B2 (ko)
EP (1) EP1612858B1 (ko)
JP (2) JP2006049860A (ko)
KR (1) KR100770624B1 (ko)
CN (2) CN101857825B (ko)
AT (1) ATE495546T1 (ko)
DE (1) DE602005025830D1 (ko)
IL (1) IL169438A0 (ko)
SG (1) SG136966A1 (ko)
TW (1) TWI274968B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011031089A2 (ko) * 2009-09-11 2011-03-17 동우 화인켐 주식회사 세정액 조성물
KR20150016430A (ko) * 2013-08-01 2015-02-12 동우 화인켐 주식회사 망상형 고분자 용해용 조성물
KR20210029885A (ko) * 2019-09-06 2021-03-17 엘티씨 (주) 세정제 조성물

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236279B2 (en) * 2003-06-27 2016-01-12 Lam Research Corporation Method of dielectric film treatment
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US9217929B2 (en) * 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
US20060063687A1 (en) * 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060116313A1 (en) * 2004-11-30 2006-06-01 Denise Geitz Compositions comprising tannic acid as corrosion inhibitor
KR101238471B1 (ko) * 2005-02-25 2013-03-04 이케이씨 테크놀로지, 인코포레이티드 구리 및 저 k 유전체 물질을 갖는 기판으로부터 레지스트,에칭 잔류물 및 구리 산화물을 제거하는 방법
CN102981377B (zh) 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
US7700533B2 (en) * 2005-06-23 2010-04-20 Air Products And Chemicals, Inc. Composition for removal of residue comprising cationic salts and methods using same
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
TW200722505A (en) * 2005-09-30 2007-06-16 Rohm & Haas Elect Mat Stripper
US20090032766A1 (en) * 2005-10-05 2009-02-05 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
US8263539B2 (en) * 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US7632796B2 (en) 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
JP4826235B2 (ja) * 2005-12-01 2011-11-30 三菱瓦斯化学株式会社 半導体表面処理剤
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
US9058975B2 (en) * 2006-06-09 2015-06-16 Lam Research Corporation Cleaning solution formulations for substrates
KR100793241B1 (ko) 2006-06-19 2008-01-10 삼성전자주식회사 실리콘 고분자 및 포토레지스트 제거용 조성물, 이를이용한 막 제거 방법 및 패턴 형성 방법
JP5159066B2 (ja) * 2006-08-24 2013-03-06 ダイキン工業株式会社 半導体ドライプロセス後の残渣除去液及びそれを用いた残渣除去方法
JP5007089B2 (ja) * 2006-09-08 2012-08-22 富士フイルム株式会社 レジストの剥離方法
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
CN101169598A (zh) * 2006-10-27 2008-04-30 安集微电子(上海)有限公司 一种光刻胶清洗剂
CN101201556A (zh) * 2006-12-15 2008-06-18 安集微电子(上海)有限公司 低蚀刻性光刻胶清洗剂
US8026201B2 (en) * 2007-01-03 2011-09-27 Az Electronic Materials Usa Corp. Stripper for coating layer
US7879783B2 (en) 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
CN101290482A (zh) * 2007-04-19 2008-10-22 安集微电子(上海)有限公司 一种清洗等离子刻蚀残留物的清洗液
CN101339368A (zh) * 2007-07-06 2009-01-07 安集微电子(上海)有限公司 一种光刻胶清洗剂
CN101364056A (zh) * 2007-08-10 2009-02-11 安集微电子(上海)有限公司 一种光刻胶清洗剂
US8551682B2 (en) 2007-08-15 2013-10-08 Dynaloy, Llc Metal conservation with stripper solutions containing resorcinol
CN101373340B (zh) * 2007-08-23 2013-07-10 安集微电子(上海)有限公司 一种光刻胶清洗剂
CN101398638A (zh) * 2007-09-29 2009-04-01 安集微电子(上海)有限公司 一种光刻胶清洗剂
CN101412949A (zh) * 2007-10-19 2009-04-22 安集微电子(上海)有限公司 一种等离子刻蚀残留物清洗液
CN101842872A (zh) * 2007-10-31 2010-09-22 Ekc技术公司 用于剥除光刻胶的化合物
KR100928996B1 (ko) 2007-11-05 2009-11-26 리퀴드테크놀로지(주) 포토레지스트 박리액 조성물
TWI415936B (zh) * 2007-11-23 2013-11-21 Anji Microelectronics Co Ltd 低蝕刻性較厚光阻清洗液
US8168577B2 (en) * 2008-02-29 2012-05-01 Avantor Performance Materials, Inc. Post plasma etch/ash residue and silicon-based anti-reflective coating remover compositions containing tetrafluoroborate ion
US8357646B2 (en) * 2008-03-07 2013-01-22 Air Products And Chemicals, Inc. Stripper for dry film removal
US7687447B2 (en) * 2008-03-13 2010-03-30 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning composition containing aminobenzenesulfonic acid
US20090229629A1 (en) * 2008-03-14 2009-09-17 Air Products And Chemicals, Inc. Stripper For Copper/Low k BEOL Clean
TWI450052B (zh) * 2008-06-24 2014-08-21 Dynaloy Llc 用於後段製程操作有效之剝離溶液
CN101614970B (zh) * 2008-06-27 2012-12-19 安集微电子(上海)有限公司 一种光刻胶清洗剂组合物
JP5476388B2 (ja) * 2008-10-09 2014-04-23 アバントール パフォーマンス マテリアルズ, インコーポレイテッド 酸化銅エッチ残渣除去および、銅電着の防止のための水性の酸性洗浄用組成物
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US9481937B2 (en) * 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
US8110535B2 (en) * 2009-08-05 2012-02-07 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning formulation for metal substrate and methods for using same
EP2478068A1 (en) * 2009-09-18 2012-07-25 Merck Patent GmbH Ink jet printable etching inks and associated process
TWI470119B (zh) * 2009-11-13 2015-01-21 Avantor Performance Mat Inc 用於氧化銅蝕刻殘留物之移除及避免銅電鍍之水相酸性調配物
TWI539493B (zh) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
JP5513196B2 (ja) * 2010-03-25 2014-06-04 富士フイルム株式会社 洗浄組成物及び半導体装置の製造方法
KR101169332B1 (ko) * 2010-05-12 2012-07-30 주식회사 이엔에프테크놀로지 포토레지스트 박리액 조성물
JP5508130B2 (ja) * 2010-05-14 2014-05-28 富士フイルム株式会社 洗浄組成物、半導体装置の製造方法及び洗浄方法
CN102338994B (zh) * 2010-07-23 2014-12-31 安集微电子(上海)有限公司 一种光刻胶的清洗液
CN102346383B (zh) * 2010-08-06 2016-03-16 安集微电子(上海)有限公司 一种光刻胶的清洗液
US20120073607A1 (en) * 2010-09-27 2012-03-29 Eastman Chemical Company Polymeric or monomeric compositions comprising at least one mono-amide and/or at least one diamide for removing substances from substrates and methods for using the same
US8449681B2 (en) * 2010-12-16 2013-05-28 Intermolecular, Inc. Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
US8889471B2 (en) 2011-05-09 2014-11-18 Sichuan Yinhe Chemical Co., Ltd. Burnthrough formulations
US9412628B2 (en) * 2011-06-30 2016-08-09 Tel Fsi, Inc. Acid treatment strategies useful to fabricate microelectronic devices and precursors thereof
TW201311884A (zh) * 2011-09-13 2013-03-16 Anji Microelectronics Co Ltd 光阻(光刻)膠的清洗液
TW201311883A (zh) * 2011-09-13 2013-03-16 Anji Microelectronics Co Ltd 光阻(光刻)膠的清洗液
WO2013052809A1 (en) * 2011-10-05 2013-04-11 Avantor Performance Materials, Inc. Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
JP5871562B2 (ja) * 2011-11-01 2016-03-01 東京応化工業株式会社 フォトリソグラフィ用剥離液及びパターン形成方法
JP6157081B2 (ja) * 2012-09-24 2017-07-05 東京応化工業株式会社 フォトリソグラフィ用剥離液、及びパターン形成方法
CN102880017B (zh) * 2012-09-28 2014-07-23 京东方科技集团股份有限公司 光刻胶用剥离液组合物及其制备和应用
JP6029419B2 (ja) * 2012-11-02 2016-11-24 ダイキン工業株式会社 半導体ドライプロセス後の残渣除去液及びそれを用いた残渣除去方法
US9158202B2 (en) * 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
US10189712B2 (en) 2013-03-15 2019-01-29 International Business Machines Corporation Oxidation of porous, carbon-containing materials using fuel and oxidizing agent
CN104651081A (zh) * 2013-11-18 2015-05-27 青岛锦涟鑫商贸有限公司 一种新型清洗剂
KR101964901B1 (ko) 2013-12-06 2019-04-02 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
CN104277925A (zh) * 2014-10-09 2015-01-14 济南瑞东实业有限公司 一种高效无污染乙烯油基清洗剂及其制备方法
CN113214920A (zh) * 2015-03-31 2021-08-06 弗萨姆材料美国有限责任公司 清洁制剂
US10072237B2 (en) 2015-08-05 2018-09-11 Versum Materials Us, Llc Photoresist cleaning composition used in photolithography and a method for treating substrate therewith
CN105132217A (zh) * 2015-08-30 2015-12-09 烟台顺隆化工科技有限公司 一种锌污染建筑废物用洗涤剂
CN107034028B (zh) * 2015-12-04 2021-05-25 三星电子株式会社 用于除去有机硅树脂的组合物、使用其薄化基材和制造半导体封装体的方法及使用其的系统
US10894935B2 (en) 2015-12-04 2021-01-19 Samsung Electronics Co., Ltd. Composition for removing silicone resins and method of thinning substrate by using the same
US11175587B2 (en) * 2017-09-29 2021-11-16 Versum Materials Us, Llc Stripper solutions and methods of using stripper solutions
EP3480288A1 (en) * 2017-11-07 2019-05-08 Henkel AG & Co. KGaA Fluoride based cleaning composition
KR102467591B1 (ko) * 2018-10-16 2022-11-16 쇼와 덴코 가부시키가이샤 조성물, 접착성 폴리머의 세정 방법, 디바이스 웨이퍼의 제조 방법, 및 지지 웨이퍼의 재생 방법
WO2020148968A1 (ja) * 2019-01-15 2020-07-23 昭和電工株式会社 分解洗浄組成物、接着性ポリマーの洗浄方法、及びデバイスウェハの製造方法
WO2020166702A1 (ja) * 2019-02-15 2020-08-20 日産化学株式会社 洗浄剤組成物及び洗浄方法
JP7220119B2 (ja) * 2019-05-22 2023-02-09 信越化学工業株式会社 基板用仮接着剤の洗浄液、基板の洗浄方法および支持体または基板の洗浄方法
KR20220011151A (ko) * 2019-05-22 2022-01-27 신에쓰 가가꾸 고교 가부시끼가이샤 세정제 조성물, 기판의 세정 방법 및 지지체 또는 기판의 세정 방법
CN114746536B (zh) * 2019-11-25 2024-01-09 株式会社力森诺科 分解清洗组合物的制造方法
TWI749964B (zh) * 2020-12-24 2021-12-11 達興材料股份有限公司 鹼性清洗組合物、清洗方法和半導體製造方法
CN112698554A (zh) * 2021-02-01 2021-04-23 福建省佑达环保材料有限公司 一种半导体制程用光阻清洗剂
CN114426909A (zh) * 2022-02-22 2022-05-03 伊利诺斯工具制品有限公司 一种积碳清洗方法
CN115537277A (zh) * 2022-09-29 2022-12-30 南通群安电子材料有限公司 一种用于电路板的环保清洗液

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
US7144848B2 (en) * 1992-07-09 2006-12-05 Ekc Technology, Inc. Cleaning compositions containing hydroxylamine derivatives and processes using same for residue removal
JPH0770534B2 (ja) * 1993-01-11 1995-07-31 日本電気株式会社 半導体装置の製造方法
DE9304878U1 (ko) * 1993-03-31 1993-06-09 Hoechst Ag, 6230 Frankfurt, De
US5563119A (en) * 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US6417112B1 (en) * 1998-07-06 2002-07-09 Ekc Technology, Inc. Post etch cleaning composition and process for dual damascene system
US20030166132A1 (en) * 1998-08-26 2003-09-04 Genentech, Inc. Secreted and transmembrane polypeptides and nucleic acids encoding the same
US20050019868A1 (en) 1998-09-03 2005-01-27 Japan Science And Technology Corporation Neutral amino acid transporter and gene thereof
US6828289B2 (en) * 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
US6117364A (en) * 1999-05-27 2000-09-12 Nalco/Exxon Energy Chemicals, L.P. Acid corrosion inhibitor
JP2001100436A (ja) * 1999-09-28 2001-04-13 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
TWI243204B (en) * 2000-02-04 2005-11-11 Sumitomo Chemical Co Electronic parts cleaning solution
US6531436B1 (en) * 2000-02-25 2003-03-11 Shipley Company, L.L.C. Polymer removal
EP1138726B1 (en) 2000-03-27 2005-01-12 Shipley Company LLC Polymer remover
US20050198688A1 (en) * 2000-09-19 2005-09-08 Fong Thomas K.T. System and method for digitally monitoring a cable plant
KR100822236B1 (ko) * 2000-11-30 2008-04-16 토소가부시키가이샤 레지스트 박리제
US20030022800A1 (en) * 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
JP3403187B2 (ja) * 2001-08-03 2003-05-06 東京応化工業株式会社 ホトレジスト用剥離液
TWI297102B (en) * 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
JP4661007B2 (ja) 2001-08-23 2011-03-30 昭和電工株式会社 サイドウォール除去液
JP3797541B2 (ja) * 2001-08-31 2006-07-19 東京応化工業株式会社 ホトレジスト用剥離液
JP3900882B2 (ja) * 2001-10-05 2007-04-04 松下電器産業株式会社 焼却装置
US20030138737A1 (en) * 2001-12-27 2003-07-24 Kazumasa Wakiya Photoresist stripping solution and a method of stripping photoresists using the same
KR101017738B1 (ko) * 2002-03-12 2011-02-28 미츠비시 가스 가가쿠 가부시키가이샤 포토레지스트 박리제 조성물 및 세정 조성물
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
AU2003225178A1 (en) * 2002-04-24 2003-11-10 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
JP2004029346A (ja) * 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
JP4443864B2 (ja) * 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
JP2004133384A (ja) * 2002-08-14 2004-04-30 Sony Corp レジスト用剥離剤組成物及び半導体装置の製造方法
US7833957B2 (en) * 2002-08-22 2010-11-16 Daikin Industries, Ltd. Removing solution
US7166419B2 (en) * 2002-09-26 2007-01-23 Air Products And Chemicals, Inc. Compositions substrate for removing etching residue and use thereof
TW200505975A (en) * 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
US20040220066A1 (en) * 2003-05-01 2004-11-04 Rohm And Haas Electronic Materials, L.L.C. Stripper
US20060003910A1 (en) * 2004-06-15 2006-01-05 Hsu Jiun Y Composition and method comprising same for removing residue from a substrate
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US9217929B2 (en) * 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
KR101238471B1 (ko) 2005-02-25 2013-03-04 이케이씨 테크놀로지, 인코포레이티드 구리 및 저 k 유전체 물질을 갖는 기판으로부터 레지스트,에칭 잔류물 및 구리 산화물을 제거하는 방법
US7700533B2 (en) * 2005-06-23 2010-04-20 Air Products And Chemicals, Inc. Composition for removal of residue comprising cationic salts and methods using same
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
US8288330B2 (en) * 2006-05-26 2012-10-16 Air Products And Chemicals, Inc. Composition and method for photoresist removal
US7687447B2 (en) * 2008-03-13 2010-03-30 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning composition containing aminobenzenesulfonic acid

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011031089A2 (ko) * 2009-09-11 2011-03-17 동우 화인켐 주식회사 세정액 조성물
WO2011031089A3 (ko) * 2009-09-11 2011-08-04 동우 화인켐 주식회사 세정액 조성물
KR20150016430A (ko) * 2013-08-01 2015-02-12 동우 화인켐 주식회사 망상형 고분자 용해용 조성물
KR20210029885A (ko) * 2019-09-06 2021-03-17 엘티씨 (주) 세정제 조성물

Also Published As

Publication number Publication date
ATE495546T1 (de) 2011-01-15
DE602005025830D1 (de) 2011-02-24
SG136966A1 (en) 2007-11-29
JP2006049860A (ja) 2006-02-16
EP1612858A2 (en) 2006-01-04
US8440599B2 (en) 2013-05-14
EP1612858B1 (en) 2011-01-12
US20060014656A1 (en) 2006-01-19
JP4814356B2 (ja) 2011-11-16
CN1715389A (zh) 2006-01-04
EP1612858A3 (en) 2007-06-27
US20110311921A1 (en) 2011-12-22
US8030263B2 (en) 2011-10-04
IL169438A0 (en) 2009-02-11
KR100770624B1 (ko) 2007-10-29
JP2009224793A (ja) 2009-10-01
TWI274968B (en) 2007-03-01
TW200602817A (en) 2006-01-16
CN101857825A (zh) 2010-10-13
CN1715389B (zh) 2010-10-27
CN101857825B (zh) 2012-09-19

Similar Documents

Publication Publication Date Title
KR100770624B1 (ko) 탈거 및 세정용 조성물 및 이의 용도
KR100700998B1 (ko) 기판으로부터 잔사를 제거하기 위한 조성물 및 그의 사용방법
US8772214B2 (en) Aqueous cleaning composition for removing residues and method using same
KR100786606B1 (ko) 기판으로부터 포토레지스트 및/또는 에칭 잔류물을제거하기 위한 조성물 및 이의 용도
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
EP1688798B1 (en) Aqueous based residue removers comprising fluoride
KR100942009B1 (ko) 포토레지스트, 에칭 잔류물 및 barc를 제거하기 위한제제
US7682458B2 (en) Aqueous based residue removers comprising fluoride
EP1965418A1 (en) Formulation for removal of photoresist, etch residue and barc
CN1963678A (zh) 限定图案的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
E801 Decision on dismissal of amendment
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140929

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160929

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170919

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180918

Year of fee payment: 12