CN100550274C - 在溅射处理系统中的等离子体转换的控制 - Google Patents

在溅射处理系统中的等离子体转换的控制 Download PDF

Info

Publication number
CN100550274C
CN100550274C CNB2004800237844A CN200480023784A CN100550274C CN 100550274 C CN100550274 C CN 100550274C CN B2004800237844 A CNB2004800237844 A CN B2004800237844A CN 200480023784 A CN200480023784 A CN 200480023784A CN 100550274 C CN100550274 C CN 100550274C
Authority
CN
China
Prior art keywords
plasma
resonant circuit
container
state
power supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004800237844A
Other languages
English (en)
Other versions
CN1839459A (zh
Inventor
J·C·塞勒司
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MKS Instruments Inc
Original Assignee
MKS Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MKS Instruments Inc filed Critical MKS Instruments Inc
Publication of CN1839459A publication Critical patent/CN1839459A/zh
Application granted granted Critical
Publication of CN100550274C publication Critical patent/CN100550274C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K10/00Welding or cutting by means of a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0206Extinguishing, preventing or controlling unwanted discharges

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

控制用于材料处理的等离子体的方法和装置,其特征是耦合到等离子体容器和电源的谐振电路和开关单元的协作行动。用于获取与等离子体容器中的等离子体的状态相关联的信号的传感器支持开关单元的闭环控制。由该传感器检测到的不期望的等离子体状态能够通过闭合开关单元以分路谐振电路来消除。

Description

在溅射处理系统中的等离子体转换的控制
技术领域
本发明一般涉及基于等离子体的材料处理。更具体地说,本发明涉及在等离子体处理系统中电弧的控制和等离子体状态转换的控制。
背景技术
许多制造工作采用等离子体处理。例如,增强等离子体气相淀积(PVD)日益被用于薄金属或非金属膜的淀积。大多数PVD系统为阴极电弧型或溅射型。虽然在阴极电弧PVD系统中采用的电弧放电等离子体的特征为大电流和低电压时,在溅射PVD系统中采用的辉光等离子体的特征却为小电流和较高电压。溅射PVD系统通常包括提供磁场以支持辉光等离子体的电场电离的特征。
在适当的条件下,辉光等离子体和电弧放电等离子体能够显示出模式转换。例如,辉光等离子体能够转换成电弧放电等离子体,同时,尽管可能性不大,电弧放电等离子体能够转换成辉光等离子体。
不期望的发弧对溅射PVD系统的性能而言是重要问题。发弧可以由各种因素产生。例如,发弧可以由溅射期间靶的剥落、靶的过热、等离子体中的气体扰动、或用来形成等离子体的惰性气体或靶材料不纯而引起。等离子体噪声固有地会在淀积腔内的辉光等离子体中产生一定数量的“微发弧(micro-arcing)”。但是,微发弧可以在腔内发展成更严重的等离子体发弧或“强发弧”。电弧能够从靶去除毒化,但是它可能产生不期望的粒子。
一些系统在检测到电弧时通过关闭电源处理发弧。例如,检测到严重的电弧能够使电源暂时地中断它的输出,例如,达100-25msec。但是,发弧电流波动能够具有1-10MHz(即持续时间为0.1-1.0μsec)数量级的频率。
一些电源会加剧与等离子体发弧相关联的问题。例如,DC电源具有存储在诸如输出滤波器的输出级中的能量。在出现微发弧或发弧条件时,该存储的能量会向溅射腔中放电。放电能量脉冲具有约为0.2-20μsec的持续时间,该脉冲太快而难以被普通的电源检测电路所控制或限制。
一些系统周期地中断或施加阴极电压的反向电压力图避免发弧。但是,由于阴极电压没有被连续地施加,淀积速率会降低。此外,周期抑制电路大大增加了成本。在要求无缺陷淀积诸如在半导体制造时通常采用周期抑制系统。
发明内容
本发明一部分是实现谐振电路和分路开关的协作行为而产生的结果,它能够对电弧启动提供改善的响应,提供在不同等离子体状态之间的改善的转换以及等离子体的改善的触发。根据本发明的原理,谐振电路当分路时,能够驱动等离子体电流到零值并从而在有效地降低例如电弧相关损坏(damage)的短暂时间周期内使等离子体消失。谐振电路和分路的协作行为也能够改善辉光和电弧放电等离子体的触发。
在一个实施例中,响应指示等离子体容器中的等离子体的状态或状态转换的信号,控制器支持等离子体容器中的等离子体状态的闭环控制。例如,该信号能够由检测谐振电路中的电感器的通量的通量传感器提供。本发明的特征部分地是从电弧等离子体到辉光等离子体的转换或从辉光等离子体到电弧等离子体的转换的改善的手段。本发明的特征是使不期望的等离子体状态的去除在所需的等离子体状态重新触发之前发生的等离子体转换。
因此,在第一个方面,本发明的特征是控制用于材料处理的等离子体的装置。该装置包括谐振电路、传感器和开关单元。谐振电路与电源的输出和等离子体容器的输入进行电气通信。传感器获取与等离子体容器中的等离子体的状态相关联的信号。开关单元具有第一状态(例如,打开)和第二状态(例如,闭合),并能够响应该信号在状态之间转换。开关单元的第二状态分路该谐振电路以允许使容器中的等离子体的状态发生变化的谐振电路的谐振。该谐振电路用来存储和释放能量。
传感器能够配置成感测由谐振电路的电感器感应的通量。传感器可以是邻近电感器设置的线圈。这种传感器能够提供在辉光等离子体处理期间电弧等离子体开始的快速检测。开关单元能够具有足够大的电阻以有效地充当在分路期间谐振电路的阻尼阻抗。
该装置可包括用于从传感器接收信号和用于使开关单元转换为第一状态和第二状态中的至少一个状态以影响等离子体的状态的控制器。控制器可以被配置成使开关单元在等离子体的状态的转换由信号的变化指示时转换到第二状态。该装置也可包括与等离子体容器的输入并联的箝压电路。该箝压可以是不对称的箝压。
该装置可包括与开关单元串联的零偏压提供单元。该提供单元能够对开关单元施加补偿电压。该补偿电压与由开关单元和/或与开关单元相关联的寄生电路元件的电阻引起的电压降相关联。
该装置还可包括用于感测谐振电路、电源以及等离子体容器的输入中至少一个的电压的电压传感器。该装置还可包括用于感测谐振电路、电源以及等离子体容器的输入中至少一个的电流的电流传感器。附加的传感器能够提供等离子体状态转换的改善的检测。
在第二个方面,本发明的特征是控制用于材料处理的等离子体的方法。该方法包括提供与电源的输出和等离子体容器的输入进行电气通信的谐振电路,检测指示等离子体容器中的等离子体的状态的转换的变化,以及在该变化被检测到之后分路谐振电路以允许谐振电路的谐振。分路谐振电路能够使容器中的等离子体在所需的等离子体状态重新触发之前消失。
响应状态变化的检测,例如,从辉光等离子体模式到电弧等离子体模式的转换,相对于在初始的半个周期之前流经容器的电流,分路可包括充分地降低在谐振电路的初始半个周期流经等离子体容器的电流。如果电弧放电继续,分路能够在重新分路之前的接下来的下半个周期被去除。响应来自一个或多个传感器的反馈,分路和等待周期能够被重复直到原来的等离子体模式被重新存储。
该方法可包括重新触发等离子体容器中的等离子体。重新触发可包括分路谐振电路以增加存储在谐振电路中的能量,并除去分路以将存储的能量送往等离子体容器的输入以在等离子体容器中触发等离子体。
在第三个方面,本发明的特征是触发用于材料处理的等离子体的方法。该方法包括提供谐振电路,分路电源的输出以增加存储在谐振电路中的能量,并除去分路以将存储的能量送往等离子体容器以触发等离子体容器中的等离子体。
谐振电路可以被分路直到谐振电路使电源的电流比电弧等离子体的稳态电流大。然后分路被除去以把电流换向(commute)到等离子体容器的输入以在等离子体容器中触发电弧等离子体。谐振电路相对于谐振电路的周期的有效部分被分路以增加存储在谐振电路中的能量。然后分路被去除以使存储的能量在周期的有效部分之后被送往等离子体容器以触发等离子体容器中的辉光等离子体。
附图说明
本发明用附属的权利要求书中的特性描述。通过结合附图参照下面的说明可以更好地理解本发明的上面的和进一步的优点,其中:
图1a是包括用于控制等离子体处理系统中的等离子体的特征的本发明的实施例的方框图。
图1b是由通量传感器响应微电弧和强电弧检测到的电压对时间的示例性曲线图。
图1c是对于产生电弧由通量传感器检测到的电压对时间、由电流传感器检测到的电流对时间、以及由电压传感器检测到的电压对时间的示例性曲线图。
图1d是对应于图1c的曲线图的曲线图,但是示出了对更长时间长度的曲线的形态。
图2是等离子体处理系统的实施例的方框图。
图3是控制用于材料处理的等离子体的方法的实施例的流程图。
图4是在从辉光等离子体转换到电弧等离子体期间电压和电流的变化对时间的曲线图。
图5是在辉光等离子体中的电压和电流以及在电弧等离子体中的电压和电流的曲线图。
图6是示出在样品系统的辉光等离子体状态测量到的电压对时间的变化的曲线图。
图7示出了能够由传感器采集的电流和电压曲线。
图8是通量传感器电压对时间曲线图。
图9是电流传感器电流对时间的曲线图。
图10触发用于材料处理的等离子体的方法的流程图。
图11是等离子体处理系统的电压和电流对时间的曲线图。
具体实施方式
“等离子体系统”是包括等离子体产生组件的装置,并能够包括材料处理组件。等离子体系统包括一个或多个容器、电源组件、计量组件、控制组件和其它组件。处理能够在一个或多个容器中和/或与一个或多个容器通信的一个或多个处理腔中发生。等离子体系统可以是等离子体或在等离子体中产生的反应性气体形式的来源或可以是一种完整的处理使用设备。
“容器”是包含气体和/或等离子体的存储器或存储器的一部分,在容器中等离子体能够被产生和/或保持。容器与诸如功率发生器或冷却组件的其它组件结合以形成等离子体处理系统。
“等离子体”是物质的一种状态,该状态包括通过结合电场和磁场以在有关气体中引起电离产生的能量带电粒子的聚集。一般地,等离子体是带电离子、电子和中性粒子的聚集,由于由带电粒子的运动产生恢复场(restorative fields),其作为整体视为中性。由于带电粒子等离子体是导电的。在容器不再通电时或在等离子体不产生光时,容器中的等离子体可以被认为是消失的。确定等离子体消失的其它方法包括与等离子体的电气特性有关的方法。例如,没有等离子体,等离子体容器阴极可以具有典型电容值在从100pf到1uf的范围中的电容性的特性。
然而由于大量的离子向阴极运动,等离子体具有电感性特性(电压超前电流)。因此,如果传感器测出等离子体容器的电容性特性,那么等离子体已经消失。如果传感器测出电感性特性,那么等离子体在等离子体容器中存在,并且,依靠电压-电流,可以确定等离子体工作模式比值。
“触发”是在气体中导致初始击穿的过程,以形成等离子体。
习语“辉光放电等离子体”、“辉光等离子体”和“辉光”在此互换使用以说明与电弧放电等离子体相比由比较高的电压和低电流维持的等离子体状态。在此使用并依照上下文,辉光等离子体可包含辉光和强辉光(superglow)等离子体。
习语“电弧放电等离子体”、“电弧等离子体”和“电弧”在此互换使用以说明由比较低的电压和高电流维持的等离子体状态。在此使用并依照上下文,电弧等离子体可包含微电弧和强电弧。
下面的说明将集中于示例性的基于DC的阴极的溅射系统。然而,对于具有等离子体处理领域的普通技术的人员来说,本发明的原理可以应用到各种等离子体处理系统,包括诸如RF等离子体系统的需要AC感应的等离子体系统。
图1a是是包括用于控制等离子体处理系统100中的等离子体的特征的本发明的实施例的方框图。本发明的装置190包括谐振电路110、具有第一和第二状态的开关单元120。在图1a中示出的系统100包括具有输出的电源170、具有用于从电源170和/或谐振电路110接收功率的输入的等离子体容器180、与开关单元120并联的箝压单元160、通量传感器131、电流传感器132、电压传感器133、和从传感器131、132、133接收信号并控制开关单元120的控制器140以及零偏压提供单元150。开关单元在例如闭合状态的第二状态时分流谐振电路110。
电源170可以是例如DC或RF电源,并且等离子体容器170分别可以是例如电容耦合的或电感耦合的等离子体容器,以及磁性增强阴极(例如磁控管)或在等离子体处理技术中已知的简单二极管类型阴极。开关单元120与电源170的输出和等离子体容器180的输入并联地被电气连接。谐振电路110与等离子体容器180的输入和电源170的输出并联地被电气连接。不同的实施例将包括等离子体处理系统100内在不同位置的开关单元120。图1a示出了开关单元120的两个可能位置。
等离子体容器180可以被电气地连接到系统100的其它组件,但是相对于它们位于遥远的位置。例如,系统100的组件能够经由长的高压电缆连接到等离子体容器180。
开关单元120允许分流谐振电路110。例如,当响应检测到容器180中的不期望的电弧等离子时,开关单元120能够分流谐振电路110,有效地建立与等离子体容器180中的电弧竞争电流的电路。当电流在谐振电路中衰减振荡(ringing up)的同时,电弧能够使由等离子体容器180呈现的负载的阻抗降低。因此,开关单元120能够与等离子体容器180共同分担电流的份额。从而,如果电流具有可供选择的通道,峰值电流和电弧的总能量以及由电弧引起的损坏能够被有效地减少。分流能够减少需要使电弧成为零电流,即不是等离子体状态的时间。在这种条件下可以认为等离子体消失。
电弧等离子体阻抗通过电弧与总电流一起趋向于减小。这种效应起因于电弧放电中另外的固有的热电离。因此,对电弧的可用的电流的减少能有效地降低放电的温度上升。开关单元120提供了可供选择的电流通道。开关单元120的阻抗能够被选择成类似于系统100的电弧阻抗。然而,由于开关单元120消耗一些能量可能是有益的,故选择具有不是太小的阻抗的开关单元120是令人所期望的。
电源170和谐振电路110能够各自包括它们自己的电感器,或能够共有一个或多个电感器或电感器的一部分。在一些实施例中,谐振电路的电感器具有比电源170的电感器的电感更小的电感。
通量传感器131可以配置成检测由谐振电路的电感器产生的磁通量。该通量传感器能够提供较简单和有效的方式以监控等离子体状态。例如,通过简单地增加谐振电路110的电感器的第二线圈,该第二线圈能够被用作通量传感器131以提供优良的等离子体转换检测器。采用如同与等离子体容器180连接的末尾的位置,到等离子体容器180的电流的瞬态变化产生传感器131线圈的耦合磁通量的相应的变化。
因此,通量传感器131能够被用来检测等离子体电流和/或电压的快速变化。当这样的变化被检测到时,控制器140可以操作开关单元120以使谐振电路呼出振铃(ring out)。一旦电流和电压换向(从电压超前电流到电流超前电压)并到达零,传感器131能够被用来监控正确的等离子体模式的重新开始。等离子体模式能够具有独特的特性,该特性能够被用来判断等离子体是否以正确的模式重新开始。
参照图1b、1c和1d,通量传感器131能够检测与等离子体工作的重新触发和开始相关联的电压和/或电流上升。通量传感器131也能够区分不同类型的等离子体状态的触发。图1b是由等离子体传感器131响应微电弧和强电弧检测到的电压对电流的示例性曲线。来自通量传感器131的信号的“后沿”示出了微电弧的电压在强电弧的电压之前下降到零值,这种状况趋向于持续存在。箭头A表示微电弧感应电压已下降到零值的时间,箭头B显示强电弧感应电压下降到零值的时间。
或者,除了通量传感器131之外,控制器140也可以使用例如来自电流传感器132和电压传感器133的电压和/或电流测量值来判定等离子体的状态。依靠测量点的位置,将获得不同的信息以帮助控制器的判断处理。一个例子是测量电压-电流比以判定当前等离子体工作的模式。另一个例子是通过测量一个谐振周期之后和两个谐振周期之后的电压确认逆弧的连续性。此外,结合使用两个或多个测量值能够使控制器的功能性变化以更好地满足等离子体模式和所需的控制策略。
例如,通过用控制规则适当地组合来自三个传感器131、132、133的测量值,有可能预测辉光等离子体中即将发生的电弧转换。当这些情形被检测到,控制器140能够设置预测标记并使开关单元120循环以停止等离子体。(例如,关掉它,并重新开始它。)这可以使得导致“预期的电弧”的情形在电弧实际发生之前被停止,从而降低粒子产生的可能性。
用于预测功能的一个或多个信号的持续时间仅在短时期能被使用,例如,在转换之前少于3μs。它们也可在少于全部的转换时间中被使用,例如,在转换的20%到60%中。对于辉光到电弧的转换,没有恰好在电弧变化之前的和导致电弧变化的对应的电流的变化,通常有小的线性电压下降。这种情况的一种变更是电压改变发生达短时间然后恰好在电弧转变之前(2μs到10μs)返回到正常。
图1c是在电弧发生之前和之后的很短的时间周期内检测到的通量传感器131的电压对时间、电流传感器132的电流对时间、以及电压传感器133的电压对时间的示例性曲线。该曲线示出了上述的预测的形态。图1d是包括图1c的样本曲线的曲线,可是其所包括的更长的时间长度示出在系统100的分流动作之后曲线的延伸形态。
控制器140接收用于监控系统100的特性的信号。例如,传感器131、132、133能够提供把一个或多个信号提供给控制器140以允许监控在等离子体容器180中的等离子体的状态。控制器140可包括,例如诸如微处理器的集成电路。或者,单个集成电路或微处理器能够并入控制器140和系统100的其它电子组件。一个或多个微处理器可实施执行控制器140的功能的软件。此外,控制器140可以用软件、固件或硬件(例如特定应用集成电路)来实施。该软件可以被设计成在通用设备或用于在此描述的功能性的专门的处理器上运行。
控制器140能够响应从传感器131、132、133接收的一个或多个信号来打开或关闭开关以控制等离子体容器180中的等离子体状态转换。例如,控制器140通过检测和终止不期望的状态转换和重新触发所需的等离子体状态,能够支持被改善的处理。控制器140也能够支持被改善的触发方法。在本发明的实施例中,如从传感器131、132、133中的一个或多个接收到信号显示的那样,在所需的状态之间的受控转换包括在容器180没有等离子体的时期。
例如,所需的电弧等离子体在利用阴极电弧清洁/加热周期的工具涂层PVD系统中被发现。该系统要求磁控管在小功率电弧等离子体状态下工作。该磁控管在系统正在处理的工具上提供用于离子刻蚀和磁控管靶材料的离子注入的离子源。如果电弧等离子体消失,该等离子体可转换为辉光等离子体状态,导致淀积速率的降低和工具毁坏。
为避免工具损坏,根据图1a的广义实施例,控制器140能够闭合开关单元120以使辉光等离子体停止,且然后控制进一步的步骤以使所需的电弧等离子体重新触发。在本发明的一个实施例中,控制器140打开开关单元120以允许存储在谐振电路的一个或多个电感器中的能量促进这种触发。
例如,在溅射PVD系统中可发现所需的辉光等离子体,它通常能够显示出到电弧状态的不期望的转换。对具有普通技术知识的人员来说已知的各种类型的辉光到电弧的转换在被控制器140检测到的时候能够接收特定的响应。
响应微电弧的检测,例如,控制器140在一些实施例中以近似小于200μsec的方式,或者在一些实施例中甚至是以近似小于1μsec的方式能够闭合开关单元120以将等离子体容器180中的电流变为零电流。迅速减小电流能够降低微粒成形和放射的可能性。
通过由一个或多个传感器131、132、133提供的信号或多个信号,控制器140能够检测到微电弧的形成。在耦合到谐振电路110的电感器的磁通量时,通量传感器130在过多的损坏发生之前能够让控制器140在截断电弧电流的电弧开始的一段时间内闭合开关单元120。电弧的形成通常将致使电压迅速降低及电流增加。控制器140能够闭合开关单元120达谐振电路的循环时间的几分之一以使微电弧消失。然后该电流能下降直到零值。
例如,开关单元140能够保持闭合达谐振电路110的大电流的半周期。控制器140然后能够打开开关单元120,且谐振电路110能够正向振铃并支持电压过调整以重新触发辉光等离子体。
强的或持续的电弧比微电弧既更难以消失又难于确认为消失。控制器140能够执行开关单元120的重复的循环直到强电弧消失。在强电弧的情况下,既使用通量传感器131又使用电压及电流传感器132、133中的一个或二者以确认消失是有利的。如此,电弧形成或消失的错误指示的可能性能够被降低。
为了简化本发明的信号感测和控制特征,传感器132、133能够把有限的预估值组提供给控制器140。控制器140以闭环方式作出响应。参照图200和300下面将进一步描述控制器140实施的控制功能。
在系统100更详细的实施例中,控制器140包括系统情形感测和适合的响应特征。例如,控制器140能够接收表示系统100的另外的处理和状态情况的另外的信号。响应系统100状态和处理状况,控制器140能够调用更适合本状况的模式,例如处理技巧。因此,控制器140能选择合适的模式和动作。例如,该模式的变化能够与处理中包括的步骤的数量和/或类型的变化而不是一个或多个现有步骤内的简单变化相关联。
例如,等离子体容器180可以是DC基于阴极的溅射容器。这种容器可以包括聚焦和聚集容器180中的等离子体的磁控管设备器件。容器180可以是传统的等离子体处理腔。例如,在容器中的靶和衬底之间能够引入反应性气体以支持反应性溅射淀积。为了加强淀积处理,衬底能够用DC或RF源加偏压(biased)。衬底后平面能够包括利用背后的气体加热衬底的加热机构。该后平面可以旋转用于衬底上更均匀的溅射淀积。
图2是包括作为图1a中示出的装置190的示例性实施例的装置190A的等离子体处理系统100A的方框图。系统100A包括谐振电路110A、开关单元120A、通量传感器131A、电流传感器132A、电压传感器133A、箝压电路160A、电源170A。系统100A可选择地包括零偏压提供单元150A。
电源170A是DC电源,并包括与电源170A的输出串联的滤波电感Lf,与电源170A的输出并联的滤波电容Cf。开关单元120A包括开关121,并且在一些实施例中还包括二极管122。开关121可以是,例如,气体开关、SCR开关、IGBT开关、SiT开关、FET开关、GTO开关或MCT开关。更一般的,开关单元120A能够包括相同或不同类型的两个或多个开关。例如,二极管122可以是齐纳二极管。
二极管122使开关单元120A充当单向开关。当开关121闭合时,二极管122允许生成反极性电流流向等离子体容器的阴极。如来自传感器131A、132A、133A的一个或多个信号所示,反向电流能够加速转换为等离子体容器中的非等离子体状态。当响应形成在容器中的电弧等离子体而闭合的时候,例如,在一些实施例中的开关单元120A可以在阴极承受近似20A(相对于约14A的运行电流)的峰值电流的同时传送近似85A的峰值电流。
零偏压提供单元150A包括变压器151、二极管152和电容器153。变压器151与控制器进行电气通信,例如,图1a中示出的控制器140。由提供单元150A提供的电压改变了由开关单元120A和其它组件(即使有的话,与开关单元120A串联)电阻产生的电压降。例如,通过施加等于在峰值电流处一个或多个开关121两端的电压降的补偿电压,提供单元150A能够被用来减少在开关单元120A中开关121的所需的数量。
例如,如果开关121被实施为两个FET,每个代表1Ω,则串联的两个FET表现出2Ω的电阻。对于50A的电路,电压降将是100V。在这种情况下,提供单元150A补偿值可被设为100V。负载电流,即等离子体容器180电流,对零偏压提供单元150补偿值的所需水平能够具有二次效应。
竞争目标必须被平衡以识别开关单元120A的适当的电阻。为了建立有效的可供选择的电路,开关单元120A能够具有相对于电弧等离子体相似的或更小的电阻。为了消耗能量以避免电弧等离子体的无意的重新触发,开关单元120应具有大电阻。在典型的实施例中,阻抗的平衡值被设置成开关单元120A具有近似与典型的电弧等离子体阻抗相等的阻抗。
例如如果电弧等离子体以近似60amps导致40V的电压降,这对应于0.67ohms的阻抗。用于这些状况的典型的开关阻抗近似为0.6ohms或更小。在不使用零偏压提供单元151A时,开关单元121的阻抗至少在分路周期的开始能够类似等离子体的阻抗。
箝压电路160A包括用于前向和反向箝压的串联及分别以两组形式定位的二极管161。例如,该二极管可以是单向的齐纳二极管。箝压电路160的工作的一些的可选结构和方法在授予Sellers的第6,524,455号美国专利中描述。
谐振电路110A包括与电源170A的输出和等离子体容器的输入串联的电感器LR,以及与电源170A的输出和等离子体容器的输入并联的电容器CR。电容器Cr和电感器Lr能够被选择为尽可能的快。高频限制会产生在电源170A的输出和等离子体容器180之间具有支配电感(最大值)的电感器Lr需求。在谐振电路中维持足够的能量以使电流振荡直到零值是有利的。这个能量能够正比于在等离子体容器180中的DC处理电流。
频率范围的低端能够由电源的灵敏度确定。对电源170A而言忽略谐振电路110A的工作是所期望的,例如,为了使淀积速率尽可能保持恒定,仅在控制器140给出命令时关闭电源。因此,电源170A滤波电感器Lf的值可以选择为比电感器Lr的值大得多,例如,10x或更大。例如,电感器Lr可以具有10μH的值,而电源170A滤波电感器Lf可以具有2mH的值。
图2的通量传感器131A包括毗邻谐振电路110A的电感器LR设置的电感器以致当变化电流通过谐振电路110A的电感器LR时在通量传感器131A中感应出电流。谐振电路110A的电感器LR可以包括,例如,诸如在空心电感器中的线圈;通量传感器131A可包括被轴向地设置于谐振电路110A的电感器LR之内的线圈。当发生,例如,在等离子体容器内的等离子体状态的发生转换时和/或在开关单元160A闭合时,通量传感器对谐振电路131A中电流的变化是敏感的。
基于线圈的通量传感器可包括由细的仪器引线制成的线圈以简化制造。通量传感器131A可包括耦合到线圈高速SCR或的闸流管。最好是,通量传感器131A是在到等离子体容器的电流路径上的最后组件。例如,与电感器LR共处一处作为通向连接到等离子体容器的连接器的最后组件。
电流传感器132A电压传感器133A能够分别感测谐振电路、电源、和等离子体的输入中的至少一个的电流和电压。传感器131A、132A、133A中的一个或其组合能够被用来支持控制器的功能性。在一些实施例中传感器读数以谐振电路110的谐振频率小数或整数倍被采样。
图3是控制用于材料处理的等离子体方法300的实施例的流程图。方法300能够用例如图1a和2中示出的装置190、190A来实施。该方法包括提供与电源的输出和等离子体容器的输入进行电气通信的谐振电路(步骤310)。谐振电路能够存储和释放能量。该方法也包括检测例如信号中的变化,该信号变化指示等离子体容器中的等离子体的状态的转换(步骤320),以及包括在变化被检测到之后分路谐振电路以允许谐振电路的共振(步骤330)。
在一些实施例中,分路持续等于谐振电路的半周期的一段时期。如果电弧放电等离子体持续,在一些这样的实施例中方法300包括在再次分路之前等待半个周期(步骤340)。在一些实施例中分路和等待的处理被重复直到变化不再被检测到(步骤350)。在指示等离子体的状态的转换或等离子体的消失的变化被检测到时,分路和等待的处理停止(步骤360)。该方法也包括在使不期望的等离子体状态消失之后重新触发等离子体(步骤370)。
在等离子体容器中的等离子体的可能的状态例如包括例如被图1a中示出的传感器131、132、133获取的一个或多个信号指示的各种辉光等离子体状态、各种电弧放电状态和无等离子体状态。在信号中的任何多种变化能够被用来检测状态转变的开始。通量传感器131在检测等离子体状态开始变化方面或许是最有效的。参照图8下面将描述通量传感器131的特征。
参照图4和5,例如,通过检查与等离子体相关联的电流和/或电压,可以确定在容器中的等离子体的状态。图4是定性地示出在从辉光等离子体转换到电弧等离子体期间电压和电流如何对时间变化的曲线图。图5是定性地示出在辉光等离子体和电弧等离子体中的电压和电流之间的关系的曲线图。通过它们的特性值可以识别辉光和电弧等离子体。例如在图4中,辉光等离子体其特征为电压近似为500V且电流近似为10A,而电弧等离子体其特征为电流近似为100A且电压近似为20V。因此,感测电压和/或电流水平以及它们的转换是获取等离子体状态和状态转换的指示的一种手段。
当电流和电压水平正在变化时,电流和电压信号之间的相位关系能够被用来指示容器中的等离子体状态。例如,分路(330)可使等离子体容器中的等离子体的消失发生,而信号能够被用来确认等离子体已经消失。例如,在关闭状态,等离子体容器表现为电容阻抗,而在辉光或电弧放电等离子体状态,该容器表现出电感阻抗。电压和电流波形的关系的检查,当由一个或多个传感器提供时,允许确定容器的当前阻抗。例如,辉光等离子体和电弧放电等离子体二者都表现出电压超前电流的特性。例如,这种特性能够在辉光或电弧等离子体以新方式形成且仍必须稳定的时候被观察到。
参照图6,例如,电压水平能够单独被用来推断等离子体已经消失。例如,对在特定的处理情形(例如,气体类型和压力条件)下正在被操作的系统100的一个特定实施例而言,维持辉光等离子体的最小电压能够用实验方法予以确定。图6是示出在样品系统的辉光等离子体状态测量到的电压(例如,由电压传感器感测到的)对时间的变化的曲线图。通过减少施加给包含辉光等离子体的等离子体容器的功率并感测等离子体容器两端之间的电压可以获得该曲线的数据。
例如,在9瓦特传送功率,电压为233V。在8瓦特传送功率,在总电压减小的同时电压波动增加。当传送功率减小到约6瓦特时,等离子体瓦解,即消失,在等离子体瓦解的功率水平对应于如曲线图上所指示的电压水平Vmin。因此,用实验方法确定的电压水平Vmin则能够被用作在感测等离子体容器电压以确认辉光等离子体已经消失的时候的阈值电压。
在谐振电路被分路的时候(步骤330),流经等离子体容器的电流在谐振电路的初始半周期期间相对于在初始半周期之前流经该容器的电流会有相当大的减小。例如,闭合开关单元120能够在谐振电路110的第一个半周期期间以快速的速率减小等离子体容器180中的电流。谐振电路的半周期可以具有近似1到50μsec的范围。在分路半周期之后,可以取消分路。短暂的分路能足够使容器中的等离子体消失。
图7示出了电流和电压曲线,其能够由传感器132、133收集的。该曲线示出了辉光等离子体到消失状态的转换。在谐振电路被分路时,电流和电压迅速地降低。然而,电流和电压可以不必下降到零。例如,电流可以近似为0.5A,且电压近似为10V。
此外,可以采用单极性开关,该开关能够让谐振电路110在第二个半周期期间在零值以下衰减振荡。单极性开关也能够使开关的关闭转换的定时的重要性降低。容器180中的等离子体在电流转换其流动方向时可以被认为是消失的。
本发明的方法300可包括,例如,如果放电等离子体持续,在再次分路之前等待半周期或其它间隔(步骤340)。此外,分路(步骤330)和等待(步骤340)能够被重复直到该变化(步骤320)不再被检测到(步骤350)。在预先选择数量的重复周期之后或在预先选择的时间周期过去之后,不能熄灭电弧将导致电源的关闭。在这种情况下,分路能够被允许继续直到使得电源关闭,例如,达大于半个周期。
感测第二个信号中的变化能够支持识别等离子体状态转换以及确认等离子体的重新触发(步骤360)。在使不期望的等离子体状态消失之后重新触发等离子体(步骤360)使例如重新触发辉光或电弧等离子体发生。为了获得电弧等离子体,能够分路谐振电路以增加存储在谐振电路中的能量,然后去除分路以使存储的能量送往等离子体容器的输入以触发等离子体容器中的等离子体。该存储的能量能够用来使电源电流增加到比电弧放电等离子体的稳态电流更大的水平。该分路然后被去除以使电流送往等离子体容器的输入。从而电弧放电等离子体可在等离子体容器中被触发,对比普通的现有做法,不用接触容器的阴极。
为了获得辉光等离子体,可以分路谐振电路以在谐振电路的周期的有效部分以增加在谐振电路中存储的能量。然后可去除分路以使存储的能量被送往等离子体容器的输入从而在等离子体容器中触发辉光放电等离子体。周期的有效部分可以是1/2周期。
参照图8和9,描述了辉光等离子体到电弧等离子体的不期望的转换,通过分路使电弧等离子体消失以及辉光等离子体的重新触发。图8是通量传感器131电压对时间的曲线图;图9是电流传感器132电流对时间的曲线图。通量传感器131信号是电弧等离子体开始的敏感的和迅速的指示物。当电弧被检测到时,谐振电路分路半个周期,使电流传感器中的电路迅速下降至零(无等离子体),由于电路振荡,具有对负电流的小的摆动。通量传感器131的电压水平的上升能够被用作已经发生例如转换成弧等离子体的指示物。
因为通量传感器131提供等离子体转换的准确的指示物,小的电压水平能够被选为等离子体开始的阈值指示物。例如,1.0V的电压能够被选作阈值水平。谐振电路的分路能够响应检测通量传感器电压上升到阈值水平之上。
例如,对具有12μh值的电感器和0.1μf的电容器的谐振电路而言,谐振频率将为145khz。这些实例值能够覆盖,例如,从几个瓦特到高达60kw的大范围的溅射状况。
这些实例值对大范围的气体类型、气体流动速率和靶材料也是有效的。然而,由于电弧放电的电压和电路范围可以与一些溅射处理的一样高,一些更稀有的靶材料中需要在控制器中作一些小的调节以成为最为有效。
这种效应的一个实例见诸TiB2(二硼化钛)的溅射淀积。在一种TiB2具有385V DC的溅射电压的处理中,发弧时该电压降到310V DC。但是,该放电是完全可见的电弧,因此,传感器,例如传感器131、132、133应将这种小的变化识别为转换。因此,需要一个以上的传感器来充分地定义等离子体模式,且控制器能更为有效,其具有足够能量定义小的状态变化。
分路可在近似等离子体消失的时间或该时间之后被除去。除去分路也能够启动重新触发辉光等离子体的处理。通量传感器131展示电容性的充电相,如同电流传感器132做的一样。在触发和下降到稳定的状况之后,通量传感器131在电流传感器132显示表示辉光等离子体的稳定的电流的同时显示出没有信号。
用两个传感器能够更可靠地检测重新触发。例如,任何下列传感器的组合可用来检测触发:通量传感器131、电压传感器133、电流传感器132以及设置成检测由容器中的等离子体发射的光的光传感器(未示出)。来自第二个传感器的信号能够被用来验证由来自第一个传感器的信号提供的等离子体重新触发的指示。传感器信号也可被用来指示容器中的等离子体的类型。例如,由等离子体发射的光的特征指示容器中等离子体的类型。电弧等离子体的光强度比辉光等离子体的光强度近似大10倍。
为了观察由等离子体发射的光,光传感器可以是宽带传感器,例如,硅检测器。例如,控制器140用门控制从光传感器到电弧消失周期的信号。即,在等离子体的电流被驱动到零的同时能够收集光学信号以在所有的光发射充分消失的时候获得缺乏等离子体的指示。当没有检测到充分的光的时候容器中的等离子体可以被认为是消失的。
参照图10,本发明的一些特征提供了改进的触发方法。图10是触发用于材料处理的等离子体的方法1000的流程图。方法1000能够用图1a和2中示出的系统100、100A来实施,并且能够与方法300组合来利用。方法1000包括提供与电源的输出和等离子体的输入进行电气通信的谐振电路,该谐振电路用于存储和释放能量(步骤1010),分路谐振电路以增加存储在谐振电路中的能量(步骤1020),并除去该分路以使存储的能量送往等离子体容器的输入以触发等离子体容器中的等离子体(步骤1030)。对等离子体处理领域具有普通技术知识的人而言是清楚的,适当的相关条件应当被提供以触发等离子体,例如,气体类型、压力和流动速率状况。
方法1000可选地包括感测与等离子体容器中的等离子体状态相关联的信号(步骤1040),例如,以确认等离子体的触发。例如,触发可以被确认,如同上面对应于方法300所描述的那样。同样,如同上面对应于方法300所描述的那样,如果信号指示等离子体容器中的等离子体的不期望的等离子体状态,可应用分路以使等离子体消失(步骤1050)。
为了触发电弧等离子体,能分路谐振电路直到谐振电路使电源的电流比电弧等离子体的稳态电流要大。然后分路被除去以使电流被送往等离子体容器的输入以触发等离子体容器中的电弧等离子体。通常,系统必须传送比获得辉光放电状况所需的更多的能量给气体以迫使气体成电弧放电的状况。
控制器140能够闭合开关单元120以创建等离子体容器180和电源170之间的短路。短路允许电源170使电流直线上升至稍高于电弧等离子体的期望的稳定工作电流的适当的水平。当控制器140检测到DC电流已经达到该升高的水平时,控制器140打开开关单元120。然后电流从开关单元120转移到等离子体容器的阴极,导致产生迫使电弧等离子体的形成以支持电流的高电压和高电流二者。不用使用物理接触步骤以将触发能量传送给阴极,如此可触发电弧放电。
为了触发辉光等离子体,谐振电路在谐振电路的周期的有效部分被分路以增加存储在谐振电路中的能量。然后分路被除去以在该周期的有效部分之后使存储的能量送往等离子体容器以触发等离子体容器中的辉光等离子体。该周期的有效部分可以是半个周期。
例如,控制器140能够等待直到电源170的DC输出电压稳定在其峰值。然后控制器140能够闭合开关单元120半个周期以当电路衰减振荡并变为正的时增加存储在谐振电路110的电感器中的能量。电压水平能够增加到受箝压电路限制为止或直到辉光等离子体触发为止。
参照图11,例如,辉光等离子体的触发能够通过观察由电压或电流传感器提供的电压或电流的水平来确定。图11是电压和电流曲线对时间的曲线图。当标志触发开始的电容性放电发生时,增加的电压在达到触发电压水平之后逐渐下降。短暂的高电压和低电流工作情况对应于汤森放电触发阶段。然后电压下降,并逐渐稳定在工作的水平。在曲线图中指示的正常工作区域中,电压的增加提供在辉光等离子体的电流的近似线性的增加。
在等离子体形成之前的电压的逐步增加对应于与等离子体容器相关联的电容器的充电。因为没有等离子体存在于容器中以提供通过容器的导电,在击穿之前电流不随电压增加。电流的迅速增加表示等离子体的发生,然后电流稳定在工作水平。
要求触发所需的观察到的过电压能够支持等离子体已经被触发的确认,并因而也能确认等离子体首先已经消失。触发等离子体所需的过电压的水平能够受到等离子体容器的状况的影响。例如,已经持有等离子体的容器在先前的小时内在重新触发时能够比完全“冷却”的容器展现出更小的过冲。对一个示例性的容器而言,当冷触发电压可以是近似1250V的同时,对同一容器热触发的电压可以是近似750V,其稳定的工作稳定在大约500V。采用重新触发之间更短的关闭时期,过冲水平将趋向于降低直到达几乎恒定的用于某特定条件组的过冲电压。例如,等离子体可以在先前的1μsec到10秒之内消失。虽然本发明已经被具体地示出和参考特定的较佳实施例被描述,本领域中的那些技术人员应当理解,在不背离如附属的权利要求书所定义的精神和范围的情况下,可以在那里做出形式上和细节上的各种改变。
权利要求为:

Claims (53)

1.一种控制用于材料处理的等离子体的装置,该装置包括:
包括电感器的谐振电路,所述谐振电路与电源的输出和等离子体容器的输入进行电气通信,所述谐振电路用于存储和释放能量;
用于获取与等离子体容器中的等离子体的状态相关联的信号的传感器,所述传感器被配置成感测由所述电感器感应的通量;以及
可响应信号在第一状态和第二状态之间转换的开关单元,所述开关单元的第二状态用于分路所述谐振电路以使引起等离子体的状态发生改变的所述谐振电路能发生谐振。
2、如权利要求1所述的装置,其特征在于,所述传感器可以邻近所述谐振电路的所述电感器同轴地设置。
3、如权利要求1所述的装置,其特征在于,所述开关单元具有足够大的电阻以有效地充当在分路期间所述谐振电路的阻尼阻抗。
4、如权利要求3所述的装置,其特征在于,所述开关单元具有小于等离子体容器中的电弧放电等离子体的电阻的电阻。
5、如权利要求3所述的装置,其特征在于,所述开关单元具有大于等离子体容器中的电弧放电等离子体的阻抗的阻抗。
6、如权利要求3所述的装置,其特征在于,所述开关单元的电阻值在0.001Ω到100.0Ω范围内。
7、如权利要求1所述的装置,其特征在于,还包括用于从传感器接收信号和用于使开关单元转换为第一状态和第二状态中的至少一个状态以影响等离子体的状态的控制器。
8、如权利要求7所述的装置,其特征在于,所述控制器被配置成使开关单元在等离子体的状态的转换由信号的变化指示时转换到第二状态。
9、如权利要求1所述的装置,其特征在于,还包括与等离子体容器的输入并联的箝压电路。
10、如权利要求9所述的装置,其特征在于,所述箝压是不对称的箝压。
11、如权利要求1所述的装置,其特征在于,还包括与开关单元串联的用于对所述开关单元施加补偿电压的零偏压提供单元,所述补偿电压与由开关单元和与开关单元相关联的寄生电路元件中的至少一个的电阻引起的电压降相关联。
12、如权利要求1所述的装置,其特征在于,还包括用于感测谐振电路、电源以及等离子体容器的输入中至少一个的电压的电压传感器。
13、如权利要求1所述的装置,其特征在于,还包括用于感测谐振电路、电源以及等离子体容器的输入中至少一个的电流的电流传感器。
14、如权利要求1所述的装置,其特征在于,所述开关单元包括至少一个开关。
15、如权利要求14所述的装置,其特征在于,所述开关单元具有在所述谐振电路的电感器和所述等离子体容器的输入之间电气连接的一个接线端。
16、如权利要求14所述的装置,其特征在于,所述开关单元包括双极性器件和单极性器件中的至少一个。
17、如权利要求14所述的装置,其特征在于,所述开关单元包括气体开关、SCR开关、IGBT开关、SiT开关、FET开关、GTO开关和MCT开关中的至少一个。
18、如权利要求1所述的装置,其特征在于,所述等离子体容器包括与所述电源的输出进行电气通信的阴极,且所述电源包括DC电源。
19、如权利要求1所述的装置,其特征在于,所述电源包括与等离子体容器进行电气通信的AC电源。
20、如权利要求1所述的装置,其特征在于,所述谐振电路和电源共用组件。
21、一种控制用于材料处理的等离子体的方法,该方法包括:
提供与电源的输出和等离子体容器的输入进行电气通信的谐振电路,所述谐振电路用于存储和释放能量;
检测指示等离子体容器中的等离子体的状态的转换的变化,所述转换包括在等离子体容器中从辉光等离子体启动电弧放电等离子体;以及
在所述变化被检测到之后分路所述谐振电路达半个周期以使所述谐振电路能发生谐振。
22、如权利要求21所述的方法,其特征在于,还包括获取与等离子体的状态相关联的信号,以及所述检测包括检测所述信号的变化。
23、如权利要求21所述的方法,其特征在于,所述等离子体至少是辉光等离子体和电弧放电等离子体中的一个。
24、如权利要求21所述的方法,其特征在于,还包括提供用于分路所述谐振电路的开关单元,以及所述分路包括闭合所述开关达所述谐振电路的所述半个周期。
25、如权利要求21所述的方法,其特征在于,还包括如果电弧放电等离子体持续,在再次分路之前等待半个周期。
26、如权利要求25所述的方法,其特征在于,还包括重复分路并等待直到所述变化不再被检测到。
27、如权利要求26所述的方法,其特征在于,还包括至少获取包括谐振电路、电源和等离子体容器中的至少一个的电压信号和电流信号中的至少一个的第二信号,所述重复包括如果所述至少第二信号指示持续的电弧放电等离子体则重复。
28、如权利要求26所述的方法,其特征在于,所述分路包括在所述重复出现多于预定数量的次数时使电源关闭。
29、如权利要求28所述的方法,其特征在于,所述分路包括当在预定的时期内所述重复出现多于预定数量的次数时使电源关闭。
30、如权利要求21所述的方法,其特征在于,还包括至少获取包括谐振电路、电源和等离子体容器中的至少一个的电压信号和电流信号中的至少一个的第二信号,以及检测指示等离子体状态的转换的所述第二信号的变化。
31、如权利要求21所述的方法,其特征在于,还包括检测获取的信号的第二变化,所述第二变化指示等离子体的消失。
32、如权利要求31所述的方法,其特征在于,还包括在等离子体容器中重新触发等离子体。
33、如权利要求32所述的方法,其特征在于,所述重新触发包括分路所述谐振电路以增加存储在所述谐振电路中的能量,以及除去所述分路以将存储的能量送往等离子体容器的输入以在等离子体容器中触发等离子体。
34、一种触发用于材料处理的等离子体的方法,该方法包括:
提供与电源的输出和等离子体容器的输入进行电气通信的谐振电路,所述谐振电路用于存储和释放能量;
检测指示等离子体容器中的等离子体的状态的转换的变化;
分路所述谐振电路以通过分路所述谐振电路增加存储在所述谐振电路中的能量直到所述谐振电路使电源的电流大于电弧放电等离子体的稳态电流;以及
除去所述分路以将存储的能量送往等离子体容器的输入以在等离子体容器中触发等离子体,其中所述除去分路包括把电流换向到等离子体容器的输入。
35、如权利要求34所述的方法,其特征在于,所述增加存储能量的分路包括相对于所述谐振电路的周期的有效部分分路谐振电路以增加存储在所述谐振电路中的能量,以及所述的除去所述分路包括将存储的能量在所述的周期的有效部分之后送往等离子体容器的输入以在等离子体容器中触发辉光放电等离子体。
36、如权利要求21或34所述的方法,其特征在于,所述谐振电路包括与电源的输出和等离子体容器的输入串联的电感器,以及感测信号包括感测由所述电感器感应的通量。
37、如权利要求21或34所述的方法,其特征在于,等离子体状态的转换是辉光等离子体状态到电弧放电等离子体状态、电弧等离子体状态到辉光等离子体状态、电弧放电等离子体状态到关闭状态、辉光等离子体状态到关闭状态、关闭状态到电弧放电等离子体状态、以及关闭状态到电弧放电等离子体状态中的一种。
38、如权利要求21或34所述的方法,其特征在于,所述谐振电路包括电容器和电感器,以及所述分路包括使电流在所述谐振电路中谐振以使施加到等离子体容器的输入的电流反向。
39、如权利要求38所述的方法,其特征在于,还包括箝位(clamp)反向的电流以将反向的电压量值限制为小于预定的量值。
40、一种触发用于材料处理的等离子体的方法,该方法包括:
提供与电源的输出和等离子体容器的输入进行电气通信的谐振电路,所述谐振电路用于存储和释放能量;
分路所述谐振电路以通过分路所述谐振电路增加存储在所述谐振电路中的能量直到所述谐振电路使电源的电流大于电弧等离子体的稳态电流;以及
除去所述分路以将存储的能量送往所述等离子体容器的输入以在等离子体容器中触发等离子体,其中除去分路包括把电流换向到等离子体容器的输入。
41、如权利要求40所述的方法,其特征在于,所述分路包括相对于所述谐振电路的周期的有效部分分路所述的谐振电路以增加存储在所述谐振电路中的能量,以及所述的除去所述分路包括将存储的能量在所述的周期的有效部分之后送往等离子体容器以在等离子体容器中触发辉光等离子体。
42、如权利要求41所述的方法,其特征在于,所述周期的有效部分是半个周期。
43、如权利要求40所述的方法,其特征在于,还包括感测与等离子体容器中的等离子体的状态相关联的信号。
44、一种触发用于材料处理的等离子体的方法,该方法包括:
提供与电源的输出和等离子体容器的输入进行电气通信的谐振电路,所述谐振电路用于存储和释放能量;
分路所述谐振电路以增加存储在所述谐振电路中的能量;
除去所述分路以将存储的能量送往所述等离子体容器的输入以在等离子体容器中触发等离子体;
感测与等离子体容器中的等离子体相关联的信号;以及
如果所述信号指示没有触发所需的等离子体状态,则重复所述分路和所述除去分路。
45、如权利要求44所述的方法,其特征在于,所述重复包括重复直到辉光等离子体被触发、出现预定次数的没有触发辉光等离子体以及失败的预定时期已过中的一种。
46、如权利要求44所述的方法,其特征在于,所述重复包括重复直到电弧放电等离子体被触发、出现预定次数的没有触发电弧放电等离子体以及失败的预定时期已过中的一种。
47、如权利要求43或44所述的方法,其特征在于,还包括如果信号指示等离子体容器中的等离子体的不期望的等离子体状态,分路以使等离子体容器中的等离子体消失。
48、一种触发用于材料处理的等离子体的方法,该方法包括:
提供包括与电源的输出和等离子体容器的输入进行电气通信的电感器的谐振电路,所述谐振电路用于存储和释放能量,大部分存储的能量由所述电感器存储;
分路所述谐振电路以增加存储在所述谐振电路中的能量;以及
除去所述分路以将存储的能量送往所述等离子体容器的输入以在等离子体容器中触发等离子体。
49、如权利要求48所述的方法,其特征在于,电源的电感器或电源的电感器的部分由所述谐振电路共用。
50、如权利要求40所述的方法,其特征在于,大多数的所述存储的能量由所述电源的电感器存储。
51、如权利要求50所述的方法,其特征在于,所述电源的电感器比所述谐振电路的电感器具有更大的电感量。
52、如权利要求40、44或48所述的方法,其特征在于,所述检测指示等离子体的状态的转换的变化包括检测预测等离子体状态的转换的变化。
53、如权利要求52所述的方法,其特征在于,所述的在所述变化被检测到之后分路所述谐振电路包括在转换发生之前的分路。
CNB2004800237844A 2003-08-18 2004-08-18 在溅射处理系统中的等离子体转换的控制 Expired - Fee Related CN100550274C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/642,509 US6967305B2 (en) 2003-08-18 2003-08-18 Control of plasma transitions in sputter processing systems
US10/642,509 2003-08-18

Publications (2)

Publication Number Publication Date
CN1839459A CN1839459A (zh) 2006-09-27
CN100550274C true CN100550274C (zh) 2009-10-14

Family

ID=34193661

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800237844A Expired - Fee Related CN100550274C (zh) 2003-08-18 2004-08-18 在溅射处理系统中的等离子体转换的控制

Country Status (7)

Country Link
US (2) US6967305B2 (zh)
EP (1) EP1668664A2 (zh)
JP (1) JP5517395B2 (zh)
KR (1) KR101227721B1 (zh)
CN (1) CN100550274C (zh)
TW (2) TWI392754B (zh)
WO (1) WO2005020273A2 (zh)

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7981257B2 (en) * 2002-04-12 2011-07-19 Schneider Electric USA, Inc. Current-based method and apparatus for detecting and classifying arcs
US7988833B2 (en) * 2002-04-12 2011-08-02 Schneider Electric USA, Inc. System and method for detecting non-cathode arcing in a plasma generation apparatus
DE102004015090A1 (de) * 2004-03-25 2005-11-03 Hüttinger Elektronik Gmbh + Co. Kg Bogenentladungserkennungseinrichtung
US7081598B2 (en) * 2004-08-24 2006-07-25 Advanced Energy Industries, Inc. DC-DC converter with over-voltage protection circuit
US20070045111A1 (en) * 2004-12-24 2007-03-01 Alfred Trusch Plasma excitation system
ATE543198T1 (de) * 2004-12-24 2012-02-15 Huettinger Elektronik Gmbh Plasmaanregungssystem
JP4597886B2 (ja) * 2005-02-24 2010-12-15 イーエヌ テクノロジー インコーポレイテッド プラズマ電源装置用アークエネルギー制御回路
US7305311B2 (en) * 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
EP1720195B1 (de) 2005-05-06 2012-12-12 HÜTTINGER Elektronik GmbH + Co. KG Arcunterdrückungsanordnung
EP1801946B1 (de) * 2005-12-22 2009-01-21 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zur Arcerkennung in einem Plasmaprozess
EP2074643A1 (en) * 2006-03-17 2009-07-01 Schneider Automation Inc. Current-based method and apparatus for detecting and classifying arcs
US7538562B2 (en) * 2006-03-20 2009-05-26 Inficon, Inc. High performance miniature RF sensor for use in microelectronics plasma processing tools
JP4842752B2 (ja) * 2006-09-28 2011-12-21 株式会社ダイヘン プラズマ処理システムのアーク検出装置、アーク検出装置を実現するためのプログラム及び記憶媒体
ATE448562T1 (de) * 2006-11-23 2009-11-15 Huettinger Elektronik Gmbh Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung
US7795817B2 (en) * 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
EP1928009B1 (de) * 2006-11-28 2013-04-10 HÜTTINGER Elektronik GmbH + Co. KG Bogenentladungs-Erkennungseinrichtung, Plasma-Leistungsversorgung und Verfahren zum Erkennen von Bogenentladungen
DE502006009308D1 (de) * 2006-12-14 2011-05-26 Huettinger Elektronik Gmbh Bogenentladungs-Erkennungseinrichtung, Plasma-Leistungsversorgung und Verfahren zum Erkennen von Bogenentladungen
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1995818A1 (en) 2007-05-12 2008-11-26 Huettinger Electronic Sp. z o. o Circuit and method for reducing electrical energy stored in a lead inductance for fast extinction of plasma arcs
EP2206138B8 (en) * 2007-11-01 2017-07-12 Oerlikon Surface Solutions Ltd., Pfäffikon Method for manufacturing a treated surface and vacuum plasma sources
EP2075823B1 (en) * 2007-12-24 2012-02-29 Huettinger Electronic Sp. z o. o Current change limiting device
US8334700B2 (en) * 2008-02-14 2012-12-18 Mks Instruments, Inc. Arc detection
US8289029B2 (en) * 2008-02-14 2012-10-16 Mks Instruments, Inc. Application of wideband sampling for arc detection with a probabilistic model for quantitatively measuring arc events
US8169162B2 (en) * 2008-03-26 2012-05-01 Kyosan Electric Mfg. Co., Ltd. Abnormal discharge suppressing device for vacuum apparatus
US20090308734A1 (en) * 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
US9613784B2 (en) * 2008-07-17 2017-04-04 Mks Instruments, Inc. Sputtering system and method including an arc detection
US8044594B2 (en) 2008-07-31 2011-10-25 Advanced Energy Industries, Inc. Power supply ignition system and method
US8395078B2 (en) * 2008-12-05 2013-03-12 Advanced Energy Industries, Inc Arc recovery with over-voltage protection for plasma-chamber power supplies
KR20100067413A (ko) * 2008-12-11 2010-06-21 삼성전자주식회사 비접촉식 플라즈마 모니터링 장치, 플라즈마 처리 장치 및 비접촉식 플라즈마 모니터링 방법
EP2790205B1 (en) 2009-02-17 2018-04-04 Solvix GmbH A power supply device for plasma processing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) * 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120000765A1 (en) * 2010-06-30 2012-01-05 Primestar Solar, Inc. Methods of arc detection and suppression during rf sputtering of a thin film on a substrate
US20120000767A1 (en) * 2010-06-30 2012-01-05 Primestar Solar, Inc. Methods and apparatus of arc prevention during rf sputtering of a thin film on a substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US8552665B2 (en) 2010-08-20 2013-10-08 Advanced Energy Industries, Inc. Proactive arc management of a plasma load
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP2587518B1 (en) * 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
KR101303040B1 (ko) * 2012-02-28 2013-09-03 주식회사 뉴파워 프라즈마 플라즈마 챔버의 아크 검출 방법 및 장치
US9279722B2 (en) 2012-04-30 2016-03-08 Agilent Technologies, Inc. Optical emission system including dichroic beam combiner
CN103513740A (zh) * 2012-06-28 2014-01-15 鸿富锦精密工业(深圳)有限公司 硬盘供电电路及硬盘背板
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US8742668B2 (en) * 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103747606A (zh) * 2013-12-27 2014-04-23 华中科技大学 一种低温等离子体产生电路
US9697991B2 (en) 2014-01-10 2017-07-04 Reno Technologies, Inc. RF impedance matching network
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
US9496122B1 (en) 2014-01-10 2016-11-15 Reno Technologies, Inc. Electronically variable capacitor and RF matching network incorporating same
US9755641B1 (en) 2014-01-10 2017-09-05 Reno Technologies, Inc. High speed high voltage switching circuit
US9865432B1 (en) 2014-01-10 2018-01-09 Reno Technologies, Inc. RF impedance matching network
US9196459B2 (en) 2014-01-10 2015-11-24 Reno Technologies, Inc. RF impedance matching network
US10455729B2 (en) 2014-01-10 2019-10-22 Reno Technologies, Inc. Enclosure cooling system
US9844127B2 (en) 2014-01-10 2017-12-12 Reno Technologies, Inc. High voltage switching circuit
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10340879B2 (en) 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US11017983B2 (en) 2015-02-18 2021-05-25 Reno Technologies, Inc. RF power amplifier
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9729122B2 (en) 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10984986B2 (en) 2015-06-29 2021-04-20 Reno Technologies, Inc. Impedance matching network and method
US11342161B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Switching circuit with voltage bias
US10692699B2 (en) 2015-06-29 2020-06-23 Reno Technologies, Inc. Impedance matching with restricted capacitor switching
US11150283B2 (en) 2015-06-29 2021-10-19 Reno Technologies, Inc. Amplitude and phase detection circuit
US11335540B2 (en) 2015-06-29 2022-05-17 Reno Technologies, Inc. Impedance matching network and method
US11342160B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Filter for impedance matching
US11081316B2 (en) 2015-06-29 2021-08-03 Reno Technologies, Inc. Impedance matching network and method
JP6582292B2 (ja) * 2015-07-28 2019-10-02 東京電子交易株式会社 放電分析方法及び放電分析装置
US11452982B2 (en) 2015-10-01 2022-09-27 Milton Roy, Llc Reactor for liquid and gas and method of use
US10882021B2 (en) 2015-10-01 2021-01-05 Ion Inject Technology Llc Plasma reactor for liquid and gas and method of use
WO2017058764A1 (en) 2015-10-01 2017-04-06 Buchanan Walter Riley Plasma reactor for liquid and gas
US10187968B2 (en) * 2015-10-08 2019-01-22 Ion Inject Technology Llc Quasi-resonant plasma voltage generator
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10046300B2 (en) 2015-12-09 2018-08-14 Ion Inject Technology Llc Membrane plasma reactor
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10566177B2 (en) * 2016-08-15 2020-02-18 Applied Materials, Inc. Pulse shape controller for sputter sources
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11289307B2 (en) 2017-07-10 2022-03-29 Reno Technologies, Inc. Impedance matching network and method
US10727029B2 (en) 2017-07-10 2020-07-28 Reno Technologies, Inc Impedance matching using independent capacitance and frequency control
US10483090B2 (en) 2017-07-10 2019-11-19 Reno Technologies, Inc. Restricted capacitor switching
US11315758B2 (en) 2017-07-10 2022-04-26 Reno Technologies, Inc. Impedance matching using electronically variable capacitance and frequency considerations
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US11393659B2 (en) 2017-07-10 2022-07-19 Reno Technologies, Inc. Impedance matching network and method
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
US11398370B2 (en) 2017-07-10 2022-07-26 Reno Technologies, Inc. Semiconductor manufacturing using artificial intelligence
US11101110B2 (en) 2017-07-10 2021-08-24 Reno Technologies, Inc. Impedance matching network and method
US10714314B1 (en) 2017-07-10 2020-07-14 Reno Technologies, Inc. Impedance matching network and method
US11114280B2 (en) 2017-07-10 2021-09-07 Reno Technologies, Inc. Impedance matching with multi-level power setpoint
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
TWI792598B (zh) 2017-11-17 2023-02-11 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11476145B2 (en) * 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11521831B2 (en) 2019-05-21 2022-12-06 Reno Technologies, Inc. Impedance matching network and method with reduced memory requirements
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
TW202109611A (zh) 2019-07-12 2021-03-01 新加坡商Aes全球公司 具有單一控制開關之偏壓供應器
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102165083B1 (ko) * 2020-01-09 2020-11-04 김석술 동부스바 가공을 위한 디버링장치
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7307696B2 (ja) * 2020-03-26 2023-07-12 株式会社ダイヘン プラズマ源の状態を検出する方法およびプラズマ源
JP7307697B2 (ja) * 2020-03-26 2023-07-12 株式会社ダイヘン プラズマ源の状態を検出する方法およびプラズマ源
JP7307695B2 (ja) * 2020-03-26 2023-07-12 株式会社ダイヘン プラズマ源の状態を検出する方法およびプラズマ源
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11276601B2 (en) 2020-04-10 2022-03-15 Applied Materials, Inc. Apparatus and methods for manipulating power at an edge ring in a plasma processing device
CN113543446A (zh) * 2020-04-13 2021-10-22 台达电子工业股份有限公司 电源产生器的点火方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022117669A (ja) * 2021-02-01 2022-08-12 東京エレクトロン株式会社 フィルタ回路及びプラズマ処理装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
DE102022108642A1 (de) * 2022-04-08 2023-10-12 TRUMPF Hüttinger GmbH + Co. KG Plasmazünderkennungsvorrichtung zum Anschluss an eine Impedanzanpassungsschaltung für ein Plasmaerzeugungssystem
CN115121912B (zh) * 2022-06-27 2023-08-22 湘潭大学 一种用于多极磁控gtaw电弧传感器的励磁电流标定方法
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
CN117983929A (zh) * 2024-04-02 2024-05-07 杭州凯尔达焊接机器人股份有限公司 基于能量回收转移的焊接控制电路及焊接电源

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4122816A (en) * 1976-04-01 1978-10-31 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma igniter for internal combustion engine
US4284490A (en) * 1978-09-28 1981-08-18 Coulter Systems Corporation R.F. Sputtering apparatus including multi-network power supply
US4557819A (en) * 1984-07-20 1985-12-10 Varian Associates, Inc. System for igniting and controlling a wafer processing plasma
JP2613201B2 (ja) 1987-01-23 1997-05-21 株式会社日立製作所 スパツタリング方法
US4996646A (en) 1988-03-31 1991-02-26 Square D Company Microprocessor-controlled circuit breaker and system
US5170360A (en) 1988-03-31 1992-12-08 Square D Company Computer-based metering arrangement including a circuit interrupter
US5166887A (en) 1988-03-31 1992-11-24 Square D Company Microcomputer-controlled circuit breaker system
US5241152A (en) * 1990-03-23 1993-08-31 Anderson Glen L Circuit for detecting and diverting an electrical arc in a glow discharge apparatus
DE4127504A1 (de) * 1991-08-20 1993-02-25 Leybold Ag Einrichtung zur unterdrueckung von lichtboegen
JP3429801B2 (ja) 1992-03-02 2003-07-28 新電元工業株式会社 スパッタ装置用電源
JP3631246B2 (ja) 1992-09-30 2005-03-23 アドバンスド エナージィ インダストリーズ,インコーポレイテッド 形状的に精密な薄膜フィルムコーティングシステム
JPH0732077B2 (ja) 1992-10-07 1995-04-10 株式会社京三製作所 高周波発生装置
US5664066A (en) 1992-11-09 1997-09-02 The United States Of America As Represented By The United States Department Of Energy Intelligent system for automatic feature detection and selection or identification
US6217717B1 (en) 1992-12-30 2001-04-17 Advanced Energy Industries, Inc. Periodically clearing thin film plasma processing system
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5505835A (en) 1993-02-22 1996-04-09 Matsushita Electric Industrial Co., Ltd. Method for fabricating optical information storage medium
JP3111816B2 (ja) 1993-10-08 2000-11-27 株式会社日立製作所 プロセス状態検出装置
US5759424A (en) 1994-03-24 1998-06-02 Hitachi, Ltd. Plasma processing apparatus and processing method
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
DE19537212A1 (de) * 1994-10-06 1996-04-11 Leybold Ag Vorrichtung zum Beschichten von Substraten im Vakuum
JP2925467B2 (ja) * 1994-12-27 1999-07-28 株式会社三社電機製作所 プラズマアーク電源装置
JP2733454B2 (ja) * 1995-02-16 1998-03-30 株式会社京三製作所 成膜装置用異常放電抑制装置
US5711843A (en) 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
US5831851A (en) 1995-03-21 1998-11-03 Seagate Technology, Inc. Apparatus and method for controlling high throughput sputtering
WO1996031899A1 (en) * 1995-04-07 1996-10-10 Advanced Energy Industries, Inc. Adjustable energy quantum thin film plasma processing system
US5616224A (en) 1995-05-09 1997-04-01 Deposition Sciences, Inc. Apparatus for reducing the intensity and frequency of arcs which occur during a sputtering process
JP3660018B2 (ja) * 1995-05-17 2005-06-15 株式会社アルバック 真空装置の異常放電消滅装置
US5584974A (en) 1995-10-20 1996-12-17 Eni Arc control and switching element protection for pulsed dc cathode sputtering power supply
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
US5917286A (en) * 1996-05-08 1999-06-29 Advanced Energy Industries, Inc. Pulsed direct current power supply configurations for generating plasmas
US5682067A (en) * 1996-06-21 1997-10-28 Sierra Applied Sciences, Inc. Circuit for reversing polarity on electrodes
US5729119A (en) 1996-06-28 1998-03-17 Siemens Energy & Automation, Inc. Dual mode power supply and under voltage trip device
US5796214A (en) 1996-09-06 1998-08-18 General Elecric Company Ballast circuit for gas discharge lamp
DE19651811B4 (de) * 1996-12-13 2006-08-31 Unaxis Deutschland Holding Gmbh Vorrichtung zum Belegen eines Substrats mit dünnen Schichten
EP1013792B1 (en) * 1997-02-20 2010-05-19 Shibaura Mechatronics Corporation Power supply unit for sputtering device
JP2835322B2 (ja) * 1997-02-20 1998-12-14 芝浦メカトロニクス株式会社 スパッタリング用電源装置および該装置を用いたスパッタリング装置
WO1999014394A1 (en) * 1997-09-17 1999-03-25 Tokyo Electron Limited Device and method for detecting and preventing arcing in rf plasma systems
US6291999B1 (en) 1997-09-30 2001-09-18 Daihen Corp. Plasma monitoring apparatus
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
WO1999047727A1 (fr) * 1998-03-18 1999-09-23 Shindengen Electric Manufacturing Co., Ltd. Circuit d'extinction d'arc et procede d'extinction d'arc
JP2000031072A (ja) * 1998-07-10 2000-01-28 Seiko Epson Corp プラズマモニタ方法及び半導体製造装置
DE19949394A1 (de) * 1999-10-13 2001-04-19 Balzers Process Systems Gmbh Elektrische Versorgungseinheit und Verfahren zur Reduktion der Funkenbildung beim Sputtern
US6332631B1 (en) 2000-01-25 2001-12-25 Impaxx, Inc. Peel back and re-sealable extended text label with detachment segment
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6524455B1 (en) * 2000-10-04 2003-02-25 Eni Technology, Inc. Sputtering apparatus using passive arc control system and method
JP2002176034A (ja) * 2000-12-08 2002-06-21 Yoshio Fujino プラズマエッチングにおける異常放電自動防止装置
US6630799B2 (en) * 2001-03-15 2003-10-07 Safe Food Technologies, Inc. Resonant power supply and apparatus for producing vacuum arc discharges
DE10158316B4 (de) * 2001-11-28 2008-08-21 Siemens Ag Bestimmungsverfahren für einen Schaltzustand eines Kontakts und hiermit korrespondierende Auswerteschaltung
US6736944B2 (en) * 2002-04-12 2004-05-18 Schneider Automation Inc. Apparatus and method for arc detection
US6740843B2 (en) * 2002-06-07 2004-05-25 City University Of Hong Kong Method and apparatus for automatically re-igniting vacuum arc plasma source
US20050236266A1 (en) * 2002-06-19 2005-10-27 Poole John E Sputter target monitoring system
TWI259546B (en) * 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
US6794601B2 (en) * 2002-09-05 2004-09-21 Thermal Dynamics Corporation Plasma arc torch system with pilot re-attach circuit and method
US6808607B2 (en) * 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
DE10260614B4 (de) * 2002-12-23 2008-01-31 Advanced Micro Devices, Inc., Sunnyvale Plasmaparametersteuerung unter Verwendung von Lerndaten
US6943317B1 (en) * 2004-07-02 2005-09-13 Advanced Energy Industries, Inc. Apparatus and method for fast arc extinction with early shunting of arc current in plasma
GB2477506B (en) 2010-02-03 2013-10-30 Dna Electronics Ltd Integrated electrochemical and optical sensor with inductor

Also Published As

Publication number Publication date
JP2007503096A (ja) 2007-02-15
KR20060064649A (ko) 2006-06-13
US6967305B2 (en) 2005-11-22
US20060011591A1 (en) 2006-01-19
WO2005020273B1 (en) 2005-08-25
TW201315294A (zh) 2013-04-01
CN1839459A (zh) 2006-09-27
TWI392754B (zh) 2013-04-11
KR101227721B1 (ko) 2013-01-29
WO2005020273A2 (en) 2005-03-03
US8089026B2 (en) 2012-01-03
JP5517395B2 (ja) 2014-06-11
TW200512306A (en) 2005-04-01
WO2005020273A3 (en) 2005-05-19
US20050040144A1 (en) 2005-02-24
EP1668664A2 (en) 2006-06-14

Similar Documents

Publication Publication Date Title
CN100550274C (zh) 在溅射处理系统中的等离子体转换的控制
KR101946126B1 (ko) 플라즈마 공정에서 방전을 모니터링하기 위한 장치 및 방법
US7262606B2 (en) Method of arc detection
JP2009522998A (ja) 誘導性負荷のための供給アーキテクチャ
US20190184483A1 (en) Shielded metal arc welding system and welding power supply for shielded metal arc welding
US20210051793A1 (en) Frequency chirp resonant optimal plasma ignition method
US7244343B2 (en) Sputtering apparatus
JP2733454B2 (ja) 成膜装置用異常放電抑制装置
CN107155229B (zh) 电磁加热烹饪装置及其加热控制电路和控制方法
CN214429469U (zh) 一种简单实用的pvd镀膜电源模组
JP4065820B2 (ja) スパッタリング装置
CN112839398A (zh) 一种电磁加热装置及其干烧检测方法
JP2007026906A (ja) 電磁調理器
JP2001145371A (ja) スパッタ用電源
CN111901914B (zh) 电磁加热器具的控制电路、方法及电磁加热器具
CN206755257U (zh) 电磁炉
CN114649972A (zh) 一种高精度非对称双极性脉冲电源系统
JP2006274393A (ja) 真空装置における異常放電発生予防方法及び真空装置
JP6958785B2 (ja) 被覆アーク溶接システム、および、被覆アーク溶接用の溶接電源装置
JP5788669B2 (ja) イオンビーム源及び非導電材料をスパッタリングする装置
JPH11323529A (ja) グロー放電処理用アーク放電発生前兆検出方法、グロー放電処理用アーク放電前知予測抑制方法及びグロー放電処理装置
JP2004220783A (ja) 誘導加熱装置
JP2001313191A (ja) 放電灯点灯装置
JPH11302825A (ja) グロー放電処理用アーク放電抑制方法及びグロー放電処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091014

Termination date: 20150818

EXPY Termination of patent right or utility model