CN100445048C - 传递基板的机器人和方法、以及制造末端受动器组件的方法 - Google Patents

传递基板的机器人和方法、以及制造末端受动器组件的方法 Download PDF

Info

Publication number
CN100445048C
CN100445048C CNB2005100823866A CN200510082386A CN100445048C CN 100445048 C CN100445048 C CN 100445048C CN B2005100823866 A CNB2005100823866 A CN B2005100823866A CN 200510082386 A CN200510082386 A CN 200510082386A CN 100445048 C CN100445048 C CN 100445048C
Authority
CN
China
Prior art keywords
substrate
robot
base part
end effectors
tip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2005100823866A
Other languages
English (en)
Other versions
CN1721145A (zh
Inventor
高如松本
秋广细川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1721145A publication Critical patent/CN1721145A/zh
Application granted granted Critical
Publication of CN100445048C publication Critical patent/CN100445048C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S294/00Handling: hand and hoist-line implements
    • Y10S294/902Gripping element
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明提供使用于基板机器人的一末端受动器的若干具体实施例。在一具体实施例中该末端受动器组件包含一腕部、以及连结至该腕部的具有间隔分离关系的一第一和一第二末端受动器。该第一末端受动器含有连结至该腕部的一基座、以及一末梢其连结至位在该腕部相反侧的该基座上。该基座及该末梢可由相同或不同材料制成。该第一及第二末端受动器可具有不同共振频率来减少振动。或者,对该第一和第二受动器施加一低放射率涂层。该低放射率涂层可还具有复数个压力释放槽,用以减少或避免在该涂层与该在下面的末端受动器因为不同的热膨胀而使涂层剥落。

Description

传递基板的机器人和方法、以及制造末端受动器组件的方法
技术领域
一般而言,本发明的具体实施例有关用以在一处理系统中处理大面积基板的一末端受动器组件。
背景技术
诸如一主动阵列或薄膜晶体管(TFT)显示器、液晶显示器(LCD)、等离子体显示器及其类似的平板显示器,通常是用作为一电脑或电视显示器、移动电话显示器、个人数字应用助理(PDA)、以及正在成长中的其他许多设备中。平板显示器通常包括具有一层液晶材料夹于其间的二片玻璃板。该等玻璃板至少其中一片玻璃板包含一配置于其上面的导电薄膜,用以连结一电源。从该电源施加电力至该导电性薄膜,用以改变该液晶材料的配向而产生一图案显示。
随着平板显示器技术受到市场认同,对更大型显示器、高产量低制造成本的需求,也带动设备厂商发展新系统来提供平板显示器制造厂更大尺寸的玻璃基板。目前的玻璃处理设备通常是设计成可提供高达一平方公尺的基板。预料在最近的将来,处理设备会被建造来提供更大尺寸的基板。
玻璃基板处理的实施,通常是对基板施行复数个连续处理,用以在该基板上制造出元件、导体、以及绝缘体。每一该等处理通常是在一建造来实施一或更多处理步骤的一工艺处理室内实施。为了有效率地完成整个连续的处理步骤,通常数个处理处理室被连结至一中心传送处理室,该中心传送处理室装有一机器人,用以协助在该等处理处理室之间传送该基板。具有如此架构的处理平台,一般习称为「组合机床(cluster tool)」。这类用于玻璃基板处理的组合机床,可以购自AKT公司,该公司是Applied Materials,Inc.,of Santa Clara,California.的全部自拥有子公司。
当玻璃基板的尺寸增加,用以操作和处理该等基板的制造设备亦必须变大。
该操作基板的设备(例如上述的传送机器人)的尺寸增加,会增加许多技术上的挑战,必须加以克服以维持基板可被精确地传送。例如,一用以操作平板基板的传送机器人,具有一组由一腕部(wrist)支撑的悬臂梁式末端受动器,用以支撑基板的下面。然而,该需要充分支撑大面积基板的该长的末端受动器容易″下垂″或″松垂″。该末端受动器的悬垂必须借助较大的机器人动作范围及/或较大的构件余隙来调整,但是此两者都会造成工具成本上升。
该末端受动器可由一单片陶瓷制成,用以减少下垂,因为陶瓷材料具有高杨氏模量(Young’s modulus)。然而,因为陶瓷在烘焙时会收缩20~30%,烘焙炉最少必须比完成品大20~30%。不容易找到如此大的烘焙炉来制造该末端受动器。因此,由于烘焙炉的尺寸限制及高制造成本,不容得到用于大尺寸基板的大尺寸单片末端受动器。
因此,需要一种用于大尺寸基板的末端受动器。
发明内容
本发明的目的是提供一末端受动器组件,用于一传送机器人。本发明的末端受动器组件包含一腕部、以及连结至该腕部的具有间隔分离关系的一第一和一第二末端受动器。该第一末端受动器含有连结至该腕部的一基座、以及连结至一位于该腕部相反侧的该基座末梢。该基座及该末梢可由相同或不同材料制成。该第一及第二末端受动器可具有不同共振频率来减少振动。
或者,对该第一和第二受动器提供一低放射率涂层(low emissivitycoating)。该低放射率涂层可还具有复数个压力释放槽,用以减少或避免在该涂层与该在下面的末端受动器因为不同的热膨胀而使涂层剥落。
以下将结合附图对本发明的具体实施例进行详细说明,以更清楚理解本发明的目的、特点、和优点。
为了有助于了解,在这些附图中的相同元件以相同的标号来表示。
附图说明
图1是一组合机床的简化示意图。
图2示出适用于图1的组合机床的一传送机器人的一末端受动器和腕部组件的一具体实施例。
图3示出一多片末端受动器的接合的一具体实施例。
图4示出用以连结一末端受动器至传送机器人的该腕部的一托架的一具体实施例。
图5是一具有低放射率涂层的末端受动器的部分立体图。
图6是一具有热隔断层的末端受动器的部分立体图。
具体实施方式
图1是一至少具有本发明的末端受动组件的组合机床100的简化示意图。该组合机床100通常包含一传送处理室104、复数处理处理室102、以及至少一负载锁定处理室112。处理处理室102通常被设置成可实施该处理的一个或多个工序。例如,该处理处理室102可作为一CVD处理室、一蚀刻处理室或是任何其他习知的处理处理室。该中心传送处理室104通常包含一传送机器人106,适合将一基板114(以虚线表示)传送入或传送出该负载锁定处理室112以及该各种处理处理102。该基板传送机器人106通常具有一末端受动组件120。该末端受动组件120包含从一腕部108延伸出来的复数个末端受动器110。当机械人106传送该基板114时,该末端受动器110适合将基板114支撑于其上面。
虽然该具有末端受动器110的传送机器人106适合用于习知基板,但是此处所述的机器人对于大面积基板特别有用,亦即,具有面积大至四平方米甚至更大的基板。而且,虽然该末端受动器组件120显示连结至位在该传送处理室104中的传送机器人106,然而该传送机器人106也可以配置在例如电子束测试(EBT)处理室的一工序处理室中。一例示的EBT处理室揭示于申请日为2004年2月12日的美国专利申请第10/778,982号中,。而且,该末端受动器可以利用于其他自动化应用上,包括希望在非真空环境下有效率地传送一大面积基板的各式应用。
图2示出一末端受动器组件120的具体实施例。在图2所示的具体实施例中,腕部108保持有并排方式的复数个末端受动器110,如此该末端受动器110可以支撑一基板。虽然图2显示有4个末端受动器110其连结至腕部108,但可以考虑其他数量的末端受动110,例如亦可有效利用至少2个。
该末端受动器110包含连结至一末梢204的一基座202。传送机器人106的该腕部108和其他构件通常选用可在传送基板时减少热效应的材料来制造。举出但未受到限定的适合制造该腕部108的材料,有铝/碳化硅复合材料、玻璃陶瓷(特别是例如Neoceram N-0及Neoceram N-11)、铝/铁复合材料、碳、碳基复合材料、铸造铝合金、商业纯铬、石墨、钼、钛合金、钼钨合金、商业纯钼、
Figure C20051008238600101
Figure C20051008238600102
钛Ti-6Al-4V合金、8090铝MMC、以及金属基复合材料等。金属基复合材料通常含有铝及其他轻金属的铝或其他轻金属(例如镁、钛、铝、镁合金、钛合金、以及铝合金)、以及高达30%的填料,例如碳化硅及其他类似物。
该末端受动器110的基座202和该末梢204,通常由具有高温度耐久性的材料制造而成。该材料的密度亦是一种要因素,因为其末端可能会由于其自身重量而下垂或松垂。其结果可能因应用在高温而恶化。下述表1显示可以使用来制造该末端受动器110的非全包括的种种材料。该表提供各材料的对应密度(g/cm3)、以及杨氏模量(giga-Pascals;GPa)。而且,表1的最后一栏显示杨氏模量除以密度(一显示硬度/密度的有关参数)。该计算出的数值越高,该末端受动器110越不会发生下垂或松垂。相反地,该数值越低,该末端受动器110越会发生松垂。该末端受动器110的该基座202及该末梢204的制造材料选择,可以选自可以减少该末端受动器110下垂的一材料或一组合材料,或是可以提供依据系统设计容许量的下垂量。
表1
  材料   密度(g/cm<sup>3</sup>)   杨氏模量(Gpa)   杨氏模量/密度
  陶瓷(氧化铝)   3.8   370   97
  MMC(金属基质复合材料)
  基质材料:硅、强化材料:SiC   2.8   270   96
  基质材料:铝、强化材料:Al<sub>2</sub>O<sub>3</sub>   3.4   210   62
  铝铍基质(AlBeMet 162)   2.1   193   92
  CFRP(碳纤维)   1.7   300   176
  铝(6061)   2.7   69   26
  SST(304L)   8   200   25
如表1所示,碳纤维强化聚合物(CFRP)具有最高的单位密度硬度,接着是氧化铝、具有以碳化硅强化的硅基金属基复合材料(MMC)、接着是含有约38%铝和62%铍的铝铍基质。如此铝-铍合金的其中一个例子为162,可以购自Brush Wel lman公司(located in Ceveland,Ohio.)。
Figure C20051008238600112
是BrushWellman公司的注册商标。
在一具体实施例中,该末端受动器110的该基座202和该末梢204可以由陶瓷制成,因为陶瓷具有低下垂性(高杨氏模量)及高温耐久性。适合的陶瓷包含是未限定的有例如氧化铝、碳化碳(SiC)、氮化硅(SiN)以及其他类似物。末端受动器110的该基座202和该末梢204通设被设计成该末端受动器110的每一片都可以使用现有的烘焙炉制造而成。或者,若是有适合尺寸的烘焙炉可以利用,亦考虑制造一片式末端受动器110。
或者,可以使用不同材料来制造二片式该末端受动器110的该基座202和该末梢204。例如在一具体实施例中,该末端受动器110的该基座202可以由金属或CFRP制造而成。使用金属或CFRP可以使该基座202能够忍受较大压力,由于其尺寸较小,对该末端受动器110的运动,不会增加太多的动量。在一具体实施例中,该末端受动器110的末梢204可由陶瓷制造而成。利用与其他材料比较时陶瓷在高温度的耐久性,其可以减少下垂或松垂。除了表1所列的材料以外,该末端受动器110的该基座202和该末梢204可以由上述所列出适合该腕部108的的任何材料制造而成。任何符合本揭示教导的其他材料和/或组合材料均可考虑同等地于此应用。
亦可进而考虑将连结至该传送机器人106的该腕部108的各末端受动器110,使用与其他该传送机器人106的末端受动器110不同材料和/或不同复合材料制造而成。例如,二个或更多个末端受动器110的复合材料,在传送机器人106的各自末端受动器110可以选择不同共振频率,如此可以减少该传送机器人106和/或末端受动器110的振动。
图3是将末端受动器的基座202固定于末梢204的方法的一具体实施例。一嵌槽316形成在留置有一突出部310和一侧壁312的该基座202内,如此,该末梢204配置在该突出部310并横靠着该侧壁312。一衬垫304可选择性地位于该末梢204与侧壁312之间,用以减少该末端受动器110的该基座202与该末梢204之间,由于热、膨胀、振动、组装及拆卸时的操作及其他类似所造成的磨耗。可选择性地,可以在该末梢204与该突出部310之间配置一衬垫(未图示),用以更减少该基座202与该末梢204之间的磨耗。该衬垫304(及该具有选择性的衬垫、未图示),可以由橡胶、铝薄膜、或是其他适合材料制造而成。该衬垫304可以更减少振动从基座202传送至该末端受动器110的末梢204。一边缘起伏面例如斜面314,可减少组装时的损害。亦可以使用与斜面314的不同边缘起伏面,例如一幅射状或其他形状。该末梢204是使用复数个固定件固定于该基座202。在图3所示的具体实施例中,具有5个螺栓306通过该末梢204和该基座所具有的复数个孔,并由5颗螺帽308保持固定。配置于该末端受动器110的各侧面的一对板件302均匀分布固定件通过该末端受动器110的基座202与该末梢204表面所带来的负载。板件302可由不锈钢、钛合金、或是其他适合材料制造而成。
图4示出用以连结一末端受动器110至传送机器人的腕部108的一托架组件的具体实施例。在该具体实施例中,一托架402用以连结该末端受动器110至该腕部108。该托架402包含一具有阶梯通道416及上肩部418的主体414。该该末端受动器110安置在该通道416中。在该末端受动器与该托架402之间可配置一衬垫404。在图4所示的实施例中,配置有复数个孔408,用以借助复数个固定件(未图示)将该末端受动器110固定在该托架402。配置有一负载分布板406,用以均匀分布固定件通过该末端受动器110所带来的负载。
可以在该负载分布板406与末端受动器110之间配置一衬垫404。该衬垫404可由橡胶、铝薄膜、或其他能够忍受处理条件的薄片材料制造而成。该衬垫404亦可以具有阻尼器作用,用以减少该末端受动器110的振动。
一槽420形成在该腕部108内用以收容该托架402,该槽420包含一形成在该槽420的一侧面的突出部422,用以支撑该托架402的该上肩部418。在该托架402的该上肩部418形成有复数个孔410,用以提供固定件(未图示)固定该托架402于该腕部108的该突出部422。在该托架402的该上肩部418形成有复数个第二孔412,用以提供复数个固定螺丝(未图示)来控制或调整该末端受动器110与该腕部108的对准。
具选择性地,该末端受动器110可以涂布一具有低表面放射率的材料,如图5的部分立体图所示,有助于减少该末端受动器110的温度。在一具体实施例中,具有一涂层504,例如借助溅射至该末端受动器502上面。在该涂层504内形成有复数个槽506。该槽506可以部分延伸于或完全通过该涂层504。各槽506通常是延伸围绕该末端受动器110的周围。该槽506用以减少因为涂层504和下面的末端受动器502因热膨胀速度不同而引起的剥落。考虑可以将涂层504与槽506配置该末端受动器110的选择表面。例如,该涂层504可以单独涂布在该末端受动器110的上部表面,或单独涂布在该末端受动器110的该末梢204(如图2所示),或该末端受动器110的任何表面或需要降低温度的部分。
该涂层504可以是例如溅射铝、或铬、或镀镍及其他类似物。通常任何具有低放射率的材料可借助电镀或是溅射而用于该涂层504。或者,该涂层504可以抛光或更降低该布层504的放射率。通常,放射率低至约0.04至0.11的范围为适合。该涂层504的低放射率代表反射大部分该末端受动器110所遭遇到的能量,如此可以避免吸收而将该能转变成为热量。
在另一具体实施例中,如图6所示,该末端受动器110被一热隔离件610覆盖。该热隔离件610可以包括一基座部602和一末梢部604,分别与该末端受动器110的该基座202和该末梢204同形。或者,该热隔离件610可以制成覆盖该末端受动器110的全部或部分的单片。该热隔离件610机械地固定于该末端受动器110,例如使用螺丝固定、栓紧、焊接、黏着、胶贴及其他类似方法。
该热隔离件610通常包含适应处理条件的一低放射率材料。在一具体实施例中,该热隔离件610是一铝片或铝箔。或者,可以抛光该热隔离件610或进行其他处理来更降低该热隔离件610的放射率。通常,放射率低至约0.04至0.11的范围为适合。虽然在图6中示出该热隔离件610只覆盖该末端受动器110的上表面,然而可以考虑将该热隔离件610配置在该末端受动器110的需要减少幅射热的任何表面,或多层表面。
如此,上述提供了一末端受动器组件的各种具体实施例。在一具体实施例中,一包括复数多片式末端受动器的末端受动器组件,适合用以操作大面积基板。该末端受动器可以由可以减少垂悬或下垂的一材料或一组合材料制造而成。在连结该末端受动器至该传送机器人的腕部的接合处、以及在连结该末端受动器的该基座和该末梢的接合处可以具备有减振衬垫。该末端受动器可以具选择性地还涂布一低放射率的材料,用以减少对该末端受动器加热。在该涂层可以具备复数个压力释放槽,用以避免该涂层从该末端受动器剥落。如此,可以利用一具有复数末端受动器(具有上述所揭示的一些或全部特色)的一机器人,以较小余隙和较小动作范围的处理系统来移动基板,借此,可以降低该设备成本。
虽然前述是参照本发明的较佳具体实施例,但是在不悖离本发明的基本范围,其他和进一步的具体实施例当可以被设计,本发明的范围是由下列本申请权利要求范围确定。

Claims (32)

1.一种用于传递大面积基板的基板机器人,所述基板机器人具有至少两个末端受动器组件,每一个末端受动器组件包含:
至少一个具有第一共振频率的基座部分;以及至少一个具有第二共振频率的末梢部分,所述末梢部分连结至所述至少一个基座部分;其中所述基座部分和所述末梢部分界定一平坦的纵向表面,以及所述第一共振频率和第二共振频率是不同的。
2.如权利要求1所述的基板机器人,其特征在于,所述基座部分和所述末梢部分是由不同材料制造而成。
3.如权利要求2所述的基板机器人,其特征在于,所述基座部分是由一金属、金属基复合材料、金属合金或CFRP制造而成。
4.如权利要求2所述的基板机器人,其特征在于,所述末梢部分是由陶瓷制造而成。
5.如权利要求1所述的基板机器人,其特征在于,所述基座部分和所述末梢部分是由相同材料制造而成。
6.如权利要求5所述的基板机器人,其特征在于,所述基座部分和所述末梢部分是由陶瓷制造而成。
7.如权利要求1所述的基板机器人,其特征在于,还包含:
形成于所述基座部分和所述末梢部分的一低放射率涂层。
8.如权利要求7所述的基板机器人,其特征在于,所述涂层是溅射或者电镀到所述基座部分和所述末梢部分上。
9.如权利要求7所述的基板机器人,其特征在于,所述涂层是由铝、铬、或镍形成。
10.如权利要求7所述的基板机器人,其特征在于,还包含:
数个形成在该涂层内的压力释放槽。
11.如权利要求1所述的基板机器人,其特征在于,还包含:
位于所述基座部分和所述末梢部分之间的一衬垫夹层。
12.如权利要求11所述的基板机器人,其特征在于,所述衬垫是由橡胶或铝薄膜制造而成。
13.如权利要求1所述的基板机器人,其特征在于,还包含:
数个隔离件,连结至所述基座部分和所述末梢部分。
14.如权利要求13所述的基板机器人,其特征在于,每一个所述隔离件具有介于约0.04至约0.11之间的放射率。
15.一种用于传递大面积基板的基板机器人,其特征在于,所述基板机器人具有至少两个末端受动器组件,每一个末端受动器组件包含:
基座部分,具有形成在第一端的一托架;
末梢部分;以及
系牢装置,将所述末梢部分连结至所述基座部分的第一端,其中所述末梢部分和所述基座部分是采用具有不同共振频率的陶瓷材料制作,以及所述末梢部分的上表面和所述基座部分界定一平坦的面。
16.如权利要求15所述的基板机器人,其特征在于,所述系牢装置是至少一个螺栓。
17.如权利要求15所述的基板机器人,其特征在于,还包含:
位于所述基座部分和所述末梢部分之间的一衬垫夹层。
18.如权利要求17所述的基板机器人,其特征在于,所述衬垫是由金属或橡胶制造而成。
19.如权利要求15所述的基板机器人,其特征在于,所述基座部分具有适于连结至所述基板机器人的一腕部的第二端。
20.如权利要求15所述的基板机器人,其特征在于,还包含:
数个隔离件,连结至所述基座部分和所述末梢部分。
21.如权利要求20所述的基板机器人,其特征在于,所述数个隔离件中的每一个具有介于约0.04至约0.11之间的放射率。
22.一种用于传递大面积基板的基板机器人,其特征在于,所述基板机器人具有至少两个末端受动器组件,每一个末端受动器组件包含:
基座部分,具有形成在第一端的一托架;
末梢部分;以及
系牢装置,将所述末梢部分连结至所述基座部分的第一端,其中所述末梢部分和所述基座部分是采用具有不同共振频率的陶瓷材料制作,以及所述基座部分具有数个隔离件,所述末梢部分的上表面和所述具有数个隔离件的基座部分相连结以界定一基板接收表面,与所述末梢部分和所述基座部分的上表面间隔分离。
23.如权利要求22所述的基板机器人,其特征在于,所述数个隔离件中的每一个通过螺丝固定、栓紧、焊接、黏着、胶贴或者它们的组合系牢至所述基座部分和所述末梢部分。
24.如权利要求22所述的基板机器人,其特征在于,所述数个隔离件中的每一个具有介于约0.04至约0.11之间的放射率。
25.如权利要求22所述的基板机器人,其特征在于,所述系牢装置是至少一个螺栓。
26.如权利要求22所述的基板机器人,其特征在于,还包含:
位于所述基座部分和所述末梢部分之间的一衬垫夹层。
27.如权利要求26所述的基板机器人,其特征在于,所述衬垫是由橡胶或铝薄膜制造而成。
28.如权利要求22所述的基板机器人,其特征在于,所述基座部分具有适于连结至所述基板机器人的一腕的第二端。
29.一种传递基板的方法,包含:
由一末端受动器组件上支持一基板,所述组件包含:
腕部;
基座部分,连结至所述腕部的第一面;
末梢部分,连结至所述基座部分上相对于所述腕部的位置,所述末梢部分和所述基座部分界定第一末端受动器;以及
第二末端受动器,以与所述第一末端受动器具有间隔分离关系的方式连结至所述腕部的第一面,其中所述第一和第二末端受动器界定一基板接收表面;以及
从所述基板接收表面传递所述基板至一基板支撑件,其中所述第一末端受动器和所述第二末端受动器具有不同的共振频率。
30.如权利要求29所述的方法,其特征在于,末端受动器组件是放置在传递处理室中的传递机器人的一部分。
31.一种制造末端受动器组件的方法,包含:
提供具有第一面的腕部;
连结基座部分至所述腕部的第一面;
连结末梢部分至所述基座部分上相对于所述腕部连结的位置,所述末梢部分和所述基座部分界定具有第一共振频率的第一末端受动器;以及
以与第一末端受动器具有间隔分离关系的方式连结第二末端受动器至所述腕部的第一面,所述第二末端受动器具有不同于第一共振频率的第二共振频率。
32.如权利要求31所述的方法,还包含:
在所述基座部分和末梢部分之间插入减振衬垫。
CNB2005100823866A 2004-06-25 2005-06-24 传递基板的机器人和方法、以及制造末端受动器组件的方法 Active CN100445048C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/876,439 2004-06-25
US10/876,439 US7073834B2 (en) 2004-06-25 2004-06-25 Multiple section end effector assembly

Publications (2)

Publication Number Publication Date
CN1721145A CN1721145A (zh) 2006-01-18
CN100445048C true CN100445048C (zh) 2008-12-24

Family

ID=35504875

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100823866A Active CN100445048C (zh) 2004-06-25 2005-06-24 传递基板的机器人和方法、以及制造末端受动器组件的方法

Country Status (5)

Country Link
US (1) US7073834B2 (zh)
JP (1) JP4357457B2 (zh)
KR (1) KR100802561B1 (zh)
CN (1) CN100445048C (zh)
TW (1) TWI278005B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103930243A (zh) * 2011-11-16 2014-07-16 日本电产三协株式会社 工业用机器人
TWI736607B (zh) * 2016-05-05 2021-08-21 美商應用材料股份有限公司 機械手臂次組件、端效器組件,及具有減少斷裂的方法

Families Citing this family (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101198179B1 (ko) * 2005-01-17 2012-11-16 삼성전자주식회사 핸들링 로봇의 정적 처짐 보정방법 및 장치
CN101310377B (zh) * 2005-11-17 2010-11-17 Oc欧瑞康巴尔斯公司 用于盘形的工件的输送装置
JPWO2008120294A1 (ja) * 2007-03-02 2010-07-15 株式会社ダイヘン 搬送装置
US20080247857A1 (en) * 2007-04-05 2008-10-09 Ichiro Yuasa End effector and robot for transporting substrate
KR101349460B1 (ko) * 2007-06-22 2014-01-09 엘지이노텍 주식회사 서셉터 이송 장치
JP5059573B2 (ja) * 2007-12-06 2012-10-24 東京エレクトロン株式会社 基板保持具、基板搬送装置および基板処理システム
US8146973B2 (en) * 2008-03-13 2012-04-03 Applied Materials, Inc. Tendon enhanced end effector
US8276959B2 (en) 2008-08-08 2012-10-02 Applied Materials, Inc. Magnetic pad for end-effectors
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8528762B2 (en) 2008-11-14 2013-09-10 Applied Materials, Inc. Electron beam welding of large vacuum chamber body having a high emissivity coating
CN102300681B (zh) * 2009-01-27 2014-06-04 吉坤日矿日石能源株式会社 Cfrp制搬运用部件及使用其的机械手
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN202072285U (zh) * 2011-05-24 2011-12-14 深圳市华星光电技术有限公司 面板传送装置及其面板支撑机构
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101332505B1 (ko) * 2011-11-17 2013-11-26 (주)케이엠 필름 이송용 로봇핸드의 주름방지장치
US8985935B2 (en) * 2012-02-17 2015-03-24 Novellus Systems, Inc. Mass damper for semiconductor wafer handling end effector
KR101385591B1 (ko) * 2012-05-03 2014-04-17 주식회사 에스에프에이 기판 이송용 로봇
US20140007731A1 (en) * 2012-07-06 2014-01-09 Persimmon Technologies Corporation High capacity robot arm
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140265394A1 (en) * 2013-03-13 2014-09-18 Varian Semiconductor Equipment Associates, Inc. Composite end effectors
US9061423B2 (en) * 2013-03-13 2015-06-23 Varian Semiconductor Equipment Associates, Inc. Wafer handling apparatus
CN105358959A (zh) * 2013-07-22 2016-02-24 应用材料公司 用于处理大面积基板的设备和方法
CN103434842B (zh) * 2013-09-04 2015-08-19 深圳市华星光电技术有限公司 机械手臂叉子以及机械手臂
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102177156B1 (ko) 2014-03-10 2020-11-10 삼성전자주식회사 로봇 및 그를 구비한 기판 처리 장치
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412638B2 (en) * 2014-03-27 2016-08-09 Varian Semiconductor Equipment Associates, Inc. End effector pads
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9862101B2 (en) * 2015-12-22 2018-01-09 Varian Semiconductor Equipment Associats, Inc. Self-damping end effector
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6742849B2 (ja) * 2016-07-29 2020-08-19 株式会社アルバック 基板搬送ロボット、真空処理装置
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
JP2019010690A (ja) * 2017-06-29 2019-01-24 日本電産サンキョー株式会社 産業用ロボットのハンドおよび産業用ロボット
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
CN206998968U (zh) * 2017-08-02 2018-02-13 京东方科技集团股份有限公司 机器人手臂及搬运机器人
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107564845B (zh) * 2017-08-24 2019-12-27 京东方科技集团股份有限公司 一种机械手
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN112368118B (zh) * 2018-06-15 2024-04-02 日铁综合工程株式会社 工件输送构件、工件输送装置以及热处理装置
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
JP7412208B2 (ja) * 2020-02-17 2024-01-12 東京エレクトロン株式会社 搬送装置、処理システム及び搬送方法
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6435798B1 (en) * 1999-04-09 2002-08-20 Asm Japan K.K. Semiconductor processing apparatus with substrate-supporting mechanism
US20030035711A1 (en) * 2001-07-14 2003-02-20 Ulysses Gilchrist Centering double side edge grip end effector with integrated mapping sensor
US6634686B2 (en) * 2001-10-03 2003-10-21 Applied Materials, Inc. End effector assembly
US20040013503A1 (en) * 2002-07-22 2004-01-22 Jaswant Sandhu Robotic hand with multi-wafer end effector

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03182540A (ja) 1989-12-13 1991-08-08 Mitsui Petrochem Ind Ltd フッ素系塗料
KR0152324B1 (ko) * 1994-12-06 1998-12-01 양승택 웨이퍼 측면파지 이송 반도체 제조장치
US6267423B1 (en) * 1995-12-08 2001-07-31 Applied Materials, Inc. End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
US5984391A (en) * 1997-02-03 1999-11-16 Novellus Systems, Inc. Microfeature wafer handling apparatus and methods
US6276731B1 (en) * 1997-07-15 2001-08-21 Kabushiki Kaisha Yaskawa Denki Wafer carrying fork
US6116848A (en) * 1997-11-26 2000-09-12 Brooks Automation, Inc. Apparatus and method for high-speed transfer and centering of wafer substrates
US6050739A (en) * 1997-12-01 2000-04-18 Micron Technology, Inc. Robot end effector for automated wet wafer processing
US6474712B1 (en) * 1999-05-15 2002-11-05 Applied Materials, Inc. Gripper for supporting substrate in a vertical orientation
US6260894B1 (en) * 1999-05-28 2001-07-17 Applied Materials, Inc. Assembly for wafer handling system
US6322116B1 (en) * 1999-07-23 2001-11-27 Asm America, Inc. Non-contact end effector
JP3720247B2 (ja) 2000-08-17 2005-11-24 沖電気工業株式会社 デバイス・シミュレーション装置
US20030012631A1 (en) * 2001-07-12 2003-01-16 Pencis Christopher H. High temperature substrate transfer robot
JP2003266359A (ja) * 2002-03-08 2003-09-24 Tatsumo Kk 制振機能を持った搬送装置
US7641247B2 (en) * 2002-12-17 2010-01-05 Applied Materials, Inc. End effector assembly for supporting a substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6435798B1 (en) * 1999-04-09 2002-08-20 Asm Japan K.K. Semiconductor processing apparatus with substrate-supporting mechanism
US20030035711A1 (en) * 2001-07-14 2003-02-20 Ulysses Gilchrist Centering double side edge grip end effector with integrated mapping sensor
US6634686B2 (en) * 2001-10-03 2003-10-21 Applied Materials, Inc. End effector assembly
US20040013503A1 (en) * 2002-07-22 2004-01-22 Jaswant Sandhu Robotic hand with multi-wafer end effector

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103930243A (zh) * 2011-11-16 2014-07-16 日本电产三协株式会社 工业用机器人
CN103930243B (zh) * 2011-11-16 2016-05-18 日本电产三协株式会社 工业用机器人
TWI736607B (zh) * 2016-05-05 2021-08-21 美商應用材料股份有限公司 機械手臂次組件、端效器組件,及具有減少斷裂的方法

Also Published As

Publication number Publication date
KR100802561B1 (ko) 2008-02-13
JP4357457B2 (ja) 2009-11-04
US7073834B2 (en) 2006-07-11
TW200601408A (en) 2006-01-01
TWI278005B (en) 2007-04-01
KR20060046530A (ko) 2006-05-17
US20050285419A1 (en) 2005-12-29
CN1721145A (zh) 2006-01-18
JP2006041496A (ja) 2006-02-09

Similar Documents

Publication Publication Date Title
CN100445048C (zh) 传递基板的机器人和方法、以及制造末端受动器组件的方法
US8276959B2 (en) Magnetic pad for end-effectors
KR100625915B1 (ko) 엔드 이펙터 어셈블리
CN102498558B (zh) 将基板放置在处理腔室中的设备和让处理腔室中的基板朝向中心的方法
CN100446211C (zh) 用来支撑基材的末端受动器组件
US6377437B1 (en) High temperature electrostatic chuck
CN1956145B (zh) 半导体处理室
CN1294617C (zh) 包括热分布板和边缘支撑的组合装置
US6371712B1 (en) Support frame for substrates
TWI570835B (zh) 用於基板處理室的兩片擋板盤組件
EP1107291B1 (en) Ceramic heating jig
US20060289447A1 (en) Heating chuck assembly
US5849228A (en) Segmented substrate for improved arc-jet diamond deposition
JP4999118B2 (ja) アモルファス炭素膜の成膜装置
TW201941379A (zh) 加熱基底及處理裝置
WO2004010480A1 (en) Apparatus and method for thermally isolating a heat chamber
JPH0853758A (ja) プレート装置を固定するための装置
US20030024680A1 (en) Substrate support and method of fabricating the same
CN111218672A (zh) Mocvd加热器
KR20200011345A (ko) 기판 가열 유닛 및 표면판
CN117316840A (zh) 碳化硅晶圆快速热退火用载具及其制造方法
KR101641880B1 (ko) 서셉터
CN115807262A (zh) 一种温度场自补偿的真空样品台加热装置
CN103201823A (zh) 用于连接腔室部件的附着材料
KR20000013022U (ko) 웨이퍼 서스셉터용 열팽창 보상판

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.