KR100802561B1 - 다수 부분형 엔드 이펙터 조립체 - Google Patents

다수 부분형 엔드 이펙터 조립체 Download PDF

Info

Publication number
KR100802561B1
KR100802561B1 KR1020050055138A KR20050055138A KR100802561B1 KR 100802561 B1 KR100802561 B1 KR 100802561B1 KR 1020050055138 A KR1020050055138 A KR 1020050055138A KR 20050055138 A KR20050055138 A KR 20050055138A KR 100802561 B1 KR100802561 B1 KR 100802561B1
Authority
KR
South Korea
Prior art keywords
base
substrate
end effector
tip
robot
Prior art date
Application number
KR1020050055138A
Other languages
English (en)
Other versions
KR20060046530A (ko
Inventor
타카유키 마츠모토
아키히로 호소카와
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060046530A publication Critical patent/KR20060046530A/ko
Application granted granted Critical
Publication of KR100802561B1 publication Critical patent/KR100802561B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S294/00Handling: hand and hoist-line implements
    • Y10S294/902Gripping element
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판 로봇용 엔드 이펙터 조립체의 실시예가 이곳에 제공된다. 한 실시예에서, 엔드 이펙터 조립체는 리스트(wrist)와, 리스트에 이격된 관계로 커플링된 제1 및 제2 엔드 이펙터를 포함한다. 제1 엔드 이펙터는 리스트에 커플링된 기부와 리스트의 반대쪽에서 기부에 커플링된 선단부를 포함한다. 기부와 선단부는 동일한 또는 상이한 재료로 제조된다. 제1 및 제2 엔드 이펙터는 진동을 최소화하기 위해 서로 상이한 공진 주파수를 갖는다. 또한, 낮은 복사율 코팅이 제1 및 제2 엔드 이펙터상에 구비된다. 낮은 복사율 코팅은 또한 코팅부와 그 아래의 엔드 이펙터 사이의 상이한 열 팽창율로 인한 코팅의 박리를 감소 또는 방지하기 위해서 다수의 응력 릴리프 홈을 갖는다.
이송 로봇, 엔드 이펙터 조립체,

Description

다수 부분형 엔드 이펙터 조립체{MULTIPLE SECTION END EFFECTOR ASSEMBLY}
도1은 클러스터 기구의 단순화된 개략도 이고,
도2는 도1의 클러스터 기구의 이송 챔버에 사용하기에 적합한 이송 로봇의 엔드 이펙터와 리스트 조립체의 한 실시예를 도시하는 도면이며,
도3은 다수-피스 엔드 이펙터의 조인트의 한 실시예의 도면이고,
도4는 엔드 이펙터를 이송 로봇의 리스트에 커플링하기 위한 브라켓의 한 실시예에 대한 도면이고,
도5는 낮은 복사율 코팅을 갖는 엔드 이펙터의 부분적인 등축도이며,
도6은 열 차폐부를 갖는 엔드 이펙터의 부분적인 등축도이다.
<도면의 주요 부호에 대한 간단한 설명>
100 : 클러스터 기구 102 : 프로세스 챔버
104 : 이송 챔버 106 : 이송 로봇
108 : 리스트 110 : 엔드 이펙터
202 : 기부 204 : 선단부
306 : 볼트 308 : 너트
본 발명의 실시예는 일반적으로 프로세싱 시스템에서 대형 기판을 핸들링하기 위한 엔드 이펙터 조립체에 관한 것이다.
능동 매트릭스, 또는 박판 트랜지스터(TFT) 디스플레이, 액정 디스플레이(LCD), 플라즈마 디스플레이 등과 같은 평판형 디스플레이는 컴퓨터 및 텔레비젼 모니터, 핸드 폰 디스플레이, 개인용 디지털 어시스턴츠(PDAs) 및 수많은 다른 장치와 같은 용도에 일반적으로 사용된다. 일반적으로, 평판형 디스플레이는 두 유리 판 및 그들 사이에 끼워진 액정 재료층을 포함한다. 유리판 중 적어도 하나는 동력원에 접속되고 그 유리 판상에 위치되는 하나의 전도성 필름을 포함한다. 동력원에서 전도성 필름으로 공급되는 동력은 결정 재료의 배향(orientation)을 변화시키고 패턴 디스플레이를 생성시킨다.
평판형 기술을 시장이 채택함으로써, 대형 디스플레이, 증대된 생산성 및 낮은 제조 비용에 대한 요구는, 장비 제조 업자로 하여금 평판형 디스플레이 제작자를 위하여 보다 큰 크기의 유리 기판을 수용하는 새로운 시스템을 개발하도록 하였다. 현재의 유리 기판 프로세싱 장비는 일반적으로 약 1평방 미터까지의 기판을 수용하도록 구성되어 있다. 보다 큰 크기의 기판 조차 수용하도록 구성된 프로세싱 장비를 가까운 장래에 볼 수 있을 것이다.
유리 기판 프로세싱은 일반적으로 기판상에 소자, 도체 및 절연체를 만들기 위해 기판에 대해 다수의 연속적인 프로세스를 실시함으로써 수행된다. 이들 프로세스의 각각은 일반적으로 제조 프로세스의 하나 또는 그 이상의 단계를 수행하도 록 구성된 하나의 프로세스 챔버에서 수행된다. 전체적인 일련의 프로세싱 단계를 효율적으로 완수하기 위해서, 다수의 프로세스 챔버가 그 프로세스 챔버들 사이에서 기판의 이송을 돕는 로봇을 수용하는 중앙 이송 챔버에 커플링된다. 이 구성을 갖는 프로세싱 플랫포옴이 일반적으로 클러스터 기구(cluster tool)로 알려져 있다. 유리 기판 프로세싱을 위한 그러한 클러스터 기구는 어플라이드 머티리얼스사의 자회사인 캘리포니아주, 산타 클라라 소재의 AKT 사로부터 이용할 수 있다.
유리 기판의 크기가 증가됨에 따라서, 이들 기판을 핸들링 및 프로세싱 하기 위한 제조 장비 또한 커져야만 한다. 전술한 이송 로봇과 같은 기판 핸들링 장비의 증가된 크기는 정확한 기판 이송을 유지하기 위해 극복해야만 할 많은 기술적인 문제점을 발생시키고 있다. 예를들면, 평판형 기판을 핸들링하기 위해 사용되는 하나의 이송 로봇은, 기판의 하부를 지지하는 리스트(wrist)로부터 지지된 일련의 캔틸레버(cantilever) 엔드 이펙터를 갖는다. 그러나, 큰 영역의 기판을 적절하게 지지하는데 요구되는 긴 엔드 이펙터에는 "처짐(droop)" 또는 늘어짐(sag)이 발생된다. 엔드 이펙터의 늘어짐은 보다 큰 범위의 로봇 이동(robotic motion) 및/또는 보다 큰 부품 간극(clearance)에 의해 해결되어야 하는데, 이 양자는 바람직하지 않게 높은 장치 비용을 초래한다.
엔드 이펙터는 세라믹 재료의 높은 영률(Young's modulus)로 인한 처짐을 최소화하기 위하여 싱글-피스(single piece; 단일체)의 세라믹으로 제조된다. 그러나, 세라믹이 경화될 때에 20-30 퍼센트 정도 수축이 있으므로, 필요한 노(furnace)가 최종 제품보다 20-30 퍼센트 커야한다. 그러한 성능의 노는 엔드 이 펙터 제조를 위해 쉽게 이용할 수 없다. 따라서, 노 크기의 제한과 그들 제조와 관련한 높은 비용 때문에 보다 큰 기판을 수용하는 대형 싱글-피스 엔드 이펙터를 만드는 것이 어렵다.
따라서 큰 기판을 수용하는 엔드 이펙터의 필요성이 있다.
이송 로봇용 엔드 이펙터 조립체의 실시예가 이곳에 제공된다. 한 실시예에서, 엔드 이펙터 조립체는 리스트와, 이격된 관계로 리스트에 커플링된 제1 및 제2 엔드 이펙터를 포함한다. 제1 엔드 이펙터는 리스트에 커플링된 기부와 리스트의 반대쪽에서 기부에 커플링된 선단부를 포함한다. 기부와 선단부는 동일한 또한 상이한 재료로 제조될 수 있다. 제1 및 제2 엔드 이펙터는 진동을 최소화하기 위해서 서로 상이한 공진 주파수를 가질 수 있다.
선택적으로, 낮은 복사율의 코팅이 제1 및 제2 엔드 이펙터상에 제공된다. 낮은 복사율 코팅은 또한 코팅부와 그 아래의 엔드 이펙터 사이의 상이한 열 팽창율로 인한 코팅의 박리(flaking)를 감소 또는 방지하기 위해서 다수의 응력 릴리프 홈을 갖는다.
앞에서 인용한 본 발명의 특징을 얻기 위해서, 첨부된 도면에 도시된 실시예를 참조하여, 본 발명의 상세하고 특별한 설명 및 간단한 요약으로 이해 될 것이다.
이해의 편의를 위해 도면에서 공통되는 동일한 부품을 나타내기 위해 가능한 한 동일한 참조 번호가 사용되었다.
도1은 본 발명의 엔드 이펙터 조립체를 구비한 적어도 하나의 로봇을 갖는 클러스터 기구(100)의 단순화된 도식적 다이아그램이다. 클러스터 기구(100)는 일반적으로 이송 챔버(104)와, 다수의 프로세스 챔버(102)와, 적어도 하나의 로드(load) 로크 챔버(112)를 포함한다. 프로세스 챔버(102)는 일반적으로 제조 프로세스의 하나 또는 그 이상의 단계를 수행하도록 구성된다. 예를들면, 프로세스 챔버(102)는 CVD 챔버, 에칭 챔버 또는 당업계에 일반적으로 알려진 어떤 다른 프로세스 챔버일 수 있다. 중앙 이송 챔버(104)는 일반적으로 기판(114, 점선으로 도시됨)을 로드 로크 챔버(112) 및 다양한 프로세스 챔버(102)안으로 그리고 밖으로 이송하도록 구성된 이송 로봇(106)을 포함한다. 기판 이송 로봇(106)은 일반적으로 리스트(108)에서 연장되는 다수의 엔드 이펙터(110)를 포함하는 하나의 엔드 이펙터 조립체(120)를 포함한다. 엔드 이펙터(110)는 로봇(106)에 의한 이송 중에 기판(114)을 지지하도록 구성된다.
비록 엔드 이펙터(110)를 갖는 이송 로봇(106)이 일반적인 기판에 사용하는데 적절하지만, 이곳에 기술된 이송 로봇은 특히 큰 면적의 기판, 즉, 1평방 미터까지 그리고 그것을 초과하는 면적을 갖는 경우에 특히 유용하다. 또한, 엔드 이펙터 조립체(120)가 이송 챔버(104)에 위치된 이송 로봇(106)에 커플링된 것으로 도시되었지만, 이송 로봇(106)은 전자 비임 테스트(EBT) 챔버와 같은 프로세스 챔버에 위치될 수도 있다. 한 예시적인 EBT 챔버가 2004년 2월 12일 출원된 미합중국 특허 출원 번호 제10/778,982 호에 기술되어 있다. 또한, 엔드 이펙터는 큰 면 적 기판의 효율적인 이송이 필요한 비-진공 환경에서의 실시를 포함하는 다른 로봇의 실시에 사용될 수 있다.
도2는 엔드 이펙터 조립체(120)의 한 실시예를 도시하고 있다. 도2에 도시된 실시예에서, 다수의 엔드 이펙터(110)가 리스트(108)에 의해 평행하게 나란히 유지되어 기판이 엔드 이펙터(110)에 의해 지지될 수 있다. 도2에서는 비록 4개의 엔드 이펙터가 리스트(108)에 커플링되는 것으로 도시되었지만, 다른 개체수의 엔드 이펙터(110), 예를 들어, 적어도 두 개가 효율적으로 사용될 수 있다.
엔드 이펙터(110)는 선단부(204)에 커플링된 기부(202)를 포함한다. 일반적으로, 리스트(108)와 이송 로봇(106)의 다른 부품들은 기판 이송동안 열 효과를 최소화하도록 선택된 재료로 제작될 수 있다. 리스트(108) 제작에 적절한 재료의 예는, 비록 이것에 제한되지 않지만, 알루미늄/실리콘 카바이드 복합체, 유리 세라믹(예를 들어, Neoceram N-0 및 Neoceram N-11등), 알루미늄/철 복합체, 카본, 카본 매트릭스 복합체, 주조 알루미늄 합금, 상업적으로 순수한 크롬, 그라파이트, 몰리브덴, 티타늄 합금, 몰리브덴 텅스텐 합금, 상업적으로 순수한 몰리브덴, Zerodur®, Invar®, 티타늄 Ti-6Al-4V 합금, 8090 알루미늄 MMC 및 금속 매트릭스 복합체를 포함한다. 금속 매트릭스 복합체는 일반적으로, 실리콘 카바이드등과 같은 30 퍼센트 까지의 충전제를 갖는 알루미늄 또는 다른 경금속(즉, 마그네슘, 티타늄, 알루미늄, 마그네슘 합금, 티타늄 합금 및 알루미늄 합금)을 포함한다.
엔드 이펙터(110)의 기부(202)와 선단부(204)는 일반적으로 고온 내구성을 갖는 재료로 제작된다. 재료의 밀도는 또한 중요한 요소인데, 엔드 이펙터가 그 자체의 중량 때문에 처지거나 또는 늘어질 수 있기 때문이다. 이러한 영향은 고온에서 실시될 때 더 커질 수 있다. 아래의 표1은 엔드 이펙터(110)를 제작하는데 사용될 수 있는 예시적인 다양한 재료를 보여주고 있다. 표는, 각 재료에 대해서, g/cm3 단위의 밀도 및 GPa 단위의 영률을 제공하고 있다. 또한, 표1의 마지막 열(column)은 영률을 밀도로 나눈 것으로, 밀도당 강성률(rigidity)을 보여주는 상대적인 매개 변수를 나타낸다. 이 계산 값이 높으면 높을 수록, 보다 적은 처짐 또는 늘어짐이 엔드 이펙터에 발생할 것이다. 반대로, 이 값이 낮으면 낮을 수록, 엔드 이펙터(110)의 처짐 또는 늘어짐은 더 커진다. 엔드 이펙터(110)의 기부(202)와 선단부(204)의 제작을 위한 재료 선택은 엔드 이펙터(110)의 처짐을 최소화하거나 시스템 설계의 허용오차에 근거하여 처짐의 허용가능한 양을 제공하는 재료 또는 재료들의 조합을 선택함으로써 이루어진다.
표1
재료 밀도(g/cm3) 영률(Gpa) 영률/밀도
세라믹(알루미나) 3.8 370 97
MMC(금속 매트릭스 복합체)
기초 재료: Si, 보강 재료: SiC 2.8 270 96
기초 재료: Al 보강 재료:Al203 3.4 210 62
Al Be 매트릭스(AlBe Met 162) 2.1 193 92
CFRP(탄소 섬유) 1.7 300 176
알루미늄(6061) 2.7 69 26
SST(304L) 8 200 25
표1에서 볼 수 있는바와 같이, 탄소 섬유 보강 폴리머(CFRP)는 밀도당 가 장 높은 강성을 갖고, 다음으로 알루미나, 실리콘 카바이드로 보강된 실리콘을 기초로한 금속 매트릭스 복합체(MMC), 이어서 약 38 퍼센트의 알루미늄과 약 62 퍼센트의 베릴륨을 포함하는 알루미늄 베릴륨 매트릭스가 이어진다. 그러한 Al-Be 합금의 한 예가 오하이오주, 클리브랜드에 위치한 Brush Wellman사로부터 이용할 수 있는 AlBeMet® 162이고, AlBeMet® 은 Brush Wellman 사에 의해 상표 등록되었다.
한 실시예에서, 엔드 이펙터(110)의 기부(202)와 선단부(204)는 작은 처짐(높은 영률)과 고온 내구성을 이유로 세라믹으로 제조될 수 있다. 예시적으로, 적절한 세라믹의 예는 알루미나, 실리콘 카바이드(SiC), 질화 실리콘(SiN)등을 포함한다. 엔드 이펙터(110)의 기부(202)와 선단부(204)는 일반적으로 엔드 이펙터(110)의 각 피스(piece)가 현존하는 노내에서 제조될 수 있도록 설계된다. 또한 적절한 크기의 노가 사용된다면 엔드 이펙터(110)를 한 피스로 제조할 수도 있을 것이다.
또한, 서로 상이한 재료가 두 피스 엔드 이펙터(110)의 기부(202)와 선단부(204)를 위해 사용될 수 있다. 예를들면, 한 실시예에서, 엔드 이펙터(110)의 기부(202)는 금속 또는 CFRP 로 제조될 수 있다. 금속 또는 CFRP를 사용함으로써, 기부(202)의 작은 크기로 인해 이송에 대한 큰 모멘텀을 엔드 이펙터(110)로 전달하지 않으면서도 그 기부(202)가 큰 응력에 견딜 수 있도록 한다. 한 실시예에서, 엔드 이펙터(110)의 선단부(204)는 세라믹으로 제조될 수 있다. 이것은, 다른 재료에 비교하여 세라믹의 고온 내구성을 유리하게 이용하면서도 처짐 또는 늘어짐을 최소화 시킨다. 표1에 기재된 재료에 추가하여, 엔드 이펙터(110)의 기부(202) 또는 선단부(204) 중의 하나 또는 양자가 리스트(108)에 적절한 재료로서 전술한 목록의 재료들 중 어느 것으로도 제조될 수 있다. 다른 재료 및/또는 재료들의 조합이 이곳에 설명된 사상에 따라서 이러한 용도에 동등하게 사용될 수 있을 것이다.
이송 로봇(106)의 리스트(108)에 커플링된 각각의 엔드 이펙터(110)는 이송 로봇(106)의 다른 엔드 이펙터(110)와 상이한 재료 및/또는 상이한 재료의 조합으로 제조될 수 있다. 예를들면, 두 개 또는 그 이상의 엔드 이펙터(110)의 조성은 이송 로봇(106)내의 각 엔드 이펙터(110)의 공진 주파수가 상이하도록 선택될 수 있으며, 그에 따라 이송 로봇(106) 및/또는 엔드 이펙터(110)의 진동을 최소화하도록 선택될 수 있다.
도3은 기부(202)를 엔드 이펙터의 선단부(204)에 체결시키는 방법의 한 실시예를 도시하고 있다. 래빗(316, rabbet)이 렛지(310; ledge)와 측벽(312)을 만들면서 기부(202)에(예를 들어, 기부의 제 1 단부에) 형성되어, 선단부(204)가 렛지(310)상에 그리고 측벽(312)을 따라서 배치된다. 열 팽창 편차, 진동, 조립 및 해체중의 취급 등으로 인한 엔드 이펙터(110)의 기부(202)와 선단부(204) 사이의 마모를 최소화하기 위하여 패드(304)가 선단부(204)와 측벽(312)사이에 위치된다. 선택적으로, (도시되지 않은) 패드가 기부(202)와 선단부(204) 사이의 마모를 또한 최소화하기 위하여 선단부(204)와 렛지(310)사이에 위치될 수 있다. 패드(304, 선택적인 패드는 도시되지 않음)는 고무, 알루미늄 필름, 또는 다른 적절한 재료로 제조될 수 있다. 패드(304)는 또한 기부(202)에서 엔드 이펙터(110)의 선단부(204)로 전달되는 진동을 감소시킨다. 챔퍼(314; chamfer)와 같은 엣지 릴리프가 조립동안의 손상을 최소화하기 위해 제공된다. 챔퍼(314)외에 반경모양 또는 다른 모양과 같은 다른 엣지 릴리프 형태가 사용될 수 있다. 선단부(204)는 다수의 패스너에 의해 기부(202)에 고정된다. 도3에 도시된 실시예에서, 5개의 볼트(306)가 선단부(204)와 기부(202)에 제공된 다수의 홀을 통과하고, 5개의 넛트(308)에 의해 고정된다. 패스너에 의해 인가된 로드를 엔드 이펙터(110)의 기부(202)와 선단부(204) 표면에 걸쳐 고르게 분포시키도록 한 쌍의 플레이트(302)가 엔드 이펙터(110)의 양 측부에 제공된다. 플레이트(302)는 스테인리스 스틸, 티타늄 합금, 또는 다른 적절한 재료로 제조된다.
도4는 엔드 이펙터(110)(예를 들어, 기부의 제 2 단부)를 이송 로봇 리스트(108)에 커플링시키기 위한 브래킷 조립체의 한 실시예를 도시하고 있다. 이 실시예에서, 브래킷(402)은 엔드 이펙터(110)를 리스트(108)에 커플링시키는데 사용된다. 브래킷(402)은 계단 형상의 채널(416)과 상부 쇼울더(418)를 구비한 몸체(414)를 포함한다. 엔드 이펙터(110)는 채널(416)내에 놓인다. 패드(404)가 엔드 이펙터와 브래킷(402)사이에 제공된다. 도4에 도시된 실시예에서, 다수의 홀(408)이 (도시되지 않은) 다수의 패스너에 의해서 엔드 이펙터(110)를 브래킷(402)에 고정시키기 위해서 제공된다. 로드 분배 플레이트(406)가 패스너에 의해 인가된 로드를 엔드 이펙터(110) 표면에 걸쳐 고르게 분포시키도록 제공된다.
패드(404)가 로드 분배 플레이트(406)와 엔드 이펙터(110)사이에 제공된다. 패드(404)는 프로세싱 조건을 견딜 수 있는 고무, 알루미늄 필름 또는 다른 박판 재료로 제조된다. 패드(404)는 또한 엔드 이펙터(110)의 진동을 최소화하기 위한 진동 댐퍼로서 작용한다. 브래킷(402)을 수용하기 위해서 슬롯(420)이 리스트(108)에 형성되고, 상기 슬롯은 브래킷(402)의 상부 쇼울더(418)를 지지하기 위하여 슬롯(420)의 한 측에 형성된 렛지(422)를 포함한다. 다수의 홀(410)이, 브래킷(402)을 리스트(108)의 렛지(422)에 체결시키기 위한 (도시되지 않은) 패스너를 수용하기 위해서 브래킷(402)의 상부 쇼울더(418)에 형성된다. 다수의 제2홀(412)이, 엔드 이펙터(110)와 리스트(108) 사이의 정렬을 제어 또는 조정하기 위한 (도시되지 않은) 다수의 나사 세트를 수용하기 위하여 브래킷(402)의 상부 쇼울더(418)에 형성된다.
선택적으로, 엔드 이펙터(110)의 온도 감소를 돕기 위해서 도5에 부분적인 단면이 도시된 바와 같이, 엔드 이펙터(110)가 낮은 표면 복사율을 갖는 재료로 코팅된다. 한 실시예에서, 코팅(504)이 예를들면, 엔드 이펙터(502) 상의 스퍼터링에 의해 제공된다. 다수의 홈(506)이 코팅(504)에 형성된다. 홈(506)들은 코팅(504)을 부분적으로 또는 완전히 통과하여 연장된다. 각 홈(506)은 일반적으로 엔드 이펙터(110)의 둘레로 연장된다. 홈(506)은 코팅(504)과 하부 엔드 이펙터(502) 사이의 열 팽창율의 차이로 인한 코팅(504)의 박리를 방지 또는 감소시킨다. 코팅(504)과 홈(506)이 엔드 이펙터(110)의 선택 표면상에 위치될 수 있다. 예를들면, 엔드 이펙터(110)의 상부 표면상에만, 또는 (도2에 도시된 바와 같이) 엔드 이펙터(110)의 선단부(204)상에만, 또는 엔드 이펙터(110)의 전체 표면 또는 그중 온도 감소가 필요한 부분에 코팅(504)이 인가된다.
코팅(504)은 예를 들어, 스퍼터링된 알루미늄, 또는 크롬 또는 니켈 도금등 일 수 있다. 일반적으로, 도금 또는 스퍼터링될 수 있는 낮은 복사율을 갖는 어떤 재료라도 코팅(504)에 사용될 수 있다. 또한, 코팅(504)은 코팅(504)의 복사율을 더욱 낮추기 위해 폴리싱되거나 또는 가공될 수 있다. 일반적으로, 약 0.04에서 약 0.11 범위의 복사율이 적절하게 낮은 값이다. 코팅(504)의 낮은 복사율은, 엔드 이펙터(110)가 노출될 수 있는 에너지의 대부분을 반사하고 따라서 그러한 에너지의 흡수 및 열(heat)로의 전환을 방지한다.
도6에 도시된 다른 실시예에서, 엔드 이펙터(110)는 열 차폐부(610)로 커버된다. 열 차폐부(610)는 엔드 이펙터(110)의 기부(202)와 선단부(204)에 각각 일치하는 기부(602)와 선단부(604)를 포함한다. 그 대신에, 열 차폐부(610)가 엔드 이펙터(110)의 전부 또는 일부를 덮는 싱글 피스로서 제작될 수 있다. 열 차폐부(610)는 예를들면, 나사결합, 볼트, 본딩, 아교결합등과 같은 것으로 엔드 이펙터(110)에 기계적으로 체결된다.
열 차폐부(610)는 일반적으로 프로세스 조건에 양립할 수 있는 낮은 복사율 재료를 포함한다. 한 실시예에서, 열 차폐부(610)는 알루미늄 시트 또는 포일이다. 선택적으로, 열 차폐부(610)는 열 차폐부(610)의 복사율을 더욱 낮추기 위해서 폴리싱 또는 가공된다. 일반적으로, 약 0.04 에서 약 0.11 범위의 복사율이 적절하게 낮은 값이다. 도6에는 열 차폐부(610)가 엔드 이펙터(110)의 상부 표면만을 덮는 것으로 도시 되었지만, 열 차폐부(610)는 엔드 이펙터(110)의 복사 열을 감소시킬 필요가 있는 엔드 이펙터(110)의 모든 표면 또는 다수 표면상에 제공되는 것도 고려된다.
따라서, 엔드 이펙터 조립체의 다양한 실시예가 제공된다. 한 실시예에서, 엔드 이펙터 조립체는 큰 표면적 기판의 핸들링에 적절한 다수의 멀티-피스 엔드 이펙터를 포함한다. 엔드 이펙터는 엔드 이펙터의 늘어짐 또는 처짐을 최소화하는 재료 또는 재료의 조합으로 제조된다. 진동 감쇄 패드가, 엔드 이펙터를 이송 로봇의 리스트에 결합시키는 조인트에, 그리고 엔드 이펙터의 기부와 선단부 사이의 조인트에 제공된다. 엔드 이펙터는 또한 엔드 이펙터의 가열을 감소시키기 위해서 낮은 복사율재료로 코팅된다. 엔드 이펙터로부터 코팅의 박리를 방지하기 위해서 다수의 응력 릴리프 홈이 코팅에 제공될 수 있다. 따라서, 전술된 일부 또는 모든 특징을 갖는 다수의 엔드 이펙터를 갖는 로봇이 프로세싱 시스템을 통하여 작은 간극과 작은 이동 범위로 기판을 이송시키는데 사용될 수 있고, 따라서 장비 비용을 낮출수 있다.
전술한 것이 본 발명의 양호한 실시예에 관한 것이지만, 본 발명의 다른 실시예가 본 발명의 범위를 이탈하지 않고, 다음의 청구범위에 의해 결정되는 범위내에서 고려될 수 있다.
삭제

Claims (36)

  1. 둘 이상의 엔드 이펙터(110)를 구비하는 대형 기판 이송용 기판 로봇으로서:
    상기 각각의 엔드 이펙터(110)는:
    제 1 공진 주파수를 가지는 하나 이상의 기부(202); 및
    상기 하나 이상의 기부(202)에 커플링되고 제 2 공진 주파수를 가지는 하나 이상의 선단부(204)를 포함하며,
    상기 기부(202) 및 선단부(204)는 실질적으로 편평한 종방향 표면을 형성하고, 상기 제 1 및 제 2 공진 주파수들이 서로 상이한 기판 로봇.
  2. 제1항에 있어서, 상기 기부(202)와 선단부(204)가 서로 상이한 재료로 제조되는 기판 로봇.
  3. 제2항에 있어서, 상기 기부(202)가 금속, 금속 매트릭스 복합체, 금속 합금 또는 CFRP로 제조되는 기판 로봇.
  4. 제2항에 있어서, 상기 선단부(204)가 세라믹으로 제조되는 기판 로봇.
  5. 제1항에 있어서, 상기 기부(202)와 선단부(204)가 동일한 재료로 제조되는 기판 로봇.
  6. 제5항에 있어서, 상기 기부(202)와 선단부(204)가 세라믹으로 제조되는 기판 로봇.
  7. 제1항에 있어서, 상기 기부(202)와 선단부(204)상에 형성된 낮은 복사율 코팅을 더 포함하는 기판 로봇..
  8. 제7항에 있어서, 상기 코팅이 상기 기부(202) 및 선단부(204)상에 스퍼터링 또는 도금되는 기판 로봇.
  9. 제7항에 있어서, 상기 코팅이 알루미늄, 크롬 또는 니켈로 형성되는 기판 로봇.
  10. 제7항에 있어서, 상기 코팅내에 형성된 다수의 응력 릴리프 홈을 더 포함하는 기판 로봇.
  11. 제1항에 있어서, 상기 기부(202)와 선단부(204) 사이에 끼워진 패드(304)를 더 포함하는 기판 로봇.
  12. 제11항에 있어서, 상기 패드(304)가 고무 또는 알루미늄 필름으로 제조되는 기판 로봇.
  13. 제1항에 있어서, 상기 기부(202)와 선단부(204) 사이에 커플링된 다수의 열 차폐부(610)를 더 포함하는 기판 로봇.
  14. 제13항에 있어서, 상기 다수의 열 차폐부(610)의 각각은 약 0.04 내지 약 0.11의 복사율을 가지는 기판 로봇.
  15. 둘 이상의 엔드 이펙터(110)를 구비하는 대형 기판 이송용 기판 로봇으로서:
    상기 각각의 엔드 이펙터(110)는:
    제 1 단부내에 렛지를 가지는 기부(202);
    선단부(204); 및
    상기 선단부(204)를 상기 기부(202)의 제 1 단부에 커플링하는 체결 수단을 포함하며,
    상기 선단부(204) 및 기부(202)는 서로 상이한 공진 주파수를 가지는 세라믹 재료로 제조되고, 상기 기부(202) 및 상기 선단부(204)의 상부 표면은 실질적으로 편평한 표면을 형성하는 기판 로봇.
  16. 청구항 16은(는) 설정등록료 납부시 포기되었습니다.
    제15항에 있어서, 상기 체결 수단은 하나 이상의 볼트인 기판 로봇.
  17. 제15항에 있어서, 상기 기부(202)와 선단부(204) 사이에 끼워진 패드(304)를 더 포함하는 기판 로봇.
  18. 제17항에 있어서, 상기 패드(304)가 금속 또는 고무로 제조되는 기판 로봇.
  19. 제15항에 있어서, 상기 기부(202)는 상기 기판 로봇의 리스트(108)에 커플링되는 제 2 단부를 구비하는 기판 로봇.
  20. 제15항에 있어서, 상기 기부(202)와 선단부(204) 사이에 커플링된 다수의 열 차폐부(610)를 더 포함하는 기판 로봇.
  21. 제20항에 있어서, 상기 다수의 열 차폐부(610)의 각각은 약 0.04 내지 약 0.11의 복사율을 가지는 기판 로봇.
  22. 청구항 22은(는) 설정등록료 납부시 포기되었습니다.
    둘 이상의 엔드 이펙터(110)를 구비하는 대형 기판 이송용 기판 로봇으로서:
    상기 각각의 엔드 이펙터(110)는:
    제 1 단부내에 렛지를 가지는 기부(202);
    선단부(204); 및
    상기 선단부(204)를 상기 기부(202)의 제 1 단부에 커플링하는 체결 수단을 포함하며,
    상기 선단부(204) 및 기부(202)는 서로 상이한 공진 주파수를 가지는 세라믹 재료로 제조되고, 상기 기부(202) 및 상기 선단부(204)의 상부 표면은 상기 상부 표면에 커플링되어 상기 기부(202) 및 상기 선단부(204)의 상부 표면으로부터 이격된 기판 수용 표면을 형성하는 다수의 열 차폐부(610)를 구비하는 기판 로봇.
  23. 청구항 23은(는) 설정등록료 납부시 포기되었습니다.
    제22항에 있어서, 상기 다수의 열 차폐부(610)의 각각은 나사, 볼트, 용접, 접합, 아교, 또는 이들의 조합에 의해 상기 기부(202) 및 선단부(204)에 체결되는 기판 로봇.
  24. 청구항 24은(는) 설정등록료 납부시 포기되었습니다.
    제22항에 있어서, 상기 다수의 열 차폐부(610)의 각각은 약 0.04 내지 약 0.11의 복사율을 가지는 기판 로봇.
  25. 청구항 25은(는) 설정등록료 납부시 포기되었습니다.
    제22항에 있어서, 상기 체결 수단은 하나 이상의 볼트인 기판 로봇.
  26. 청구항 26은(는) 설정등록료 납부시 포기되었습니다.
    제22항에 있어서, 상기 기부(202)와 선단부(204) 사이에 끼워진 패드(304)를 더 포함하는 기판 로봇.
  27. 청구항 27은(는) 설정등록료 납부시 포기되었습니다.
    제26항에 있어서, 상기 패드(304)가 금속 또는 고무로 제조되는 기판 로봇.
  28. 청구항 28은(는) 설정등록료 납부시 포기되었습니다.
    제22항에 있어서, 상기 기부(202)는 상기 기판 로봇의 리스트(108)에 커플링되는 제 2 단부를 구비하는 기판 로봇.
  29. 청구항 29은(는) 설정등록료 납부시 포기되었습니다.
    기판 이송 방법으로서:.
    리스트, 상기 리스트 제1 측부에 커플링된 기부, 상기 리스트의 반대쪽에서 상기 기부에 커플링된 선단부로서 상기 기부와 함께 제1 엔드 이펙터를 형성하는 선단부, 및 상기 제1 엔드 이펙터와 이격된 관계로 상기 리스트의 제1 측부에 커플링되고 상기 제 1 엔드 이펙터와 함께 기판 수용 표면을 형성하는 제2 엔드 이펙터를 포함하는 엔드 이펙트 조립체상에 기판을 지지하는 단계와;
    상기 기판을 상기 기판 수용 표면으로부터 기판 지지부로 이송하는 단계를 포함하며,
    상기 제 1 엔드 이펙터와 상기 제 2 엔드 이펙터 서로 상이한 공진 주파수를 가지는 기판 이송 방법.
  30. 청구항 30은(는) 설정등록료 납부시 포기되었습니다.
    제29항에 있어서, 상기 엔드 이펙터 조립체가 이송 챔버내에 위치된 기판 이송 로봇의 일부인 기판 이송 방법.
  31. 청구항 31은(는) 설정등록료 납부시 포기되었습니다.
    엔드 이펙터 조립체 제조 방법으로서:
    제1 측부를 갖는 리스트를 제공하는 단계와;
    상기 리스트의 제1측부에 기부를 커플링시키는 단계와;
    제1 공진 주파수를 갖는 제1 엔드 이펙터를 상기 기부와 함께 형성하는 선단부로서, 상기 선단부를 리스트의 반대쪽에서 상기 기부에 커플링시키는 단계와; 그리고
    상기 제1 공진 주파수와 상이한 제2 공진 주파수를 갖는 제2 엔드 이펙터를 상기 제1 엔드 이펙터와 이격된 관계로 상기 리스트의 제1 측부에 커플링시키는 단계를 포함하는 엔드 이펙터 제조 방법.
  32. 청구항 32은(는) 설정등록료 납부시 포기되었습니다.
    제31항에 있어서, 상기 기부와 선단부 사이에 진동 감쇄 패드를 삽입하는 단계를 더 포함하는 엔드 이펙터 제조 방법.
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
KR1020050055138A 2004-06-25 2005-06-24 다수 부분형 엔드 이펙터 조립체 KR100802561B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/876,439 2004-06-25
US10/876,439 US7073834B2 (en) 2004-06-25 2004-06-25 Multiple section end effector assembly

Publications (2)

Publication Number Publication Date
KR20060046530A KR20060046530A (ko) 2006-05-17
KR100802561B1 true KR100802561B1 (ko) 2008-02-13

Family

ID=35504875

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050055138A KR100802561B1 (ko) 2004-06-25 2005-06-24 다수 부분형 엔드 이펙터 조립체

Country Status (5)

Country Link
US (1) US7073834B2 (ko)
JP (1) JP4357457B2 (ko)
KR (1) KR100802561B1 (ko)
CN (1) CN100445048C (ko)
TW (1) TWI278005B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130095229A (ko) * 2012-02-17 2013-08-27 노벨러스 시스템즈, 인코포레이티드 반도체 웨이퍼 핸들링 엔드 이펙터를 위한 매쓰 댐퍼
KR101385591B1 (ko) * 2012-05-03 2014-04-17 주식회사 에스에프에이 기판 이송용 로봇

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101198179B1 (ko) * 2005-01-17 2012-11-16 삼성전자주식회사 핸들링 로봇의 정적 처짐 보정방법 및 장치
KR101341270B1 (ko) * 2005-11-17 2013-12-12 오씨 외를리콘 발처스 악티엔게젤샤프트 디스크형 공작물을 위한 이송 장치
CN101627467B (zh) * 2007-03-02 2011-04-27 株式会社大亨 搬送装置
US20080247857A1 (en) * 2007-04-05 2008-10-09 Ichiro Yuasa End effector and robot for transporting substrate
KR101349460B1 (ko) * 2007-06-22 2014-01-09 엘지이노텍 주식회사 서셉터 이송 장치
JP5059573B2 (ja) * 2007-12-06 2012-10-24 東京エレクトロン株式会社 基板保持具、基板搬送装置および基板処理システム
US8146973B2 (en) * 2008-03-13 2012-04-03 Applied Materials, Inc. Tendon enhanced end effector
US8276959B2 (en) 2008-08-08 2012-10-02 Applied Materials, Inc. Magnetic pad for end-effectors
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8528762B2 (en) * 2008-11-14 2013-09-10 Applied Materials, Inc. Electron beam welding of large vacuum chamber body having a high emissivity coating
KR101307627B1 (ko) * 2009-01-27 2013-09-12 제이엑스 닛코닛세키에너지주식회사 Cfrp 제 반송용 부재 및 그것을 사용한 로봇 핸드
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN202072285U (zh) * 2011-05-24 2011-12-14 深圳市华星光电技术有限公司 面板传送装置及其面板支撑机构
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5959221B2 (ja) * 2011-11-16 2016-08-02 日本電産サンキョー株式会社 産業用ロボット
KR101332505B1 (ko) * 2011-11-17 2013-11-26 (주)케이엠 필름 이송용 로봇핸드의 주름방지장치
US20140007731A1 (en) * 2012-07-06 2014-01-09 Persimmon Technologies Corporation High capacity robot arm
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140265394A1 (en) * 2013-03-13 2014-09-18 Varian Semiconductor Equipment Associates, Inc. Composite end effectors
US9061423B2 (en) * 2013-03-13 2015-06-23 Varian Semiconductor Equipment Associates, Inc. Wafer handling apparatus
CN105358959A (zh) * 2013-07-22 2016-02-24 应用材料公司 用于处理大面积基板的设备和方法
CN103434842B (zh) * 2013-09-04 2015-08-19 深圳市华星光电技术有限公司 机械手臂叉子以及机械手臂
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102177156B1 (ko) * 2014-03-10 2020-11-10 삼성전자주식회사 로봇 및 그를 구비한 기판 처리 장치
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412638B2 (en) * 2014-03-27 2016-08-09 Varian Semiconductor Equipment Associates, Inc. End effector pads
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9862101B2 (en) * 2015-12-22 2018-01-09 Varian Semiconductor Equipment Associats, Inc. Self-damping end effector
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10090188B2 (en) * 2016-05-05 2018-10-02 Applied Materials, Inc. Robot subassemblies, end effector assemblies, and methods with reduced cracking
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6742849B2 (ja) * 2016-07-29 2020-08-19 株式会社アルバック 基板搬送ロボット、真空処理装置
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
JP2019010690A (ja) * 2017-06-29 2019-01-24 日本電産サンキョー株式会社 産業用ロボットのハンドおよび産業用ロボット
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN206998968U (zh) * 2017-08-02 2018-02-13 京东方科技集团股份有限公司 机器人手臂及搬运机器人
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107564845B (zh) * 2017-08-24 2019-12-27 京东方科技集团股份有限公司 一种机械手
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP6826237B2 (ja) * 2018-06-15 2021-02-03 日鉄テックスエンジ株式会社 ワーク搬送部材、ワーク搬送装置、および、熱処理装置
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP7412208B2 (ja) * 2020-02-17 2024-01-12 東京エレクトロン株式会社 搬送装置、処理システム及び搬送方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03182540A (ja) 1989-12-13 1991-08-08 Mitsui Petrochem Ind Ltd フッ素系塗料
JP2002064198A (ja) 2000-08-17 2002-02-28 Semiconductor Leading Edge Technologies Inc デバイス・シミュレーション装置、方法および記録媒体
JP2003266359A (ja) * 2002-03-08 2003-09-24 Tatsumo Kk 制振機能を持った搬送装置
KR100625915B1 (ko) 2001-10-03 2006-09-20 어플라이드 머티어리얼스, 인코포레이티드 엔드 이펙터 어셈블리

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0152324B1 (ko) * 1994-12-06 1998-12-01 양승택 웨이퍼 측면파지 이송 반도체 제조장치
US6267423B1 (en) * 1995-12-08 2001-07-31 Applied Materials, Inc. End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
US5984391A (en) * 1997-02-03 1999-11-16 Novellus Systems, Inc. Microfeature wafer handling apparatus and methods
US6276731B1 (en) * 1997-07-15 2001-08-21 Kabushiki Kaisha Yaskawa Denki Wafer carrying fork
US6116848A (en) * 1997-11-26 2000-09-12 Brooks Automation, Inc. Apparatus and method for high-speed transfer and centering of wafer substrates
US6050739A (en) * 1997-12-01 2000-04-18 Micron Technology, Inc. Robot end effector for automated wet wafer processing
JP3398936B2 (ja) * 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 半導体処理装置
US6474712B1 (en) * 1999-05-15 2002-11-05 Applied Materials, Inc. Gripper for supporting substrate in a vertical orientation
US6260894B1 (en) * 1999-05-28 2001-07-17 Applied Materials, Inc. Assembly for wafer handling system
US6322116B1 (en) * 1999-07-23 2001-11-27 Asm America, Inc. Non-contact end effector
US20030012631A1 (en) 2001-07-12 2003-01-16 Pencis Christopher H. High temperature substrate transfer robot
US20030035711A1 (en) * 2001-07-14 2003-02-20 Ulysses Gilchrist Centering double side edge grip end effector with integrated mapping sensor
US20040013503A1 (en) * 2002-07-22 2004-01-22 Jaswant Sandhu Robotic hand with multi-wafer end effector
US7641247B2 (en) * 2002-12-17 2010-01-05 Applied Materials, Inc. End effector assembly for supporting a substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03182540A (ja) 1989-12-13 1991-08-08 Mitsui Petrochem Ind Ltd フッ素系塗料
JP2002064198A (ja) 2000-08-17 2002-02-28 Semiconductor Leading Edge Technologies Inc デバイス・シミュレーション装置、方法および記録媒体
KR100625915B1 (ko) 2001-10-03 2006-09-20 어플라이드 머티어리얼스, 인코포레이티드 엔드 이펙터 어셈블리
JP2003266359A (ja) * 2002-03-08 2003-09-24 Tatsumo Kk 制振機能を持った搬送装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130095229A (ko) * 2012-02-17 2013-08-27 노벨러스 시스템즈, 인코포레이티드 반도체 웨이퍼 핸들링 엔드 이펙터를 위한 매쓰 댐퍼
KR102124219B1 (ko) * 2012-02-17 2020-06-18 노벨러스 시스템즈, 인코포레이티드 반도체 웨이퍼 핸들링 엔드 이펙터를 위한 매쓰 댐퍼
KR101385591B1 (ko) * 2012-05-03 2014-04-17 주식회사 에스에프에이 기판 이송용 로봇

Also Published As

Publication number Publication date
US7073834B2 (en) 2006-07-11
TWI278005B (en) 2007-04-01
JP2006041496A (ja) 2006-02-09
US20050285419A1 (en) 2005-12-29
CN100445048C (zh) 2008-12-24
JP4357457B2 (ja) 2009-11-04
TW200601408A (en) 2006-01-01
CN1721145A (zh) 2006-01-18
KR20060046530A (ko) 2006-05-17

Similar Documents

Publication Publication Date Title
KR100802561B1 (ko) 다수 부분형 엔드 이펙터 조립체
US8276959B2 (en) Magnetic pad for end-effectors
EP1358363B1 (en) Diamond coatings on reactor wall and method of manufacturing thereof
JP4451596B2 (ja) 半導体処理装置の炭窒化物でコーティングされた要素及びその製造方法
US6773751B2 (en) Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US7270713B2 (en) Tunable gas distribution plate assembly
US6790242B2 (en) Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
KR20080031096A (ko) 조립체 및 히터 조립체
US6535371B1 (en) Layered ceramic/metallic assembly, and an electrostatic chuck using such an assembly
WO2020018682A1 (en) Mask frame and mask assembly
CN100476019C (zh) 物理气相沉积的升降机构
KR20040007192A (ko) 액정기판 가열용 탄소제 지그

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20130130

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190207

Year of fee payment: 12