WO2013005726A1 - プライマー組成物、該組成物から成るプライマー層を含む構造体、及び該構造体の製造方法 - Google Patents

プライマー組成物、該組成物から成るプライマー層を含む構造体、及び該構造体の製造方法 Download PDF

Info

Publication number
WO2013005726A1
WO2013005726A1 PCT/JP2012/066925 JP2012066925W WO2013005726A1 WO 2013005726 A1 WO2013005726 A1 WO 2013005726A1 JP 2012066925 W JP2012066925 W JP 2012066925W WO 2013005726 A1 WO2013005726 A1 WO 2013005726A1
Authority
WO
WIPO (PCT)
Prior art keywords
amorphous carbon
carbon film
mesh
coupling agent
printing
Prior art date
Application number
PCT/JP2012/066925
Other languages
English (en)
French (fr)
Inventor
邦彦 澁澤
佐藤 剛
Original Assignee
太陽化学工業株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 太陽化学工業株式会社 filed Critical 太陽化学工業株式会社
Priority to CN201280031667.7A priority Critical patent/CN103648789B/zh
Priority to KR1020137029848A priority patent/KR101553934B1/ko
Priority to JP2013523017A priority patent/JP5802752B2/ja
Priority to US14/129,846 priority patent/US20140130687A1/en
Publication of WO2013005726A1 publication Critical patent/WO2013005726A1/ja

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41FPRINTING MACHINES OR PRESSES
    • B41F15/00Screen printers
    • B41F15/14Details
    • B41F15/34Screens, Frames; Holders therefor
    • B41F15/36Screens, Frames; Holders therefor flat
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41NPRINTING PLATES OR FOILS; MATERIALS FOR SURFACES USED IN PRINTING MACHINES FOR PRINTING, INKING, DAMPING, OR THE LIKE; PREPARING SUCH SURFACES FOR USE AND CONSERVING THEM
    • B41N1/00Printing plates or foils; Materials therefor
    • B41N1/24Stencils; Stencil materials; Carriers therefor
    • B41N1/247Meshes, gauzes, woven or similar screen materials; Preparation thereof, e.g. by plasma treatment
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D11/00Inks
    • C09D11/02Printing inks
    • C09D11/023Emulsion inks
    • C09D11/0235Duplicating inks, e.g. for stencil printing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/002Priming paints
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/16Antifouling paints; Underwater paints
    • C09D5/1693Antifouling paints; Underwater paints as part of a multilayer system
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T442/00Fabric [woven, knitted, or nonwoven textile or cloth, etc.]
    • Y10T442/10Scrim [e.g., open net or mesh, gauze, loose or open weave or knit, etc.]

Definitions

  • the present invention relates to a primer composition, and particularly to a primer composition used as a primer layer for a fluorine-containing silane coupling agent.
  • the present invention also relates to a structure including a primer layer made of the primer composition and a method for producing the structure.
  • a surface modification treatment that imparts oil repellency to a substrate surface by coating the surface of the substrate with a silane coupling agent containing fluorine is known.
  • a fluorine coating layer made of a fluorine-containing silane coupling agent on the surface of a screen printing mesh, thereby imparting oil repellency to the mesh and improving the releasability from the printing paste.
  • Fluorine-containing silane coupling agents are often formed via a primer layer rather than directly on a mesh body made of a metal such as stainless steel in order to ensure fixability to the mesh.
  • a method is known in which a liquid primer is applied to a mesh and a fluorine-containing silane coupling agent is applied on the liquid primer (Patent Documents 1 and 2).
  • a technology for preventing electronic parts to be transported from sticking to a porous sheet by coating a porous sheet provided at the suction port of the suction collet with a fluorine-containing silane coupling agent in an electronic component transport apparatus is known. It has been.
  • a liquid primer is often used.
  • an amorphous carbon film made of an amorphous carbon material such as diamond-like carbon (DLC) is formed as a primer layer on the mesh surface by a dry process such as CVD, and the DLC film It is conceivable to form a fluorine coating layer on the substrate. However, the fluorine coating layer is not sufficiently fixed to the amorphous carbon film.
  • a primer composition that forms a primer layer made of an amorphous carbon material and firmly bonded to a fluorine-containing silane coupling agent. Further, according to various embodiments of the present invention, a structure including a primer layer made of the primer composition and a method for manufacturing the structure are provided.
  • an amorphous carbon film containing at least one of the group consisting of silicon (Si), oxygen (O), and nitrogen (N) has a fluorine-containing silane coupling agent and good fixability. Found to have.
  • the primer composition according to an embodiment of the present invention is made of an amorphous carbon material containing at least one element of silicon, oxygen, or nitrogen.
  • a structure according to an embodiment of the present invention includes a base material, and an amorphous carbon film layer that is formed directly or indirectly on the base material and contains at least one element of silicon, oxygen, or nitrogen.
  • the amorphous carbon film layer may be formed directly on the substrate or indirectly through the intermediate layer.
  • the structure manufacturing method includes a step of preparing a base material, and an amorphous carbon film layer containing at least one element of silicon, oxygen, or nitrogen on the base material. Forming.
  • a primer composition is provided that is formed of an amorphous carbon material and forms a primer layer that is firmly bonded to a fluorine-containing silane coupling agent. Further, according to various embodiments of the present invention, a structure including a primer layer made of the primer composition and a method for producing the structure are provided.
  • the top view which represents typically the whole structure of a screen plate provided with the mesh which concerns on one Embodiment of this invention.
  • Sectional drawing which represents typically the screen plate provided with the mesh which concerns on one Embodiment of this invention.
  • the graph which shows the measurement result of the contact angle with Examples 1-7 and the comparative example 1 with the mineral spirit.
  • the graph which shows the measurement result of the contact angle with water of Examples 1-9 and Comparative Example 1
  • the graph which shows the result of having measured the contact angle with the mineral spirit in the several position of the surface of the comparative example 1
  • the graph which shows the result of having measured the contact angle with the mineral spirit in the several position of the surface of Example 7 Photograph of sample surface of Comparative Example 2 Photograph of sample surface of Comparative Example 3 Photograph of sample surface of Example 10 Photographs of sample surface before and after stretching in Comparative Example 4 and Example 12
  • the primer composition according to an embodiment of the present invention is made of an amorphous carbon material containing at least one element of silicon, oxygen, or nitrogen.
  • This primer composition is used as a primer layer of various structures.
  • the primer composition according to one embodiment of the present invention is used as a primer layer when a fluorine-containing silane coupling agent is formed on a screen printing mesh.
  • FIG. 1 is a plan view schematically showing the overall configuration of the screen plate
  • FIG. 2 is a cross-sectional view schematically showing the screen plate according to an embodiment of the present invention.
  • a primer layer made of the primer composition according to an embodiment of the present invention is formed on the screen plate. 1 and 2 schematically show the configuration of a screen plate according to an embodiment of the present invention, and it should be noted that the dimensions are not necessarily shown accurately.
  • the screen plate 10 has a mesh 12 made of resin such as polyester, stainless steel (SUS304) or the like stretched on a frame 12 made of iron casting, stainless steel, aluminum alloy or the like.
  • the emulsion 14 is partially coated.
  • the mesh 16 is created by weaving yarns of various materials and wire diameters.
  • the surface roughness, the cross-sectional shape, and the folding method of the yarns constituting the mesh 16 can be appropriately changed according to the application.
  • the cross-sectional shape includes, for example, a round shape, an elliptical shape, a quadrangular shape, a polygonal shape, an indefinite shape, and a star shape.
  • Examples of the folding method include plain weave, twill fold, and three-dimensional shape fold.
  • yarn which comprises the mesh 16 is metals, such as stainless steel, steel, copper, titanium, or tungsten, or these alloys, for example.
  • the material of the yarn constituting the mesh 16 includes chemical fibers such as polypropylene, polyester, polyethylene, nylon, and vinyl, blended fibers such as rayon, inorganic materials such as carbon fiber and glass fiber, wool, silk, cotton, and cellulose. Natural fiber may be used.
  • the mesh 16 may be a mesh produced by braiding stainless steel having a wire diameter of 15 ⁇ m, a mesh opening width of 24.7 ⁇ m, and a mesh count of 640 (640 meshes exist in 1 inch width).
  • a portion where the fiber yarns cross each other can be fixed by a deposit of plating, an adhesive, a vapor deposition film, a sputtered film, or the like.
  • This plating deposit is formed, for example, by electrolytic Ni plating.
  • the intersection of mesh yarns can be crushed to reduce the thickness of the mesh 16 to a thickness corresponding to the thickness of one mesh yarn.
  • Specifications such as the thickness of the mesh 16, the number of counts, the uniformity of the size of the mesh opening, the position of the mesh opening, the taper angle of the mesh opening, and the shape of the opening are not limited to those described here.
  • the printing method, printing pattern, printing target, required durability, and the like can be changed as appropriate.
  • the edge part of the opening part of the mesh 16 is appropriately chamfered.
  • the mesh 16 may be a combination of a plurality of meshes. For example, the same type of meshes or different types of meshes can be combined.
  • the mesh 16 is usually formed by weaving a thread-like material, but can be formed by other methods.
  • the mesh 16 can be formed by an electroforming method, a printing method, and a photolithography method.
  • the mesh 16 is formed by forming a through-hole on the base material by various methods such as laser processing, etching processing, drill processing, punching processing, and electric discharge processing.
  • the through hole formed at this time corresponds to the opening of the mesh 16.
  • the materials and creation methods described above can be combined as appropriate.
  • a diazo photosensitive emulsion can be used as the emulsion 14.
  • a printing pattern opening 18 corresponding to the printing pattern is formed by a photolithography method.
  • the print pattern opening 18 is formed so as to penetrate the emulsion 14 in the thickness direction.
  • a part of the emulsion 14 is cured by exposing the emulsion 14 coated on the mesh 16 with a mask pattern of a photomask, and then only a portion of the emulsion 14 that has been cured by exposure is cured. Is left on the mesh 16 and the other portions are removed to form the printed pattern opening 18.
  • the printed pattern opening 18 is defined by the inner wall 22 of the emulsion 14. Further, instead of directly attaching the mesh 16 on which the printing pattern is formed to the frame body 12, a support screen (not shown) different from the mesh 16 is attached to the frame body 12, and the mesh 16 is attached to the support screen. Also good. In one embodiment, the portion of the support screen that overlaps the mesh 16 is cut with a cutter knife or the like.
  • the printed pattern opening 18 can be formed by a method other than the photolithography method. For example, when the reproducibility of the print pattern is not strictly required, a material capable of forming a print pattern opening such as clay or plaster on the screen mesh can be arbitrarily used.
  • a plate-like or foil-like print pattern holding portion in which a print pattern opening 18 is formed may be provided.
  • This printed pattern holding part can be formed from various materials, such as a metal, an alloy, or resin, for example.
  • the metal used as the material for the print pattern holding unit include steel, copper, Ni, gold, silver, zinc, aluminum, and titanium.
  • alloys used as the material for the printed pattern holding portion include aluminum alloys, titanium alloys, stainless steel alloys, binary alloys such as chromium-molybdenum steel alloys, Ni-Co alloys or Ni-W alloys, and multi-element alloys. included.
  • the resin used as the material for the print pattern holding unit examples include polypropylene, polyester, polyethylene, nylon, acrylic, PET, PEN, polyimide, polyimide amide, glass epoxy, and FRP.
  • synthetic rubber such as cellulose, glass, ceramics, and nitrile, or natural rubber can be used as a material for the printed pattern holding portion. These materials are appropriately used in combination with other materials.
  • a plate-like or foil-like printed pattern holding portion formed from these materials is attached to the mesh 16.
  • the print pattern of the print pattern holding unit may be formed before being attached to the mesh 16 or may be formed after being attached.
  • an amorphous carbon film made of an amorphous carbon material according to an embodiment of the present invention is formed on the surface of each thread of the mesh 16. Since the amorphous carbon film is a very thin film, the illustration is omitted.
  • the amorphous carbon material according to an embodiment of the present invention includes, for example, carbon (C), hydrogen (H), and silicon (Si) as main components. Therefore, the amorphous carbon film made of the amorphous carbon material is an aC: H: Si film mainly containing C, H, and Si.
  • the Si content in the amorphous carbon material is, for example, 0.1 to 50 atomic%, and preferably 10 to 40 atomic%.
  • the amorphous carbon film according to an embodiment of the present invention can be formed using, for example, a plasma CVD method. Tetramethylsilane, methylsilane, dimethylsilane, trimethylsilane, dimethoxydimethylsilane, tetramethylcyclotetrasiloxane, and the like are used as a reaction gas that is a raw material for silicon. Since the amorphous carbon film formed on the mesh 16 has high affinity with the adhesive, the mesh 16 on which the amorphous carbon film is formed can be securely attached to the frame 12 by using an adhesive or an adhesive tape. Fixed to. In addition, since the amorphous carbon film according to an embodiment of the present invention generally has high adhesion to the emulsion 14, the emulsion 14 can be reliably held on the mesh 16.
  • the print pattern opening 18 is formed by irradiating the print pattern opening 18 of the photosensitive emulsion 14 coated on the mesh 16 with high energy light such as UV light
  • the amorphous carbon film formed on the surface of the mesh 16 is oxidized (surface activated).
  • the silane coupling agent can be more firmly fixed on the surface of the mesh 16.
  • the amorphous carbon film of the present application is formed on the mesh 16 exposed from the printed pattern opening 18 after the printed pattern opening 18 is formed in the emulsion 14 applied to the mesh 16.
  • the mesh 16 can also be used for solid printing. When the mesh 16 is used for solid printing, it is not necessary to provide the emulsion 14.
  • the amorphous carbon film formed on the mesh 16 has a peak in the D band (near 1350 cm ⁇ 1 ) and the G band (near 1500 cm ⁇ 1 ) in Raman spectroscopic analysis. Polymer carbon films that cannot be used are also included.
  • the amorphous carbon material according to an embodiment of the present invention contains various elements in addition to Si or in place of Si so that a silane coupling agent described later can be retained with good fixability. Can do.
  • the amorphous carbon material can be formed by further containing oxygen atoms (O) in C, H, and Si.
  • the content of O in the amorphous carbon material is changed by adjusting the flow rate of oxygen in the total flow rate of the main raw material gas containing Si and oxygen.
  • the ratio of the flow rate of oxygen to the total flow rate of the main raw material gas and oxygen is adjusted to be, for example, 0.01 to 12%, preferably 0.5 to 10%.
  • the amorphous carbon material according to an embodiment of the present invention can be formed by further containing nitrogen (N) in C, H, Si, and O.
  • the amorphous carbon material according to an embodiment of the present invention can be formed by further containing nitrogen (N) in C, H, and Si.
  • N can be contained in the film by irradiating the aC: H: Si film or the aC: H: Si: O film with nitrogen plasma.
  • an amorphous carbon film not containing Si which is formed in advance, is irradiated with one or both of oxygen plasma and nitrogen plasma, thereby obtaining an aC: H: O film, an aC: H: N film, Alternatively, an aC: H: O: N film can be formed.
  • This plasma irradiation can be performed continuously or collectively with the carbon film formation without breaking the vacuum in the same film formation apparatus as the amorphous carbon film formation apparatus.
  • the plasma-treated amorphous carbon film surface has Si—OH or —COO— on the surface.
  • a thin film 20 made of a silane coupling agent containing fluorine is formed on at least a part of the amorphous carbon film on the surface of the mesh 16.
  • the fluorine-containing silane coupling agent thin film 20 is firmly fixed to the amorphous carbon film on the surface of the mesh 16 by a chemical bond such as a covalent bond or a hydrogen bond by a dehydration condensation reaction.
  • the silane coupling agent containing fluorine for example, FG-5010Z130-0.2 manufactured by Fluorosurf can be used.
  • the thin film 20 is formed so thin that it does not substantially affect the transmission volume of the printing paste that passes through the printing pattern openings 18, for example, has a thickness of about 20 nm.
  • the film thickness of the thin film 20 is not limited to this, and is appropriately changed depending on the type of fluorine-containing silane coupling agent used, and is formed, for example, in the range of 1 nm to 1 ⁇ m.
  • the thin film 20 of the fluorine-containing silane coupling agent is provided on the amorphous carbon film by various methods.
  • the thin film 20 is applied on the mesh 16 on which an amorphous carbon film is formed using a cloth such as a nonwoven fabric, a sponge, a sponge-like roller, a brush, or the like.
  • the thin film 20 can also be formed by spraying a fluorine-containing silane coupling agent in the form of a mist. In addition to these, it can be formed by various methods such as a dipping method and a vapor deposition method such as a resistance heating method.
  • the fluorine-containing coupling agent means a coupling agent having a water / oil repellent function and having a fluorine substituent in its molecular structure.
  • the fluorine-containing coupling agent that can be used as the thin film 20 includes the following. (i) CF3 (CF2) 7 CH2 CH2 Si (OCH3) 3 (ii) CF3 (CF2) 7 CH2 CH2 SiCH3 Cl2 (iii) CF3 (CF2) 7 CH2 CH2 SiCH3 (OCH3) 2 (iv) (CH3) 3 SiOSO2 CF3 (v) CF3 CON (CH3) SiCH3 (vi) CF3 CH2 CH2 Si (OCH3) 3 (vii) CF3 CH2 SiCl3 (Viii) CF3 (CF2) 5 CH2 CH2 SiCl3 (ix) CF3 (CF2) 5 CH2 CH2 Si (OCH3) 3 (x) CF3 (CF2) 7 CH2 CH2 SiCl3
  • fluorine coupling agents are
  • the thin film 20 may have a two-layer structure including a first layer mainly composed of a coupling agent and a second layer mainly composed of a water repellent material or a water / oil repellent material.
  • This first layer is formed, for example, on the amorphous carbon film on the surface of the mesh 16 with the amorphous carbon film layer through —O—M bonds (where M is Si, Any element selected from the group consisting of Ti, Al, and Zr.)
  • M is Si, Any element selected from the group consisting of Ti, Al, and Zr.
  • Such coupling agents include, for example, silane coupling agents, titanate coupling agents, aluminate coupling agents, and zirconate coupling agents. These coupling agents can be used by mixing with other types of coupling agents.
  • the second layer includes, for example, alkylchlorosilanes such as methyltrichlorosilane, octyltrichlorosilane, and dimethyldichlorosilane, alkylmethoxysilanes such as dimethyldimethoxysilane and octyltrimethoxysilane, hexamethyldisilazane and a silylating agent, and silicone.
  • It is a thin film made of a water repellent material.
  • the thin film which consists of a fluorine-containing silane coupling agent mentioned above can also be used as a 2nd layer.
  • the water repellent material or the water / oil repellent material that can be used as the second layer is not limited to those specified in the present specification.
  • the material of the thin film 20 is appropriately determined in consideration of various printing conditions such as the composition of the printing paste and ink used (whether it is aqueous or oily), viscosity, thixotropy, and temperature and humidity during printing. Selected.
  • Silane coupling agents are widely used without needing to be exemplified.
  • Various commercially available silane coupling agents can be used as the first layer of the thin film 20.
  • An example of a silane coupling agent applicable to the present invention is decyltrimethoxysilane (trade name “KBM-3103”, Shin-Etsu Chemical Co., Ltd.).
  • the titanate coupling agent constituting the thin film 20 includes tetramethoxy titanate, tetraethoxy titanate, tetrapropoxy titanate, tetraisopropoxy titanate, tetrabutoxy titanate, isopropyl triisostearoyl titanate, isopropyl tridecylbenzenesulfonyl titanate, isopropyl tris ( Dioctyl pyrophosphate) titanate, tetraisopropyl bis (dioctyl phosphite) titanate, tetra (2,2-diallyloxymethyl-1-butyl) bis (di-tridecyl) phosphite titanate, bis (dioctyl pyrophosphate) oxyacetate titanate, Bis (dioctylpyrophosphate) ethylene titanate, isopropyltrioctanoyl titanate, and Include isopropyl tric
  • the aluminate coupling agent constituting the thin film 20 includes aluminum alkyl acetoacetate / diisopropylate, aluminum ethylacetoacetate / diisopropylate, aluminum trisethylacetoacetate, aluminum isopropylate, aluminum diisopropylate monosecondary butyrate Aluminum secondary butyrate, aluminum ethylate, aluminum bisethyl acetoacetate monoacetylacetonate, aluminum trisacetylacetonate, and aluminum monoisopropoxy monooroxyethyl acetoacetate.
  • the trade name “Plenact AL-M” alkyl acetate aluminum diisopropylate, manufactured by Ajinomoto Fine Techno Co., Ltd. is commercially available.
  • zirconia-based coupling agent examples include neopentyl (diallyl) oxy, trimethacrylic zirconate, tetra (2,2diallyloxymethyl) butyl, di (ditridecyl) phosphate zirconate, and cyclo [dineopentyl ( Diallyl)] pyrophosphate dineopentyl (diallyl) zirconate.
  • the trade name “KENRIACT NZ01” (Kenrich) is commercially available.
  • a water / oil repellent layer made of a fluorine-containing silane coupling agent is formed on an amorphous carbon film formed by using a plasma CVD method.
  • the opening of the mesh 16 can be suppressed. Since the amorphous carbon film formed in this way is firmly bonded to the fluorine-containing silane coupling agent, the fluorine-containing silane coupling agent is fixed to the portion of the mesh 16 exposed from the printed pattern opening 18. Can be well formed.
  • the thin film 20 made of a fluorine-containing silane coupling agent is formed on a portion of the mesh 16 exposed to the printed pattern opening 18 after the emulsion 14 is applied to the mesh 16.
  • the amorphous carbon film according to one embodiment of the present invention can also hold the emulsion 14 with good fixability. Therefore, according to an embodiment of the present invention, the releasability of the mesh 16 with respect to the printing paste can be improved, and a printing pattern can be accurately formed on the substrate.
  • the amorphous carbon film is formed on the mesh 16 by plasma (process) with high straightness.
  • the amorphous carbon film according to an embodiment of the present invention is unlikely to wrap around unnecessary portions such as the back surface of the substrate (for example, the mesh 16) like a liquid primer. Therefore, in one embodiment of the present invention, a primer layer made of an amorphous carbon film is selectively formed only on a desired surface of the substrate (for example, a stencil printed circuit board surface on which water and oil repellency is to be exhibited). can do.
  • the primer layer of the amorphous carbon film can be formed by a plasma process with high straightness, and therefore the primer layer is selectively formed on the surface opposite to the squeegee surface. Can do.
  • the amorphous carbon film has a permeation barrier property against H 2 O and O 2 .
  • the fluorine-containing silane coupling agent is water repellent and can prevent moisture adsorption.
  • the amorphous carbon film structure as in the present application, and the structure in which the fluorine layer is formed on the surface layer prevent H 2 O from entering the base more than the conventional amorphous carbon film. It becomes possible to do. For this reason, it becomes possible to further protect the mesh 16 from the influence of H 2 O and O 2 , thereby making it possible to prevent the amorphous carbon film from being peeled off due to deterioration of the substrate itself.
  • the amorphous carbon film according to an embodiment of the present invention exhibits higher hydrophilicity than a normal amorphous carbon film, the wet-spreading of the generally water-soluble emulsion on the mesh surface is prevented. This can promote the generation of bubbles at the interface between the emulsion and the mesh. Thereby, embrittlement of the emulsion due to generation of bubbles at the interface between the emulsion and the mesh can be suppressed.
  • the amorphous carbon film has the property of suppressing the reflection and scattering of the irradiated ultraviolet light. Therefore, when the printing pattern opening 18 is formed in the emulsion 14 with a drawing apparatus using ultraviolet light, reflection and scattering of the irradiated ultraviolet light from the mesh 16 can be suppressed, and the printing pattern opening 18 can be suppressed. Dimensional accuracy can be improved.
  • the screen plate 10 according to the embodiment of the present invention configured as described above is used by being arranged so that the lower surface 26 of the emulsion 14 faces the substrate. After the screen plate 10 is placed in a predetermined position, a printing paste such as a solder paste or a metal paste constituting an internal electrode is applied to the upper surface 24, and a squeegee (not shown) is pressed against the upper surface 24 at a constant pressure. By sliding along, the applied printing paste passes through the printing pattern opening 18 and is transferred to the substrate.
  • the screen plate 10 can be used for printing inks, dyes, paints, rust preventives, adhesives, reactive active materials, green sheet slurries, lithography resists, pressure sensitive materials, temperature sensitive materials. It can be used to transfer materials, adsorbents and the like.
  • the mesh 16 can also be applied to a printing stencil used in printing methods other than the screen printing method (transfer method).
  • the mesh 16 is applied to, for example, a stencil for a pressure printing method in which ink pushed out by a pressure mechanism such as an ink jet is transferred to a printing material, and a vacuum printing method in which the ink is transferred by lowering the printing material side. obtain.
  • the printing method that can use the stencil using the mesh 16 on which the amorphous carbon film of the present invention is formed is not limited to the one exemplified in this specification.
  • a frame 12 made of iron casting, stainless steel or aluminum alloy, and a mesh 16 having an amorphous carbon film formed on the surface by plasma CVD or the like are prepared, and the mesh 16 is stretched on the frame 12.
  • the mesh 16 may be attached directly to the frame body 12 or may be attached via a support screen.
  • the photosensitive emulsion 14 is applied to the mesh 16, and a printing pattern opening 18 corresponding to the printing pattern is formed in the emulsion 14 by photolithography.
  • the thin film 20 of the fluorine-containing silane coupling agent is applied to the lower surface 26 side of the portion exposed in the printed pattern opening 18 of the mesh 16 to obtain the screen plate 10.
  • FIG. 3 is a diagram schematically showing a part of the suction collet provided in the electronic component transport apparatus 30 including the porous sheet according to the embodiment of the present invention.
  • the suction collet 32 is provided on an arbitrary electronic component transport device so as to be movable in the vertical and horizontal directions. As illustrated, the suction collet 32 is formed in a cylindrical shape, and one end thereof is connected to a negative pressure source (not shown). In the vicinity of the suction port of the suction collet 32, a porous sheet 34 according to an embodiment of the present invention is provided.
  • the electronic component 36 is placed on the wafer sheet 38.
  • the electronic component 36 When the electronic component 36 is transported from the wafer sheet to another work space, the electronic component 36 is moved by supplying a negative pressure from a negative pressure source while the suction collet 32 is positioned on the electronic component 36. It is adsorbed near the adsorption port of the adsorption collet 32. Next, the electronic component 36 can be transported to the predetermined work space by moving the suction collet to the predetermined work space while the electronic component 36 is sucked and stopping the supply of the negative pressure in the work space. .
  • Such an adsorption collet 32 is described in, for example, Japanese Patent Application Laid-Open No. 2011-014582 and the like, and its detailed configuration and operation are obvious to those skilled in the art. Omitted. Further, the suction collet 32 is used for conveying various members such as a green sheet in addition to the electronic component.
  • the porous sheet 34 is made of, for example, a synthetic resin such as polypropylene, a metal such as stainless steel, a ceramic such as zirconia, a woven fabric such as a bandage that ensures air permeability, a nonwoven fabric, or a composite thereof. Like the screen printing mesh 16, it has an opening portion.
  • An amorphous carbon film according to an embodiment of the present invention is formed as a primer layer on the surface of the porous sheet 34, and a fluorine-containing silane coupling agent is formed on the amorphous carbon film.
  • This amorphous carbon film contains at least one element of silicon, oxygen, or nitrogen.
  • This amorphous carbon film is formed to have the same composition by the same method as the amorphous carbon film formed on the mesh 16 described above. Therefore, the primer layer made of the amorphous carbon film according to the embodiment of the present invention can be formed so as not to block the opening portion of the porous sheet 34.
  • the amorphous carbon film according to an embodiment of the present invention is selectively formed on a portion of the porous sheet 34 where the electronic component 36 is adsorbed. As a result, an amorphous carbon film (and a fluorine-containing silane coupling agent) is not formed at the contact portion between the porous sheet 34 and the adsorption collet 32, and adhesion between the porous sheet 34 and the adsorption collet 32 is ensured. can do.
  • the porous sheet 34 can firmly hold the fluorine-containing silane coupling agent using the amorphous carbon film according to an embodiment of the present invention as a primer layer, the porous sheet 34 has surface characteristics excellent in smoothness, Low friction coefficient and opponent attack, high adhesion resistance to soft metals such as tin and aluminum, and high wear resistance. Thereby, when the electronic component 36 is conveyed, sticking of the electronic component to the porous sheet 34, clogging due to dust or foreign matter suction into the pores of the porous sheet can be suppressed, and the electronic component 36. The conveyance efficiency can be improved.
  • the porous sheet 34 has an uneven structure on its surface.
  • the fluorine-containing silane coupling agent formed in the concave portion is protected from the stress acting from the outside by the amorphous carbon film formed in the convex portion, the water / oil repellency of the porous sheet 34 is maintained. Is very expensive.
  • the above-described mesh for screen printing and the porous sheet for electronic component conveying apparatus are merely examples of applying the primer composition comprising the amorphous carbon material of the present invention, and the primer composition comprising the amorphous carbon material of the present invention.
  • the object can be used for any workpiece in which clogging with a liquid primer occurs.
  • the fluorine-containing silane coupling agent was formed on the amorphous carbon film with good fixability in one embodiment of the present invention.
  • an amorphous carbon film containing at least one element of Si, O, or N is formed on the surface of stainless steel (SUS304 2B product), and fluorine coating (fluorine-containing silane coupling) is applied to these amorphous carbon films.
  • Samples (Examples 1 to 9) were prepared. And in order to investigate the fixability of the fluorine coating layer in each sample, the contact angle with mineral spirit (oil) and water (pure water) was measured for each sample.
  • the contact angle with mineral spirit and water increases due to its oil repellency and water repellency. It can be confirmed whether or not the silane coupling agent is held on the amorphous carbon film.
  • a base material made of stainless steel (SUS304) used as a material for the mesh 16 was prepared as a base material for each sample.
  • SUS304 stainless steel
  • a rectangular substrate having a side of 30 mm, a thickness of 1 mm, and a surface roughness Ra of 0.034 ⁇ m was prepared.
  • the stainless steel (SUS304) plate was subjected to an electrolytic polishing treatment to uniformly smooth the surface of the substrate.
  • the argon gas was evacuated, and then argon at a flow rate of 15 SCCM and tetramethylsilane at a flow rate of 10 SCCM were introduced into the reaction vessel so that the gas pressure in the reaction vessel was 1.5 Pa, and an applied voltage of ⁇ 4 kV, An amorphous carbon film was formed for 30 minutes under the conditions of a pulse frequency of 2 kHz and a pulse width of 50 ⁇ s.
  • Example 1 On the surface of the amorphous carbon film thus formed, a solution of Fluorosurf FG-5010Z130-0.2 which is a fluorine-containing silane coupling agent (fluorine resin 0.02-0.2%, fluorine-based solvent 99.8% -99.98%
  • Fluorosurf FG-5010Z130-0.2 which is a fluorine-containing silane coupling agent (fluorine resin 0.02-0.2%, fluorine-based solvent 99.8% -99.98%
  • fluorine resin 0.02-0.2%, fluorine-based solvent 99.8% -99.98% The sample of Example 1 was obtained by dip-coating and drying for 2 days at room temperature and a humidity of about 50% (the same conditions for each of the Examples and Comparative Examples below).
  • the source gas is exhausted, and then oxygen gas with a flow rate of 14 SCCM is introduced into the reaction vessel so that the gas pressure is 1.5 Pa, and oxygen plasma is applied under the conditions of applied voltage -3 kV, pulse frequency 2 kHz, pulse width 50 ⁇ s
  • the amorphous carbon film was irradiated for 5 minutes.
  • a solution of FG-5010Z130-0.2, a fluoro-containing silane coupling agent (fluorine resin 0.02 to 0.2%, fluorine-based solvent 99.8% to 99.98) is applied to the surface of the amorphous carbon film after the oxygen plasma irradiation. %) was applied by dip coating and dried at room temperature for 2 days to obtain a sample of Example 2.
  • Example 3 an amorphous carbon film was formed using argon and tetramethylsilane in the same manner as in Example 1. Subsequently, after exhausting the raw material gas, nitrogen gas with a flow rate of 15 SCCM was introduced into the reaction vessel so that the gas pressure in the reaction vessel was 1.5 Pa, and the conditions were an applied voltage of ⁇ 4 kV, a pulse frequency of 2 kHz, and a pulse width of 50 ⁇ s. The amorphous carbon film was irradiated with nitrogen plasma for 5 minutes. Next, the fluorine-containing silane coupling agent is dip-coated on the amorphous carbon film after the nitrogen plasma irradiation in the same manner as in Example 1, and dried at room temperature for 2 days to obtain the sample of Example 3. It was.
  • Example 4 (4) Preparation of Sample of Example 4
  • an amorphous carbon film was formed using argon and tetramethylsilane.
  • nitrogen gas with a flow rate of 15 SCCM was introduced into the reaction vessel so that the gas pressure in the reaction vessel was 1.5 Pa, and the conditions were an applied voltage of ⁇ 4 kV, a pulse frequency of 2 kHz, and a pulse width of 50 ⁇ s.
  • the amorphous carbon film was irradiated with nitrogen plasma for 5 minutes.
  • the nitrogen gas is exhausted, and oxygen gas at a flow rate of 14 SCCM is introduced into the reaction vessel so that the gas pressure in the reaction vessel is 1.5 Pa.
  • the amorphous carbon film was irradiated with oxygen plasma for 5 minutes.
  • the sample of Example 4 was dip-coated with a fluorine-containing silane coupling agent on the amorphous carbon film after irradiation with nitrogen plasma and oxygen plasma in the same manner as in Example 1 and dried at room temperature for 2 days. Got.
  • the oxygen gas was adjusted so that the flow rate mixing ratio with tetramethylsilane was 4.5%.
  • the surface of the amorphous carbon film thus formed was dip-coated with a fluorinated silane coupling agent and dried at room temperature for 2 days. Obtained.
  • Example 2 The oxygen gas was adjusted so that the flow rate mixing ratio with tetramethylsilane was 8.5%.
  • the surface of the amorphous carbon film thus formed was dip-coated with a fluorinated silane coupling agent and dried at room temperature for 2 days. Obtained.
  • an amorphous carbon film containing Si was formed on the substrate surface as a base intermediate layer.
  • acetylene with a flow rate of 20 SCCM is introduced into the reaction vessel so that the gas pressure in the reaction vessel becomes 1.5 Pa, and the applied voltage is ⁇ 4 kV, the pulse frequency is 2 kHz, and the pulse width. Film formation was performed for 30 minutes under the condition of 50 ⁇ s. As a result, an amorphous carbon film containing no Si was formed on the surface of the base intermediate layer.
  • oxygen gas with a flow rate of 14 SCCM is introduced into the reaction vessel so that the gas pressure in the reaction vessel is 1.5 Pa, and oxygen plasma is applied under the conditions of applied voltage -4 kV, pulse frequency 2 kHz, and pulse width 50 ⁇ s.
  • the amorphous carbon film was irradiated for minutes.
  • the fluorine-containing silane coupling agent was dip-coated on the surface of the amorphous carbon film after the oxygen plasma irradiation in the same manner as in Example 1 and dried at room temperature for 2 days. Obtained.
  • Example 8 Preparation of Sample of Example 8
  • an amorphous carbon film containing Si was formed as a base intermediate layer on a stainless steel (SUS304) substrate, and Si was formed on the surface of the base intermediate layer.
  • an amorphous carbon film containing no carbon was formed.
  • nitrogen gas having a flow rate of 14 SCCM is introduced into the reaction vessel so that the gas pressure in the reaction vessel becomes 1.5 Pa, and the applied voltage is ⁇ 4 kV, the pulse frequency is 2 kHz, and the pulse width is 50 ⁇ s.
  • the amorphous carbon film was irradiated with nitrogen plasma for 5 minutes.
  • the surface of the amorphous carbon film after the nitrogen plasma irradiation was dip-coated with a fluorinated silane coupling agent in the same manner as in Example 1 and dried at room temperature for 2 days. Obtained.
  • Example 9 Preparation of Sample of Example 9
  • an amorphous carbon film containing Si was formed as a base intermediate layer on stainless steel (SUS304 base material), and Si was formed on the surface of the base intermediate layer.
  • an amorphous carbon film containing no carbon was formed.
  • nitrogen gas having a flow rate of 14 SCCM is introduced into the reaction vessel so that the gas pressure in the reaction vessel becomes 1.5 Pa, and the applied voltage is ⁇ 4 kV, the pulse frequency is 2 kHz, and the pulse width is 50 ⁇ s. Under the conditions, the amorphous carbon film was irradiated with nitrogen plasma for 5 minutes.
  • the nitrogen gas is exhausted, and oxygen gas at a flow rate of 14 SCCM is introduced into the reaction vessel so that the gas pressure in the reaction vessel is 1.5 Pa.
  • the amorphous carbon film was irradiated with oxygen plasma for 5 minutes.
  • the surface of the amorphous carbon film after irradiation with nitrogen plasma and oxygen plasma was dip-coated with a fluorine-containing silane coupling agent in the same manner as in Example 1 and dried at room temperature for 2 days. Samples were obtained.
  • the fluorine-containing silane coupling agent having a weak bond with the amorphous carbon film is peeled off from the substrate surface. Therefore, by examining the contact angle on the surface of the substrate after ultrasonic cleaning, the adhesion between the fluorine silane coupling agent and the amorphous carbon film as the lower layer can be confirmed.
  • FIG. 4 is a graph showing the measurement results of contact angles with mineral spirits of Examples 1 to 7 and Comparative Example 1, and shows the average values of contact angles measured at 16 measurement positions on the substrate.
  • the contact angle of the sample of Comparative Example 1 was reduced to about 40 ° by ultrasonic cleaning for 5 minutes.
  • the samples of Examples 1 to 7 maintained a contact angle of 45 ° or more even after 120 minutes of ultrasonic cleaning.
  • sufficient oil repellency can be confirmed at the contact angle at each measurement position, and there is a measurement position showing a low contact angle indicating that the oil repellency has been lost. There wasn't.
  • the samples of Examples 1 to 6 containing Si in the amorphous carbon film all maintained an average contact angle of 50 ° or more.
  • a sufficient amount of fluorine-containing silane coupling agent remained on the sample surface to exhibit water and oil repellency.
  • FIG. 5 is a graph showing the measurement results of contact angles with water in Examples 1 to 9 and Comparative Example 1, and shows the average value of contact angles measured at 10 measurement positions on the substrate.
  • the contact angle of Comparative Example 1 is about 90 °
  • the contact angles of Examples 1 to 9 are all 105 ° or more, and each sample has water and oil repellency on the sample surface. It was confirmed that a sufficient amount of the fluorine-containing silane coupling agent remained to be exhibited.
  • FIG. 6 is a graph showing the results of measuring contact angles with mineral spirits at a plurality of positions (measurement points) on the surface of Comparative Example 1 subjected to ultrasonic cleaning for 5 minutes
  • FIG. 7 shows ultrasonic waves for 120 minutes. It is a graph which shows the result of having measured the contact angle with the mineral spirit in the several position (measurement point) of the surface of Example 7 which performed washing
  • Comparative Example 1 has a large variation in contact angle measurement points (Max (maximum value) ⁇ Min (minimum value) width), and fluorine-containing silane coupling. It can be confirmed that the agent is partially peeled off. For Example 7, a relatively uniform contact angle was obtained.
  • Examples 2 to 6 after the amorphous carbon film containing silicon is formed, the source vessel containing silicon is exhausted, oxygen and / or nitrogen introduced, and plasma irradiation is performed without vacuum-breaking the reaction vessel.
  • the reaction vessel may be returned to normal pressure, and then the reaction vessel may be evacuated again to introduce oxygen and / or nitrogen.
  • the contact angles with water and mineral spirit showed substantially the same numerical values as in the above examples.
  • the mesh opening (opening) portion of the mesh according to one embodiment of the present invention was not substantially blocked by the printing paste by the following method.
  • three stainless steel meshes (# 500-19) cut out to 210 mm ⁇ 210 mm were prepared.
  • 500 stainless steel wires exist in a width of 1 inch, and the wire diameter of the stainless steel yarn is 19 ⁇ m.
  • the opening width of the mesh openings is approximately 30 ⁇ m.
  • a commercially available mesh (# 500-19) can be easily purchased.
  • an emulsion is applied to the mesh (# 500-19) and a printed pattern for an electronic circuit is formed on the emulsion, a part of the mesh is exposed from the through hole (for example, the printed pattern opening 18) of the printed pattern.
  • one of the prepared three meshes (# 500-19) was bonded to four sides of a 320 mm ⁇ 320 mm iron casting frame.
  • this frame is horizontally arranged, and a liquid primer for fixing a fluorine-containing silane coupling agent on the mesh (# 500-19) portion, a primer coat PC-2 exclusively for Fluorosurf manufactured by Fluoro Technology Co., Ltd. It was applied by being included in BEMCOT CLEAN WIPE-P (nonwoven fabric).
  • the frame coated with the primer coat PC-2 was placed horizontally in a constant temperature bath at room temperature and 50% humidity and dried for 60 minutes to obtain a sample of Comparative Example 2.
  • one of the prepared three meshes (# 500-19) was cut into a size of 70 mm ⁇ 30 mm, and the mesh (# 500-19) cut into 70 mm ⁇ 30 mm was manufactured by Fluoro Technology.
  • Primer PC-2 was flow coated.
  • the mesh (# 500-19) on which the primer PC-2 was formed was placed vertically in a constant temperature bath at room temperature and 50% humidity and dried for 60 minutes to obtain a sample of Comparative Example 3.
  • An amorphous carbon film was formed on the remaining one of the three prepared meshes (# 500-19) by the following method.
  • a mesh (# 500-19) was set on the electrode portion of the high-pressure pulse plasma CVD apparatus, and the CVD apparatus was evacuated.
  • the reaction vessel of the CVD apparatus was evacuated to 1 ⁇ 10 ⁇ 3 Pa, and the mesh (# 500-19) was cleaned with argon gas plasma for about 5 minutes. Cleaning with argon gas plasma was performed under the conditions of an argon gas flow rate of 30 SCCM, a gas pressure of 2 Pa, an applied voltage of ⁇ 4 kV, a pulse frequency of 10 kHz, and a pulse width of 10 ⁇ s.
  • Example 10 After cleaning, the argon gas is evacuated, and then trimethylsilane is introduced at a flow rate of 30 SCCM so that the gas pressure in the reaction vessel becomes 1.5 Pa, under the conditions of applied voltage -4 kV, pulse frequency 10 kHz, and pulse width 10 ⁇ s. Then, an amorphous carbon film containing Si was formed on the mesh (# 500-19) for 15 minutes. Thereafter, it was adhered to an iron casting frame in the same manner as in Comparative Example 2. The frame body to which the mesh (# 500-19) on which the amorphous carbon film primer layer was formed in this manner was adhered was placed horizontally in a thermostatic chamber at room temperature and 50% humidity as in Comparative Example 2. The sample of Example 10 was obtained by drying for 60 minutes.
  • each sample of Comparative Examples 2, 3 and Example 10 was photographed with a CCD camera, and it was confirmed whether the mesh opening of each sample was blocked.
  • 8 to 9 are photographs of these samples taken at a magnification of 500 times using a CCD camera.
  • 8 is a photograph of the sample of Comparative Example 2
  • FIG. 9 is a photograph of the sample of Comparative Example 3
  • FIG. 10 is a photograph of the sample of Example 10.
  • the liquid primer PC-2 wets and spreads in the mesh openings of the samples of Comparative Examples 2 and 3, and a part of the opening is blocked. It was confirmed.
  • the sample of Example 10 was not clogged by the primer layer of the amorphous carbon film.
  • the screen printing mesh according to one embodiment of the present invention does not cause clogging of the openings.
  • the mesh according to one embodiment of the present invention was firmly bonded to the emulsion by the following method.
  • two 300 mm ⁇ 300 mm rectangular stainless steel meshes (# 500-19) were prepared.
  • An amorphous carbon film was formed on one of the meshes (# 500-19) as follows. That is, first, the prepared mesh (# 500-19) was put into a high-pressure pulse plasma CVD apparatus, and this CVD apparatus was vacuum depressurized to 1 ⁇ 10 ⁇ 3 Pa.
  • argon gas was introduced into the CVD apparatus after vacuum depressurization at a flow rate of 30 SCCM and a gas pressure of 2 Pa, and meshed with argon gas plasma (# 500-) under the conditions of an applied voltage of ⁇ 4 kV, a pulse frequency of 10 kHz, and a pulse width of 10 ⁇ s. 19) was cleaned.
  • trimethylsilane was introduced into the CVD apparatus at a flow rate of 30 SCCM and a gas pressure of 2 Pa, and a film formation process was performed for 10 minutes under the conditions of an applied voltage of ⁇ 4 kV, a pulse frequency of 10 kHz, and a pulse width of 10 ⁇ s.
  • An amorphous carbon film containing Si was formed on the surface of the mesh (# 500-19).
  • an oxygen gas having a flow rate of 30 SCCM and a gas pressure of 2 Pa is introduced into the CVD apparatus, and the amorphous carbon film is applied under the conditions of an applied voltage of ⁇ 3 kV, a pulse frequency of 10 kHz, and a pulse width of 10 ⁇ s.
  • the mesh (# 500-19) formed with was irradiated with oxygen plasma for 3 minutes to obtain a sample of Example 12 (Example 11 is missing).
  • the amorphous carbon film of the sample of Example 12 contains Si and O.
  • an amorphous carbon film was formed on the remaining one piece of the mesh (# 500-19) as follows.
  • the prepared mesh (# 500-19) was put into a high-pressure pulse plasma CVD apparatus, and the CVD apparatus was vacuum depressurized to 1 ⁇ 10 ⁇ 3 Pa.
  • argon gas was introduced into the CVD apparatus after vacuum depressurization at a flow rate of 30 SCCM and a gas pressure of 2 Pa, and meshed with argon gas plasma (# 500-) under the conditions of an applied voltage of ⁇ 4 kV, a pulse frequency of 10 kHz, and a pulse width of 10 ⁇ s. 19) was cleaned.
  • trimethylsilane with a flow rate of 30 SCCM and a gas pressure of 2 Pa was introduced into the CVD apparatus, and a film formation process was performed for 5 minutes under the conditions of an applied voltage of ⁇ 4 kV, a pulse frequency of 10 kHz, and a pulse width of 10 ⁇ s.
  • An intermediate layer of an amorphous carbon film was formed on the surface of the mesh (# 500-19).
  • an acetylene gas having a flow rate of 30 SCCM and a gas pressure of 2 Pa is introduced into the CVD apparatus, and a film forming process is performed for 6 minutes under the conditions of applied voltage of ⁇ 4 kV, pulse frequency of 10 kHz, and pulse width of 10 ⁇ s.
  • an amorphous carbon film substantially free of Si, O, and N is formed on the mesh (# 500-19) on which the intermediate layer of the amorphous carbon film is formed.
  • the sample of Example 4 was obtained.
  • the amorphous carbon film exposed on the surface of the sample of Comparative Example 4 is substantially free of Si, O, and N except for adhesion from the atmosphere due to the presence of the amorphous carbon film in the atmosphere. Not contained.
  • Example 12 and Comparative Example 4 were respectively attached to a 450 mm ⁇ 450 mm iron casting frame body via a polyester mesh.
  • each of the meshes of Example 12 and Comparative Example 4 attached to the frame was applied so that the emulsion thickness was approximately 5 ⁇ m.
  • the emulsion used was composed mainly of 13% vinyl acetate emulsion, 8% polyvinyl alcohol, 14% photopolymerizable resin, and 65% water.
  • the meshes of Example 12 and Comparative Example 4 in which the emulsion was coated on the entire surface in this manner were cut with a cutter knife, and the cut meshes of Example 12 and Comparative Example 4 were subjected to a tensile test under the following conditions. .
  • Tensile test conditions Testing machine Instron type 5865 Grip length: 60mm Strip width: 10mm
  • Measurement of stretch ratio Measure the stretch ratio between the gauge marks displayed on the sample with a video camera extensometer.
  • FIG. 11 is a photograph of the surface of the sample of Comparative Example 4 and the sample of Example 12 taken with a CCD camera having a magnification of 1000 times before and after stretching.
  • the photograph in FIG. 11 shows bubbles generated due to partial peeling of the emulsion from the mesh.
  • the position of the bubble is indicated by an arrow.
  • Table 1 shows the number of voids counted based on the photograph of FIG. 11 for each of Example 12 and Comparative Example 4.
  • Example 12 it was confirmed that the number of voids was smaller before stretching than in Comparative Example 4. Further, the number of voids in Example 12 after stretching is significantly smaller than the number of voids observed in Comparative Example 4. Thus, in Example 12, it was confirmed that the fixability of the emulsion to the mesh was superior to that of Comparative Example 4.
  • the fluorine-containing silane coupling agent is formed on the screen printing mesh according to the embodiment of the present invention with good fixability based on the measurement results of the contact angles between the samples of Examples 1 to 9 and mineral spirit or water. It was confirmed that it was possible. Moreover, from the result of observing the surface of the sample of Example 10 with a CCD camera, it was confirmed that the screen opening mesh according to the embodiment of the present invention did not block the opening portion. Further, from the observation result of voids in the sample of Example 12, it was confirmed that the screen printing mesh according to one embodiment of the present invention can hold the emulsion with good fixability.

Abstract

【課題】 非晶質炭素材料から成り、フッ素含有シランカップリング剤と強固に結合するプライマー層を形成するプライマー組成物を提供する。本発明の一実施形態に係るプライマー組成物は、ケイ素、酸素、又は窒素のうち少なくとも1つの元素を含有する非晶質炭素材料から成る。

Description

プライマー組成物、該組成物から成るプライマー層を含む構造体、及び該構造体の製造方法
 相互参照
 本出願は、日本国特許出願2011-147669号(2011年7月1日出願)に基づく優先権を主張し、その内容は全体として参照により本明細書に組み込まれる。
 技術分野
 本発明は、プライマー組成物に関し、特に、フッ素含有シランカップリング剤用のプライマー層として用いられるプライマー組成物に関する。また、本発明は、当該プライマー組成物から成るプライマー層を含む構造体及び該構造体の製造方法に関する。
 基材の表面をフッ素を含有するシランカップリング剤でコーティングすることで、基材表面に撥油性を付与する表面改質処理が知られている。例えば、スクリーン印刷用メッシュの表面にフッ素含有シランカップリング剤から成るフッ素コーティング層を形成することにより、メッシュに撥油性を付与し、印刷ペーストに対する離型性を向上させることが検討されている。フッ素含有シランカップリング剤は、メッシュへの定着性を確保するため、ステンレス等の金属から成るメッシュ本体に直接形成するのではなく、プライマー層を介して形成することが多い。例えば、メッシュに、液体状プライマーを塗布し、この液体状プライマーの上にフッ素含有シランカップリング剤を塗布する方法が知られている(特許文献1、2)。
 また、電子部品搬送装置において、吸着コレットの吸着口に設けられる多孔質シートをフッ素含有シランカップリング剤でコーティングすることで、多孔質シートに搬送対象の電子部品が張り付くことを防止する技術が知られている。多孔質シートへフッ素含有シランカップリング剤をコーティングする際にも、液体状のプライマーを用いることが多い。
特開2006-347062号公報 特開2009-45867号公報
 しかしながら、液体状のプライマーを用いると、メッシュや多孔質シート等のワークの開口部に液体状のプライマーが濡れ広がり、その開口部を閉塞するという問題がある。特に、スクリーン印刷用のメッシュに液体状プライマーを用いると、当該プライマーによって印刷パターン開口部が閉塞され、印刷ペーストを印刷パターンに従って精度良く塗布することができないという問題が生じる。
 そこで、液体状のプライマーに代えて、メッシュ表面にCVD法等のドライプロセスによりダイヤモンドライクカーボン(DLC)等の非晶質炭素材料から成る非晶質炭素膜をプライマー層として形成し、そのDLC膜の上にフッ素コーティング層を形成することが考えられる。しかしながら、フッ素コーティング層は、非晶質炭素膜への定着性が十分でない。
 そこで、本発明の様々な実施形態によって、非晶質炭素材料から成り、フッ素含有シランカップリング剤と強固に結合するプライマー層を形成するプライマー組成物を提供する。また、本発明の様々な実施形態によって、当該プライマー組成物から成るプライマー層を含む構造体及び該構造体の製造方法を提供する。
 本発明者らは、ケイ素(Si)、酸素(O)、及び窒素(N)から成る群の少なくとも1つを含む非晶質炭素膜が、フッ素を含有するシランカップリング剤と良好な定着性を有することを見いだした。
 本発明の一実施形態に係るプライマー組成物は、ケイ素、酸素、又は窒素のうち少なくとも1つの元素を含有する非晶質炭素材料から成る。
 本発明の一実施形態に係る構造体は、基材と、前記基材上に直接又は間接に形成され、ケイ素、酸素、又は窒素のうち少なくとも1つの元素を含有する非晶質炭素膜層と、を備える。このように、本発明の一実施形態において、非晶質炭素膜層は、基材上に直接形成されてもよく、中間層を介して間接的に形成されてもよい。
 本発明の一実施形態に係る構造体の製造方法は、基材を準備する工程と、前記基材に、ケイ素、酸素、又は窒素のうち少なくとも1つの元素を含有する非晶質炭素膜層を形成する工程と、を備える。
 本発明の様々な実施形態によれば、非晶質炭素材料から成り、フッ素含有シランカップリング剤と強固に結合するプライマー層を形成するプライマー組成物が提供される。また、本発明の様々な実施形態によって、当該プライマー組成物から成るプライマー層を含む構造体及び該構造体の製造方法が提供される。
本発明の一実施形態に係るメッシュを備えるスクリーン版の全体構成を模式的に表す平面図 本発明の一実施形態に係るメッシュを備えるスクリーン版を模式的に表す断面図 本発明の一実施形態に係る多孔質シートを備える電子部品搬送装置の一部を模式的に示す図 実施例1~7及び比較例1の、ミネラルスピリットとの接触角の測定結果を示すグラフ 実施例1~9及び比較例1の、水との接触角の測定結果を示すグラフ 比較例1の表面の複数の位置においてミネラルスピリットとの接触角を測定した結果を示すグラフ 実施例7の表面の複数の位置においてミネラルスピリットとの接触角を測定した結果を示すグラフ 比較例2の試料表面の写真 比較例3の試料表面の写真 実施例10の試料表面の写真 比較例4及び実施例12の延伸前と延伸後における試料表面の写真
 本発明の様々な実施形態について添付図面を参照して説明する。各実施形態において、類似の構成要素には類似の参照符号を付して説明を行い、その類似の構成要素についての詳細な説明は適宜省略する。
 本発明の一実施形態に係るプライマー組成物は、ケイ素、酸素、又は窒素のうち少なくとも1つの元素を含有する非晶質炭素材料から成る。このプライマー組成物は、様々な構造物のプライマー層として利用される。例えば、本発明の一実施形態に係るプライマー組成物は、スクリーン印刷用メッシュにフッ素含有シランカップリング剤を形成する際のプライマー層として用いられる。図1はスクリーン版の全体構成を模式的に表す平面図であり、図2は本発明の一実施形態に係るスクリーン版を模式的に表す断面図である。このスクリーン版には、本発明の一実施形態に係るプライマー組成物から成るプライマー層が形成されている。図1及び図2は、本発明の一実施形態に係るスクリーン版の構成を模式的に示すものであり、その寸法は必ずしも正確に図示されていない点に留意されたい。
 図示の通り、スクリーン版10は、鉄製の鋳物、ステンレス鋼、又はアルミニウム合金等から成る枠体12に、ポリエステル等の樹脂やステンレス鋼(SUS304)等から成るメッシュ16を張り、このメッシュ16の全部又は一部に乳剤14を塗布して構成される。
 本発明の一実施形態に係るメッシュ16は、様々な材質や線径の糸を編み込んで作成される。メッシュ16を構成する糸の表面粗さ、断面形状、及び折り方は、その用途等に応じて適宜変更され得る。断面形状は、例えば、丸型、楕円型、四角形型、多角形型、不定形型、及び星型が含まれる。折り方の例には、平織り、綾折、及び3次元形状折が含まれる。メッシュ16を構成する糸の材料は、例えば、ステンレス鋼、鉄鋼、銅、チタニウム、若しくはタングステン等の金属又はこれらの合金である。メッシュ16を構成する糸の材料は、ポリプロピレン、ポリエステル、ポリエチレン、ナイロン、ビニル等の化学繊維、レーヨン等の混紡繊維、炭素繊維、ガラス繊維等の無機材料、羊毛、絹、綿、若しくはセルロース等の天然素材繊維であってもよい。例えば、メッシュ16として、線径φ15μm、メッシュ開口部幅24.7μm、メッシュカウント640(1inch幅に640本のメッシュが存在)のステンレス鋼を編み込んで作成されるメッシュを用いることができる。
 メッシュ16においては、繊維糸同士が上下に交差する部分(交点部分)をめっきの析出物、接着剤、蒸着膜、又はスパッタ膜等で固定することができる。このめっきの析出物は、例えば電解Niめっき法により形成される。一実施形態においては、メッシュ糸の交点部分を押しつぶし、メッシュの糸1本の厚みに相当する厚みまでメッシュ16の厚みを薄型化することができる。メッシュ16の厚み、カウント数、メッシュ開口部の大きさの均一性、メッシュ開口部の位置、メッシュ開口部のテーパ角度、及び開口部の形状等の仕様は、ここで述べたものに限られず、印刷方法、印刷パターン、印刷対象、要求される耐久性等に応じて適宜変更することができる。また、メッシュ16の開口部のエッジ部は、適宜面取りされる。
 メッシュ16は、複数のメッシュを組み合わせたものであってもよい。例えば、同じ種類のメッシュ同士や異なる種類のメッシュ同士が組み合わせられる。
 上述したように、メッシュ16は、通常、糸状の素材を編み込むことによって形成されるが、それ以外の方法によって形成することも可能である。例えば、メッシュ16は、電鋳法、印刷法、及びフォトリソグラフィ法により形成され得る。また、メッシュ16は、基材に対して、レーザ加工、エッチィング加工、ドリル加工、パンチング加工、及び放電加工等の様々な方法で貫通孔を形成することにより形成される。このとき形成される貫通孔が、メッシュ16の開口部に相当する。上述した材質や作成方法は適宜組み合わせられる。
 一実施形態において、乳剤14として、例えばジアゾ系の感光乳剤を用いることができる。乳剤14には、例えばフォトリソグラフィ法によって、印刷パターンに対応する印刷パターン開口部18が形成される。印刷パターン開口部18は、乳剤14を厚み方向に貫通するように形成される。フォトリソグラフィ法を用いる場合には、フォトマスクのマスクパターンをメッシュ16に塗布された乳剤14に露光することにより乳剤14の一部を硬化させ、続いて、乳剤14のうち露光により硬化した部分のみをメッシュ16上に残存させ、それ以外の部分を除去することで、印刷パターン開口部18を形成する。印刷パターン開口部18は、乳剤14の内壁22によって画定されている。また、印刷パターンが形成されたメッシュ16を枠体12に直接貼り付ける代わりに、メッシュ16とは別の支持スクリーン(不図示)を枠体12に張り、この支持スクリーンにメッシュ16を貼り付けてもよい。一実施形態において、支持スクリーンのメッシュ16と重なる部分は、カッターナイフ等で切り取られる。印刷パターン開口部18は、フォトリソグラフィ法以外の方法でも形成することができる。例えば、印刷パターンの再現性が厳格には要求されない場合には、粘土、漆喰等の印刷パターン開口部をスクリーンメッシュ上に形成可能な素材を任意に用いることができる。
 他の実施形態において、乳剤14に代えて、印刷パターン開口部18が形成された板状又は箔状の印刷パターン保持部を設けてもよい。この印刷パターン保持部は、例えば、金属、合金、又は樹脂等の様々な材料から形成され得る。印刷パターン保持部の材料として用いられる金属の一例としては、鉄鋼、銅、Ni、金、銀、亜鉛、アルミニウム、及びチタニウムが含まれる。印刷パターン保持部の材料として用いられる合金の一例としては、アルミニウム合金、チタニウム合金、ステンレス鋼合金、クロムーモリブデン鋼合金、Ni-Co合金もしくはNi-W合金等の二元合金、及び多元合金が含まれる。印刷パターン保持部の材料として用いられる樹脂の一例としては、ポリプロピレン、ポリエステル、ポリエチレン、ナイロン、アクリル、PET、PEN、ポリイミド、ポリイミドアミド、ガラスエポキシ、及びFRPが含まれる。これら以外にも、印刷パターン保持部の材料として、セルロース、ガラス、セラミクス、ニトリル等の合成ゴム、又は天然ゴムを用いることができる。これらの材料は適宜他の材料と組み合わせて用いられる。これらの材料から形成された板状又は箔状の印刷パターン保持部は、メッシュ16に貼り付けられる。印刷パターン保持部の印刷パターンは、メッシュ16への貼り付け前に形成されてもよく、貼り付け後に形成されてもよい。
 一実施形態において、メッシュ16の各糸の表面には、本発明の一実施形態に係る非晶質炭素材料から成る非晶質炭素膜が形成される。非晶質炭素膜は非常に薄い膜であるため図示を省略した。本発明の一実施形態に係る非晶質炭素材料は、例えば、炭素(C)、水素(H)、及びケイ素(Si)を主成分とする。したがって、この非晶質炭素材料から成る非晶質炭素膜は、C、H、Siを主成分とするa-C:H:Si膜である。非晶質炭素材料におけるSi含有量は、例えば0.1~50原子%であり、好ましくは、10~40原子%である。本発明の一実施形態に係る非晶質炭素膜は、例えば、プラズマCVD法を用いて形成することができる。ケイ素の原料となる反応ガスとしては、テトラメチルシラン、メチルシラン、ジメチルシラン、トリメチルシラン、ジメトキシジメチルシラン、及びテトラメチルシクロテトラシロキサンなどが用いられる。メッシュ16に形成された非晶質炭素膜は接着剤との親和性が高いため、接着剤や粘着テープを用いることにより、非晶質炭素膜が形成されたメッシュ16は、枠体12へ確実に固定される。また、本発明の一実施形態に係る非晶質炭素膜は一般に乳剤14との接着性が高いため、メッシュ16に確実に乳剤14を保持することができる。
 さらに、メッシュ16に塗布された感光性の乳剤14の印刷パターン開口部18部分にUV光等の高エネルギーの光を照射して印刷パターン開口部18を形成する場合には、当該露出光により、メッシュ16の表面に形成された非晶質炭素膜が酸化(表面活性化)される。これにより、メッシュ16の表面に、シランカップリング剤をより強固に定着させることができる。一実施形態において、本願の非晶質炭素膜は、メッシュ16に塗布された乳剤14に印刷パターン開口部18が形成された後、該印刷パターン開口部18から露出するメッシュ16に形成される。メッシュ16は、ベタ印刷(solid print)にも用いられ得る。メッシュ16をベタ印刷に用いる場合には、乳剤14を設ける必要がない。
 本発明の一実施形態において、メッシュ16に形成される非晶質炭素膜には、ラマン分光スペクトル解析法において、Dバンド(1350cm-1付近)やGバンドに(1500cm-1付近)ピークが確認できないポリマー状の炭素膜も含まれる。
 本発明の一実施形態に係る非晶質炭素材料には、後述のシランカップリング剤を定着性良く保持できるように、Siに加えて、又は、Siに代えて、様々な元素を含有させることができる。例えば、非晶質炭素材料は、C、H、及びSiにさらに酸素原子(O)を含有させて形成することができる。非晶質炭素材料中のOの含有量は、Siを含む主原料ガスと酸素との総流量に占める酸素の流量割合を調整することによって変更される。主原料ガスと酸素との総流量に占める酸素の流量割合は、例えば0.01~12%、好ましくは0.5~10%となるように調整される。また、本発明の一実施形態に係る非晶質炭素材料は、C、H、Si、及びOにさらに窒素(N)を含有させて形成することができる。また、本発明の一実施形態に係る非晶質炭素材料は、C、H、及びSiにさらに窒素(N)を含有させて形成することができる。Nは、a-C:H:Si膜又はa-C:H:Si:O膜に窒素プラズマを照射することにより、膜中に含有させることができる。
 また、予め形成したSiを含有しない非晶質炭素膜に、酸素プラズマ及び窒素プラズマの一方又は両方をプラズマ照射することによって、a-C:H:O膜、a-C:H:N膜、又はa-C:H:O:N膜を形成することができる。このプラズマ照射は、非晶質炭素膜の成膜装置と同じ成膜装置内において、真空をブレイクすることなく、炭素膜の成膜と連続的にまたは一括して行うことができる。プラズマ処理された非晶質炭素膜表面は、その表面にSi-OH、または-COO-、
-COOH-等の様々な官能基を有するので、これらの官能基と後述するフッ素含有シランカップリング剤の持つ官能基とが縮合反応することにより、後述のフッ素含有シランカップリング剤と非晶質炭素膜表面との密着性をさらに改善することができる。また、酸素プラズマ又は窒素プラズマを照射することにより、非晶質炭素膜表層に極性を付与することができる。これにより、極性が付与された非晶質炭素膜とフッ素含有シランカップリング剤とが、水素結合により、強固に結合すると考えられる。
 メッシュ16表面の非晶質炭素膜上の少なくとも一部分には、フッ素を含有するシランカップリング剤から成る薄膜20が形成される。フッ素含有シランカップリング剤の薄膜20は、メッシュ16表面の非晶質炭素膜と脱水縮合反応による共有結合又は水素結合等の化学結合で強固に固定される。フッ素を含有するシランカップリング剤として、例えば、フロロサーフ社のFG-5010Z130-0.2を用いることができる。一実施形態において、この薄膜20は、印刷パターン開口部18を透過する印刷ペーストの透過体積に実質的な影響を与えないほど薄く形成され、例えば、約20nmの厚さに形成される。薄膜20の膜厚はこれに限定されず、用いられるフッ素含有シランカップリング剤の種類により適宜変更され、例えば、1nm~1μmの範囲で形成される。
 フッ素含有シランカップリング剤の薄膜20は、様々な方法で非晶質炭素膜上に設けられる。例えば、薄膜20は、不織布等の布やスポンジ、スポンジ状ローラ、刷毛等を用いて非晶質炭素膜が形成されたメッシュ16上に塗布される。また、薄膜20は、フッ素含有シランカップリング剤を霧状にして噴霧する方法でも形成され得る。これら以外にも、ディッピング法、抵抗加熱法等の蒸着法など様々な方法によって形成され得る。
 フッ素含有カップリング剤は、撥水・撥油機能を奏し、その分子構造内にフッ素の置換基を有するカップリング剤を意味する。薄膜20として使用可能なフッ素含有カップリング剤には、以下のものが含まれる。
(i) CF3 (CF2 )7 CH2 CH2 Si(OCH3 )3
(ii) CF3 (CF2 )7 CH2 CH2 SiCH3 Cl2
(iii)CF3 (CF2 )7 CH2 CH2 SiCH3 (OCH3 )2
(iv)(CH3 )3 SiOSO2 CF3
(v) CF3 CON(CH3 )SiCH3
(vi) CF3 CH2 CH2 Si(OCH3 )3
(vii) CF3 CH2 SiCl3
(Viii) CF3 (CF2 )5 CH2 CH2 SiCl3
(ix) CF3 (CF2 )5 CH2 CH2 Si(OCH3 )3
(x)CF3 (CF2 )7 CH2 CH2 SiCl3
 これらのフッ素カップリング剤はあくまで一例であり、本発明に適用可能なフッ素含有カップリング剤はこれらの例に限定されるものではない。フッ素含有カップリング剤としては、例えば、フロロサーフ社から販売されているFG-5010Z130-0.2(フッ素樹脂0.02~0.2%、フッ素系溶剤99.8%~99.98%)を用いることができる。
 また、薄膜20は、カップリング剤を主成分とする第1層と、撥水性材料又は撥水・撥油性材料を主成分とする第2層と、から成る2層構造であってもよい。この第1層は、例えば、メッシュ16表面の非晶質炭素膜の上に当該非晶質炭素膜層と水素結合及び/又は縮合反応による-O-M結合(ここで、Mは、Si、Ti、Al、及びZrから成る群より選択されるいずれかの元素。)を形成可能なカップリング剤から成る薄膜である。かかるカップリング剤には、例えば、シランカップリング剤、チタネート系カップリング剤、アルミネート系カップリング剤、及びジルコネート系カップリング剤が含まれる。これらのカップリング剤は、他の種類のカップリング剤と混合して用いることもできる。第2層は、例えば、メチルトリクロロシラン、オクチルトリクロロシラン、ジメチルジクロロシラン等のアルキルクロロシラン類、ジメチルジメトキシシラン、オクチルトリメトキシシラン等のアルキルメトキシシラン類、ヘキサメチルジシラザンおよびシリル化剤、及びシリコーン等の撥水性材料から成る薄膜である。また、上述したフッ素含有シランカップリング剤から成る薄膜を第2層として用いることもできる。第2層として利用可能な撥水性材料又は撥水・撥油性材料は、本明細書で明示されたものに限定されない。薄膜20の材料は、使用される印刷用ペーストやインクの組成(水性であるか油性であるか)、粘度、チクソ性、及び印刷時の温度や湿度等の様々な印刷条件を考慮して適宜選定される。
シランカップリング剤は、例示するまでもなく広く普及している。市販されている様々なシランカップリング剤を薄膜20の第1層として用いることができる。本発明に適用可能なシランカップリング剤の一例は、デシルトリメトキシシラン(商品名「KBM-3103」信越化学工業(株))等である。
 薄膜20を構成するチタネート系カップリング剤には、テトラメトキシチタネート、テトラエトキシチタネート、テトラプロポキシチタネート、テトライソプロポキシチタネート、テトラブトキシチタネート、イソプロピルトリイソステアロイルチタネート、イソプロピルトリデシルベンゼンスルホニルチタネート、イソプロピルトリス(ジオクチルパイロホスフェート)チタネート、テトライソプロピルビス(ジオクチルホスファイト)チタネート、テトラ(2,2-ジアリルオキシメチル-1-ブチル)ビス(ジ-トリデシル)ホスファイトチタネート、ビス(ジオクチルパイロホスフェート)オキシアセテートチタネート、ビス(ジオクチルパイロホスフェート)エチレンチタネート、イソプロピルトリオクタノイルチタネート、及びイソプロピルトリクミルフェニルチタネートが含まれる。商品名「プレンアクト38S」(味の素ファインテクノ株式会社)が市販されている。
 薄膜20を構成するアルミネート系カップリング剤には、アルミニウムアルキルアセトアセテート・ジイソプロピレート、アルミニウムエチルアセトアセテート・ジイソプロピレート、アルミニウムトリスエチルアセトアセテート、アルミニウムイソプロピレート、アルミニウムジイソプロピレートモノセカンダリーブチレート、アルミニウムセカンダリーブチレート、アルミニウムエチレート、アルミニウムビスエチルアセトアセテート・モノアセチルアセトネート、アルミニウムトリスアセチルアセトネート、及びアルミニウムモノイソプロポキシモノオレキシエチルアセトアセテートが含まれる。商品名「プレンアクトAL-M」(アルキルアセテートアルミニウムジイソプロピレート、味の素ファインテクノ(株)製)が市販されている。
 薄膜20を構成するジルコニア系カップリング剤としては、ネオペンチル(ジアリル)オキシ,トリメタクリルジルコネイト、テトラ(2,2ジアリロキシメチル)ブチル、ジ(ジトリデシル)ホスフェイトジルコネイト、及びシクロ[ジネオペンチル(ジアリル)]ピロホスフェイトジネオペンチル(ジアリル)ジルコネートが含まれる。商品名「ケンリアクトNZ01」(ケンリッチ社)が市販されている。
 上述のように、本発明の一実施形態においては、プラズマCVD法を用いて形成された非晶質炭素膜の上にフッ素含有シランカップリング剤より成る撥水・撥油層を形成するので、液体状プライマーをメッシュ16に塗布する従来の手法と比較して、メッシュ16の目開き部の閉塞を抑制することができる。このようにして形成された非晶質炭素膜は、フッ素含有シランカップリング剤と強固に結合するため、メッシュ16のうち印刷パターン開口部18から露出する部分にフッ素含有シランカップリング剤を定着性よく形成することができる。また、本発明の一実施形態において、フッ素含有シランカップリング剤より成る薄膜20は、乳剤14がメッシュ16に塗布された後に、印刷パターン開口部18に露出しているメッシュ16の一部分に形成される。したがって、乳剤14を塗布する前にメッシュ16全体に薄膜20を形成する場合と比較して、乳剤14のメッシュ16に対する定着性を向上させることができる。また、本発明の一実施形態に係る非晶質炭素膜は、乳剤14を定着性良く保持することもできる。したがって、本発明の一実施形態によって、メッシュ16の印刷ペーストに対する離型性を向上させ被印刷物に対して印刷パターンを精度良く形成することができる。
 本発明の一実施形態においては、非晶質炭素膜は、直進性の高いプラズマ(プロセス)によってメッシュ16上に形成される。このため、本発明の一実施形態に係る非晶質炭素膜は、液体状プライマーのように基体(例えばメッシュ16)の裏面等の不必要な部分へ回り込みにくい。よって、本発明の一実施形態においては、非晶質炭素膜から成るプライマー層を基体の所望の面(例えば撥水・撥油性を発現させたい孔版のプリント基板面など)のみに選択的に形成することができる。例えば、印刷用孔版において、印刷用ペーストを充填する側のスキージ面に撥水、撥油性を付与してしまうと、印刷カスレ等の不具合を引き起こすおそれがある。本発明の一実施形態によれば、直進性の高いプラズマプロセスによって非晶質炭素膜のプライマー層を形成することができるので、スキージ面と反対側の面に選択的にプライマー層を形成することができる。
 また、非晶質炭素膜は、HO、Oに対する透過バリア性を有する。フッ素含有シランカップリング剤は撥水性であり、水分の吸着を防ぐことが可能である。このため、本願のような非晶質炭素膜構造体、更にその表層にフッ素層が形成された構造体は、基体へのHOの進入を従来の非晶質炭素膜に比べてより防止することが可能となる。このため、メッシュ16をHO、Oの影響からより一層保護することが可能となり、これにより、基体自体の劣化に起因する非晶質炭素膜の剥離防止を可能とする。
 また、本発明の一実施形態に係る非晶質炭素膜は、通常の非晶質炭素膜に比べて高い親水性を示すので、一般的に水溶性である乳剤のメッシュ表面への濡れ広がりを促進し、乳剤とメッシュとの界面における気泡の発生を抑制することができる。これにより、乳剤とメッシュの界面に気泡が発生することによる乳剤の脆弱化を抑制することができる。
 また、非晶質炭素膜は、照射された紫外光の反射や散乱を抑制する性質を有する。したがって、紫外光を用いた描画装置にて乳剤14に印刷パターン開口部18を形成する際に、照射された紫外光のメッシュ16からの反射、散乱を抑制することができ、印刷パターン開口部18の寸法精度を向上させることができる。
 以上のように構成された本発明の一実施形態にかかるスクリーン版10は、乳剤14の下面26が被印刷物と対向するように配置して使用される。スクリーン版10を所定位置に配置後、上面24にはんだペーストや内部電極を構成する金属ペースト等の印刷ペーストを塗布し、スキージ(不図示)を上面24に一定圧で押し当てたまま上面24に沿ってスライドさせることにより、塗布された印刷ペーストが印刷パターン開口部18を通過し、被印刷物に転写される。スクリーン版10は、これらの印刷ペースト以外にも、印刷物印刷用のインク、染料、塗料、防錆材、接着剤、反応性活材、グリーンシート用スラリー、リソグラフィー用レジスト、感圧材、感温材、及び吸着剤等を転写するために用いられ得る。
 また、メッシュ16は、スクリーン印刷法(転写法)以外の印刷法においても用いられる印刷用孔版にも応用され得る。メッシュ16は、例えば、インクジェット等の加圧機構によって押し出されたインクを被印刷物に転写する加圧印刷法、被印刷物側を低圧とすることによりインクを転写するバキューム印刷法用の孔版に応用され得る。本発明の非晶質炭素膜が形成されたメッシュ16を用いた孔版を利用可能な印刷方法は、本明細書で例示されたものに限られない。
 続いて、上記のスクリーン版10の製造方法の一例について説明する。まず、鉄製鋳物、ステンレス鋼やアルミニウム合金から成る枠体12、及び、プラズマCVD法等により表面に非晶質炭素膜が形成されたメッシュ16を準備し、このメッシュ16を枠体12に張る。メッシュ16は、枠体12に直接取り付けてもよく、支持スクリーンを介して取り付けてもよい。次に、このメッシュ16に感光乳剤14を塗布し、フォトリソグラフィ法により印刷パターンに対応する印刷パターン開口部18を乳剤14に形成する。続いて、メッシュ16の印刷パターン開口部18において露出している部分の下面26側にフッ素含有シランカップリング剤の薄膜20を塗布し、スクリーン版10が得られる。
 図3は、本発明の一実施形態に係る多孔質シートを備える電子部品搬送装置30に備えられた吸着コレットの一部を模式的に示す図である。吸着コレット32は、任意の電子部品搬送装置に、上下及び水平方向に移動可能に設けられている。図示のとおり、吸着コレット32は、筒状に形成され、その一端が不図示の負圧源に接続されている。吸着コレット32の吸着口付近には、本発明の一実施形態に係る多孔性シート34が設けられている。電子部品36は、ウェハシート38上に載置されている。この電子部品36をウェハシートから他の作業スペースに搬送する際には、吸着コレット32を電子部品36の上に位置決めした状態で、負圧源から負圧を供給することにより、電子部品36が吸着コレット32の吸着口付近に吸着される。次に、電子部品36を吸着したまま、吸着コレットを所定の作業スペースまで移動させ、当該作業スペースにおいて負圧の供給を停止することにより、電子部品36を所定の作業スペースまで運搬することができる。このような吸着コレット32は、例えば、特開2011-014582号公報等に記載されており、当業者にとって、その詳細な構成や動作は自明であるため、本明細書においてはその詳細な説明を省略する。また、吸着コレット32は、電子部品以外にもグリーンシート等の様々な部材の搬送に用いられる。
 多孔性シート34は、例えば、ポリプロピレンなどの合成樹脂、ステンレス鋼等の金属、ジルコニア等のセラミクス、通気性の確保された包帯等の織物、不織布、又はこれらの複合体で構成されており、上述したスクリーン印刷用メッシュ16と同様に目開き部分を有する。多孔性シート34の表面には、本発明の一実施形態に係る非晶質炭素膜がプライマー層として形成され、この非晶質炭素膜の上にフッ素含有シランカップリング剤が形成される。この非晶質炭素膜は、ケイ素、酸素、又は窒素のうち少なくとも1つの元素を含有する。この非晶質炭素膜は、上述したメッシュ16上に形成される非晶質炭素膜と同様の方法で、同様の組成を有するように形成される。したがって、この本発明の一実施形態に係る非晶質炭素膜から成るプライマー層は、多孔性シート34の目開き部分を閉塞しないように形成できる。本発明の一実施形態に係る非晶質炭素膜は、多孔性シート34のうち電子部品36が吸着される部分に選択的に形成される。これにより、多孔性シート34と吸着コレット32との接触部分には非晶質炭素膜(及びフッ素含有シランカップリング剤)を形成せず、多孔性シート34と吸着コレット32との接着性を確保することができる。
 多孔性シート34は、本発明の一実施形態に係る非晶質炭素膜をプライマー層としてフッ素含有シランカップリング剤を強固に保持することができるので、平滑性に優れた表面特性を有し、摩擦係数や相手攻撃性が低く、スズやアルミニウムなどの軟質金属への凝着付着防止性が高く、高い耐摩耗性を有する。これにより、電子部品36を搬送する際に、電子部品の多孔性シート34への張り付き、多孔性シートの空孔部へのゴミや異物吸引による目詰まり等を抑制することができ、電子部品36の搬送効率を向上させることができる。多孔性シート34は、その表面に凹凸構造を有する。この凹部に形成されたフッ素含有シランカップリング剤は、凸部に形成された非晶質炭素膜によって外部から作用する応力から保護されるので、多孔性シート34の撥水・撥油性の持続性が非常に高い。
 上述したスクリーン印刷用メッシュ及び電子部品搬送装置用多孔質シートは、本発明の非晶質炭素材料から成るプライマー組成物を適用する一例に過ぎず、本発明の非晶質炭素材料から成るプライマー組成物は、液体状プライマーによる目詰まりが発生するあらゆるワークに用いることができる。
 以下の述べる方法により、本発明の一実施形態において、フッ素含有シランカップリング剤が非晶質炭素膜上に定着性良く形成されることを確認した。まず、Si、O、又はNのうち少なくとも1つの元素を含む非晶質炭素膜をステンレス鋼(SUS304 2B品)表面に形成し、これらの非晶質炭素膜にフッ素コーティング(フッ素含有シランカップリング剤)を施した試料(実施例1~9)を作製した。そして、各試料におけるフッ素コーティング層の定着性を調査するため、各試料についてミネラルスピリット(油)及び水(純水)との接触角の測定を行った。フッ素含有シランカップリング剤が非晶質炭素膜上に保持されていれば、その撥油性及び撥水性によりミネラルスピリット及び水との接触角は高くなるので、この接触角を測定することによりフッ素含有シランカップリング剤が非晶質炭素膜上に保持されているか否かを確認することができる。
1.試料の作製
 まず、メッシュ16の素材として用いられるステンレス鋼(SUS304)から成る基材を、各試料の基材として準備した。このステンレス鋼(SUS304)基材は、1辺が30mm、厚さが1mm、表面粗さRaが0.034μmの矩形のものを準備した。ステンレス鋼(SUS304)板には基材表面を均一に平滑化するため電解研摩処理を行った。
(1)実施例1の試料の作成
 高圧パルスプラズマCVD装置に上記ステンレス鋼(SUS304)基材を2点投入し、1x10-3Paまで真空減圧した後、アルゴンガスプラズマで当該基材を約5分クリーニングした。アルゴンガスプラズマでのクリーニングは、各実施例、比較例いずれも、アルゴンガス流量15SCCM,ガス圧1Pa,印加電圧-4kVパルス周波数2kHz、パルス幅50μs、5分間の条件で行なった。クリーニング後にアルゴンガスを排気し、続いて、流量15SCCMのアルゴン、及び、流量10SCCMのテトラメチルシランを、反応容器内のガス圧が1.5Paになるように反応容器に導入し、印加電圧-4kV、パルス周波数2kHz、パルス幅50μsの条件で非晶質炭素膜を30分間成膜した。このようにして成膜した非晶質炭素膜の表面に、フッ素含有シランカップリング剤であるフロロサーフ社のFG-5010Z130-0.2の溶液(フッ素樹脂0.02~0.2%、フッ素系溶剤99.8%~99.98%)をディップ塗布し、2日間、室温、湿度約50%、(以下各実施例、比較例とも同条件)にて乾燥させて、実施例1の試料を得た。
(2)実施例2の試料の作成
 高圧パルスプラズマCVD装置に上記ステンレス鋼(SUS304)基材を2点投入し、1x10-3Paまで真空減圧した後、アルゴンガスプラズマで基材を約5分間クリーニングした。クリーニング後にアルゴンガスを排気し、続いて、流量15SCCMのアルゴン、及び、流量10SCCMのテトラメチルシランを、反応容器内のガス圧が1.5Paになるように反応容器に導入し、印加電圧-4kV、パルス周波数2kHz、パルス幅50μsの条件で30分間成膜した。次に、原料ガスを排気し、その後、流量14SCCMの酸素ガスを、ガス圧が1.5Paになるように反応容器に導入し、印加電圧-3kV、パルス周波数2kHz、パルス幅50μsの条件で酸素プラズマを非晶質炭素膜に5分間照射した。次に、酸素プラズマの照射後の非晶質炭素膜の表面にフッ素含有シランカップリング剤であるフロロサーフ社のFG-5010Z130-0.2の溶液(フッ素樹脂0.02~0.2%、フッ素系溶剤99.8%~99.98%)をディップ塗布し、2日間、室温にて乾燥させて、実施例2の試料を得た。
(3)実施例3の試料の作成
 まず、実施例1と同様にアルゴン及びテトラメチルシランを用いて非晶質炭素膜を成膜した。続いて、原料ガスを排気した後、流量15SCCMの窒素ガスを、反応容器内のガス圧が1.5Paとなるように反応容器に導入し、印加電圧-4kV、パルス周波数2kHz、パルス幅50μsの条件で窒素プラズマを非晶質炭素膜に5分間照射した。次に、窒素プラズマ照射後の非晶質炭素膜に、実施例1と同様に、フッ素含有シランカップリング剤をディップ塗布し、2日間、室温にて乾燥させて、実施例3の試料を得た。
(4)実施例4の試料の作成
 実施例1と同様にアルゴン及びテトラメチルシランを用いて非晶質炭素膜を成膜した。続いて、原料ガスを排気した後、流量15SCCMの窒素ガスを、反応容器内のガス圧が1.5Paとなるように反応容器に導入し、印加電圧-4kV、パルス周波数2kHz、パルス幅50μsの条件で窒素プラズマを非晶質炭素膜に5分間照射した。次に、窒素ガスを排気し、流量14SCCMの酸素ガスを、反応容器内のガス圧が1.5Paとなるように反応容器に導入し、印加電圧-3kV、パルス周波数2kHz、パルス幅50μsの条件で酸素プラズマを非晶質炭素膜に5分間照射した。この窒素プラズマ及び酸素プラズマ照射後の非晶質炭素膜に、実施例1と同様にして、フッ素含有シランカップリング剤をディップ塗布し、2日間、室温にて乾燥させて、実施例4の試料を得た。
(5)実施例5の試料の作成
 高圧パルスプラズマCVD装置に上記ステンレス鋼(SUS304)基材を2点投入し、1x10-3Paまで真空減圧した後、アルゴンガスプラズマで当該基材をクリーニングした。クリーニング後にアルゴンガスを排気し、続いて、反応容器内の圧力が1.5Paとなるように、流量15SCCMのテトラメチルシラン及び流量0.7SCCMの酸素ガスを反応容器に導入し、印加電圧-4kV、パルス周波数2kHz、パルス幅50μsの条件で30分間成膜した。酸素ガスは、テトラメチルシランとの流量混合比が4.5%となるよう調整した。このようにして成膜した非晶質炭素膜の表面に、実施例1と同様に、フッ素系シランカップリング剤をディップ塗布し、2日間、室温にて乾燥させて、実施例5の試料を得た。
(6)実施例6の試料の作成
 高圧パルスプラズマCVD装置に上記ステンレス鋼(SUS304)基材を2点投入し、1x10-3Paまで真空減圧した後、アルゴンガスプラズマで当該基材をクリーニングした。クリーニング後にアルゴンガスを排気し、続いて、反応容器内の圧力が1.5Paとなるように、流量15SCCMのテトラメチルシラン及び流量1.4SCCMの酸素ガスを反応容器に導入し、印加電圧-4kV、パルス周波数2kHz、パルス幅50μsの条件で30分間成膜した。酸素ガスは、テトラメチルシランとの流量混合比が8.5%となるよう調整した。このようにして成膜した非晶質炭素膜の表面に、実施例1と同様に、フッ素系シランカップリング剤をディップ塗布し、2日間、室温にて乾燥させて、実施例6の試料を得た。
(7)実施例7の試料の作成
 高圧パルスプラズマCVD装置に上記ステンレス鋼(SUS304)基材を2点投入し、1x10-3Paまで真空減圧した後、アルゴンガスプラズマで当該基材をクリーニングした。クリーニング後にアルゴンガスを排気し、続いて、反応容器内のガス圧が1.5Paとなるように、流量15SCCMのアルゴン、及び、流量10SCCMのテトラメチルシランを反応容器内に導入して印加電圧-4kV、パルス周波数2kHz、パルス幅50μsの条件で約10分間成膜した。これにより、基材表面にSiを含有する非晶質炭素膜を下地中間層として形成した。次に、アルゴン、及びテトラメチルシランガスを排気した後、反応容器内のガス圧力が1.5Paとなるように、流量20SCCMのアセチレンを反応容器に導入し、印加電圧-4kV、パルス周波数2kHz、パルス幅50μsの条件で30分間成膜を行った。これにより、下地中間層の表面にSiを含まない非晶質炭素膜を形成した。原料ガスを排気した後、反応容器内のガス圧1.5Paとなるように流量14SCCMの酸素ガスを反応容器に導入し、印加電圧-4kV、パルス周波数2kHz、パルス幅50μsの条件で酸素プラズマを5分間非晶質炭素膜に照射した。この酸素プラズマ照射後の非晶質炭素膜の表面に、実施例1と同様にして、フッ素含有シランカップリング剤をディップ塗布し、2日間、室温にて乾燥させて、実施例7の試料を得た。
(8)実施例8の試料の作成
 実施例7と同様にしてステンレス鋼(SUS304)基材にSiを含有する非晶質炭素膜を下地中間層として形成し、この下地中間層の表面にSiを含まない非晶質炭素膜を形成した。本実施例においては、原料ガスを排気した後、反応容器内のガス圧1.5Paとなるように流量14SCCMの窒素ガスを反応容器に導入し、印加電圧-4kV、パルス周波数2kHz、パルス幅50μsの条件で窒素プラズマを5分間非晶質炭素膜に照射した。この窒素プラズマ照射後の非晶質炭素膜の表面に、実施例1と同様にして、フッ素系シランカップリング剤をディップ塗布し、2日間、室温にて乾燥させて、実施例8の試料を得た。
(9)実施例9の試料の作成
 実施例7と同様にしてステンレス鋼(SUS304基材)にSiを含有する非晶質炭素膜を下地中間層として形成し、この下地中間層の表面にSiを含まない非晶質炭素膜を形成した。本実施例においては、原料ガスを排気した後、反応容器内のガス圧1.5Paとなるように流量14SCCMの窒素ガスを反応容器に導入し、印加電圧-4kV、パルス周波数2kHz、パルス幅50μsの条件で窒素プラズマを5分間非晶質炭素膜に照射した。次に、窒素ガスを排気し、流量14SCCMの酸素ガスを、反応容器内のガス圧が1.5Paとなるように反応容器に導入し、印加電圧-3kV、パルス周波数2kHz、パルス幅50μsの条件で酸素プラズマを非晶質炭素膜に5分間照射した。この窒素プラズマ及び酸素プラズマ照射後の非晶質炭素膜の表面に、実施例1と同様にして、フッ素含有シランカップリング剤をディップ塗布し、2日間、室温にて乾燥させて、実施例9の試料を得た。
(10)比較例1の試料の作成
 実施例7と同様にしてSUS304基材にSiを含有する非晶質炭素膜を下地中間層として形成した。本比較例においては、原料ガスを排気した後、反応容器内のガス圧1.5Paとなるように流量20SCCMのアセチレンを反応容器に導入し、印加電圧-4kV、パルス周波数2kHz、パルス幅50μsの条件で30分間成膜した。このようにして、下地中間層の上にSiを含まない非晶質炭素膜を成膜した。このSiを含まない非晶質炭素膜に、実施例1と同様にして、フッ素含有シランカップリング剤をディップ塗布し、2日間、室温にて乾燥させて、比較例1の試料を得た。
2.濡れ性の測定
 次に、上記実施例1~7と比較例1の試料それぞれについて、ミネラルスピリット(油)との濡れ性を測定した。測定は、Fibro system社製の携帯式接触角計PG-X(モバイル接触角計)を使用して、室温25℃、湿度30%の環境にて行った。フッ素含有シランカップリング剤の非晶質炭素膜に対する定着性を調べるために、実施例1~7と比較例1の各試料をアセトンに投入して120分間超音波洗浄を行い、各試料について、超音波洗浄後のミネラルスピリットとの接触角を測定した。超音波洗浄を行う際には、各試料を60分連続して超音波洗浄した後、60分間超音波洗浄を止めて放置し、その後60分間超音波洗浄を行った。なお、比較例1の試料については、短時間の超音波洗浄でフッ素含有シランカップリング剤が脱落すると想定されたため、超音波洗浄を5分間だけ行い、その5分間の超音波洗浄後の接触角を測定した。超音波洗浄は、株式会社エスエヌディ製の商品名US-20KS(発振38kHz(BLT 自励発振)、高周波出力480W)を使用して行った。超音波洗浄を行うことにより、圧電振動子からの振動によってアセトン中にキャビティ(空洞)が発生し、このキャビティが基材表面でつぶれるときに基材表面に対して大きな物理的衝撃力を発生させるので、非晶質炭素膜との結合が弱いフッ素含有シランカップリング剤は基材表面から剥離する。したがって、超音波洗浄後の基材表面における接触角を調べることにより、フッ素シランカップリング剤とその下層である非晶質炭素膜との密着性を確認することができる。
 図4は、実施例1~7及び比較例1のミネラルスピリットとの接触角の測定結果を示すグラフであり、基板上の16ヶ所の測定位置において測定した接触角の平均値を示す。図示の通り、比較例1の試料については、5分間の超音波洗浄により接触角が約40°まで低下した。一方、実施例1~7の試料については、120分間の超音波洗浄後でも45°以上の接触角を保った。また、実施例1~7の試料については、各測定位置毎の接触角に於いて十分な撥油性が確認でき、撥油性を喪失したことを示すような低い接触角を示す測定位置は存在しなかった。特に、非晶質炭素膜にSiを含む実施例1~6の資料については、いずれも平均値で50°以上の接触角を維持した。このように、各実施例について、試料表面に撥水・撥油性を発揮するために十分な量のフッ素含有シランカップリング剤が残存していることが確認された。
 次に水(純水)との濡れ性を測定した。測定装置、環境は上記同様である。実施例1~9と比較例1の各試料をアセトンに投入して5分間超音波洗浄を行い、各試料について、超音波洗浄後の水との接触角を測定した。図5は、実施例1~9、並びに、比較例1の水との接触角の測定結果を示すグラフであり、基板上の10ヶ所の測定位置において測定した接触角の平均値を示す。図示の通り、比較例1の接触角は約90°であるのに対し、実施例1~9の接触角はいずれも105°以上であり、各実施例について試料表面に撥水・撥油性を発揮するために十分な量のフッ素含有シランカップリング剤が残存していることが確認された。
以上の検証結果から、実施例1~9に示した非晶質炭素膜及びフッ素含有シランカップリング剤から成る膜構造をスクリーン印刷用メッシュに応用することにより、当該メッシュの印刷ペーストに対する離型性を向上させ、印刷ペーストのメッシュへの残存を抑制することができる。
 図6は、5分間超音波洗浄を行った比較例1の表面の複数の位置(測定ポイント)においてミネラルスピリットとの接触角を測定した結果を示すグラフであり、図7は、120分間超音波洗浄を行った実施例7の表面の複数の位置(測定ポイント)においてミネラルスピリットとの接触角を測定した結果を示すグラフである。図6及び図7から明らかなように、比較例1については、接触角の測定ポイントごとのバラツキ(Max(最大値)-Min(最小値)の幅)の幅が大きく、フッ素含有シランカップリング剤が部分的に剥離していることが確認できる。実施例7については、相対的に均一な接触角が得られた。
 上記実施例2~6では、ケイ素を含む非晶質炭素膜を成膜後、反応容器を真空ブレイクすることなく、ケイ素を含む原料ガスの排気、酸素及び/又は窒素の導入、及びプラズマ照射を行っているが、ケイ素を含む非晶質炭素膜を作成した後、反応容器を常圧に戻し、その後再度反応容器を真空状態として、酸素及び/又は窒素を導入してもよい。このように、プラズマ照射前に反応容器を常圧に戻した場合であっても、水及びミネラルスピリットとの接触角は、上記実施例とほぼ同様の数値を示すことを確認した。
 次に、以下に示す方法によって、本発明の一実施形態に係るメッシュの目開き(開口部)部分が印刷ペーストによって実質的に閉塞されないことを確認した。まず、210mm×210mmに切り出したステンレス鋼製のメッシュ(#500-19)を3枚準備した。このメッシュは、1インチの幅に500本のステンレス鋼の線材が存在し、該ステンレス鋼糸の線径が19μmである。このメッシュの目開きの開口幅は概ね30μm前後である。このメッシュ(#500-19)は市販されたものを容易に購入することができる。このメッシュ(#500-19)に乳剤を塗布し、その乳剤に電子回路用の印刷パターンを形成すると、印刷パターンの貫通孔(例えば印刷パターン開口部18)からメッシュの一部分が露出する。
 次に、準備した3枚のメッシュ(#500-19)のうちの1枚を、320mm×320mmの鉄製鋳物枠体の4辺に接着した。次に、この枠体を水平に配置し、メッシュ(#500-19)部分にフッ素含有シランカップリング剤の固定用液体プライマー、フロロテクノロジー社製フロロサーフ専用プライマーコートPC-2を株式会社旭化成製のBEMCOT CLEAN WIPE-P(不織布)に含ませて塗布した。次に、このプライマーコートPC-2が塗布された枠体を、室温、湿度50%の恒温槽に水平に配置し、60分間乾燥させ、比較例2の試料を得た。
 また、準備した3枚のメッシュ(#500-19)のうちの1枚を70mm×30mmの大きさに切断し、この70mm×30mmに切断したメッシュ(#500-19)にフロロテクノロジー社製のプライマーPC-2をフローコートした。プライマーPC-2が形成されたメッシュ(#500-19)を、室温、湿度50%の恒温槽にて垂直に配置して60分間乾燥させ、比較例3の試料を得た。
 準備した3枚のメッシュ(#500-19)のうちの残りの1枚に、以下の方法により非晶質炭素膜を形成した。まず、高圧パルスプラズマCVD装置の電極部にメッシュ(#500-19)をセットし、当該CVD装置の排気を行った。CVD装置の反応容器を1x10-3Paまで真空減圧した後、アルゴンガスプラズマでメッシュ(#500-19)を約5分間クリーニングした。アルゴンガスプラズマでのクリーニングは、アルゴンガス流量30SCCM,ガス圧2Pa,印加電圧-4kV、パルス周波数10kHz、パルス幅10μsの条件で行なった。クリーニング後にアルゴンガスを排気し、続いて、流量30SCCMにてトリメチルシランを、反応容器内のガス圧が1.5Paになるように導入し、印加電圧-4kV、パルス周波数10kHz、パルス幅10μsの条件で、メッシュ(#500-19)にSiを含有する非晶質炭素膜を15分間成膜した。その後、比較例2と同様に鉄製鋳物枠体に接着した。このようにして非晶質炭素膜プライマー層が形成されたメッシュ(#500-19)が接着された枠体を、比較例2と同様に、室温、湿度50%の恒温槽に水平に配置し、60分間乾燥させて実施例10の試料を得た。
 次に、比較例2、3及び実施例10の各試料をCCDカメラで撮影し、各試料のメッシュ開口部が閉塞しているか確認した。図8~図9は、これらの試料をCCDカメラを用いて500倍の倍率で撮影した写真である。図8は比較例2の試料を撮影した写真、図9は比較例3の試料を撮影した写真、図10は実施例10の試料を撮影した写真である。図8及び図9の写真に示されているように、比較例2及び3の試料のメッシュの目開き部には、液体プライマーPC-2が濡れ広がり、開口部の一部が閉塞していることが確認された。一方、図10の写真に示されているように、実施例10の試料においては、非晶質炭素膜のプライマー層による目詰まりは認められなかった。
 以上により、本発明の一実施形態に係るスクリーン印刷用メッシュにおいては、目開きに閉塞が発生しないことが確認できた。
 次に、以下に示す方法によって、本発明の一実施形態係るメッシュが、乳剤と強固に結合することを確認した。まず、300mm×300mmの矩形のステンレス鋼製メッシュ(#500-19)を2枚準備した。このメッシュ(#500-19)の1枚に、以下のようにして、非晶質炭素膜を成膜した。すなわち、まず、準備したメッシュ(#500-19)を高圧パルスプラズマCVD装置に投入し、このCVD装置を1x10-3Paまで真空減圧した。次に、この真空減圧後のCVD装置に、流量30SCCM、ガス圧2Paでアルゴンガスを導入し、印加電圧-4kV、パルス周波数10kHz、パルス幅10μsの条件で、アルゴンガスプラズマによりメッシュ(#500-19)をクリーニングした。次に、アルゴンガスを排気した後、CVD装置に、流量30SCCM、ガス圧2Paでトリメチルシランを導入し、印加電圧-4kV、パルス周波数10kHz、パルス幅10μsの条件で10分間成膜処理を行い、メッシュ(#500-19)の表面にSiを含む非晶質炭素膜を形成した。次に、トリメチルシランガスをCVD装置から排気した後、流量30SCCM、ガス圧2Paの酸素ガスをCVD装置に導入し、印加電圧-3kV、パルス周波数10kHz、パルス幅10μsの条件で、非晶質炭素膜が形成されたメッシュ(#500-19)に酸素プラズマを3分間照射し、実施例12の試料を得た(実施例11は欠番)。実施例12の試料の非晶質炭素膜は、Si及びOを含有している。
 また、メッシュ(#500-19)の残りの1枚に、以下のようにして、非晶質炭素膜を成膜した。まず、準備したメッシュ(#500-19)を高圧パルスプラズマCVD装置に投入し、このCVD装置を1x10-3Paまで真空減圧した。次に、この真空減圧後のCVD装置に、流量30SCCM、ガス圧2Paでアルゴンガスを導入し、印加電圧-4kV、パルス周波数10kHz、パルス幅10μsの条件で、アルゴンガスプラズマによりメッシュ(#500-19)をクリーニングした。次に、アルゴンガスを排気した後、CVD装置に、流量30SCCM、ガス圧2Paのトリメチルシランを導入し、印加電圧-4kV、パルス周波数10kHz、パルス幅10μsの条件で5分間成膜処理を行い、メッシュ(#500-19)の表面に非晶質炭素膜の中間層を形成した。次に、トリメチルシランガスをCVD装置から排気した後、流量30SCCM、ガス圧2PaのアセチレンガスをCVD装置に導入し、印加電圧-4kV、パルス周波数10kHz、パルス幅10μsの条件で、6分間成膜処理を行い、非晶質炭素膜の中間層が形成されたメッシュ(#500-19)の上に、Si、O、Nをいずれも実質的に含まない非晶質炭素膜を成膜し、比較例4の試料を得た。比較例4の試料の表面に露出している非晶質炭素膜は、非晶質炭素膜が大気中に存在することによる大気などからの付着などを除いてSi、O、Nをいずれも実質的に含有しない。
 次に、実施例12及び比較例4のメッシュを、450mm×450mmの鉄製鋳物枠体に、ポリエステルメッシュを介してそれぞれ取り付けた。次に、この枠体に取り付けられた実施例12及び比較例4のメッシュそれぞれに、乳剤厚が概ね5μmの厚さになるように塗布した。この乳剤は、主要成分が概ね酢酸ビニル系エマルジョン13%、ポリビニルアルコール8%、光重合性樹脂14%、水65%の成分で構成されるものを使用した。このように乳剤が全面に塗布された実施例12及び比較例4のメッシュをカッターナイフでそれぞれ切り取り、この切り取った実施例12及び比較例4のメッシュについて、以下の条件で、引っ張り試験を行った。
 引っ張り試験条件
 試験機:インストロン社 5865型
 つかみ長さ:60mmストリップ幅:10mm
 延伸率の測定:ビデオカメラ伸び計により試料に表示した標点間の伸び率を測定
 引っ張り試験は、上記のようにして切り取った実施例12及び比較例4のメッシュ両端をクランプして引っ張り、延伸前と延伸後(延伸率3%時)において、各メッシュに塗布された乳剤のボイド数を倍率1000倍のCCDカメラで同一視野範囲にて観察することにより行った。このボイド(気泡)数が多いほど、乳剤がメッシュから部分的に剥離を起こしていることになる。このようにしてCCDカメラにより撮影した比較写真を図11に示す。図11は、延伸前と延伸後における、比較例4の試料及び実施例12の試料の表面を倍率1000倍のCCDカメラで撮影した写真である。図11の写真には、乳剤がメッシュから部分的に剥離したことにより発生した気泡が示されている。図11においては、気泡の位置が矢印で示されている。
 実施例12及び比較例4のそれぞれについて、図11の写真に基づいて計数したボイドの数を表1に示す。
Figure JPOXMLDOC01-appb-T000001
 表1に示すとおり、実施例12においては、比較例4と比較して、延伸前からボイド数が少ないことが確認された。また、延伸後の実施例12におけるボイドの数は、比較例4において観察されたボイド数よりも大幅に少ない。このように、実施例12においては、比較例4に比べて、乳剤のメッシュへの定着性が優れていることが確認できた。
 以上説明したように、実施例1~9の試料とミネラルスピリット又は水との接触角の測定結果から、本発明の実施形態に係るスクリーン印刷用メッシュにフッ素含有シランカップリング剤を定着性よく形成できることが確認された。また、実施例10の試料の表面をCCDカメラで観察した結果から、本発明の実施形態に係るスクリーン印刷用メッシュにおいては、目開き部分に閉塞が生じないことが確認できた。また、実施例12の試料におけるボイドの観察結果から、本発明の一実施形態に係るスクリーン印刷用メッシュは、乳剤を定着性良く保持できることが確認できた。
 10:スクリーン版
 12:枠体
 14:乳剤
 16:メッシュ
 18:印刷パターン開口部
 30:電子部品搬送装置
 32:吸着コレット
 34:多孔性シート34

Claims (14)

  1.  ケイ素、酸素、又は窒素のうち少なくとも1つの元素を含有する非晶質炭素材料から成るプライマー組成物。
  2.  基材と、
     前記基材上に直接又は間接に形成され、ケイ素、酸素、又は窒素のうち少なくとも1つの元素を含有する非晶質炭素膜層と、
     を備える構造体。
  3.  前記非晶質炭素膜層が、窒素もしくは酸素、又は、窒素と酸素との混合物を用いてプラズマ処理される請求項2に記載の構造体。
  4.  前記基材が印刷用孔版に用いられるメッシュ本体である請求項2に記載の構造体。
  5.  前記基材がスクリーン印刷に用いられるメッシュ本体である請求項4に記載の構造体。
  6.  前記基材が、多孔質シート本体である請求項2に記載の構造体。
  7.  印刷用メッシュ本体と、
     前記印刷用メッシュ本体に直接又は間接に形成され、ケイ素、酸素、又は窒素のうち少なくとも1つの元素を含有する非晶質炭素膜層と、
     前記非晶質炭素膜層に形成された撥水層又は撥水・撥油層と、
     を備える印刷用孔版。
  8.  前記撥水層又は前記撥水・撥油層が、フッ素含有カップリング剤から成る薄膜である、請求項7に記載の印刷用孔版。
  9.  前記撥水層又は前記撥水・撥油層が、フッ素含有シランカップリング剤から成る薄膜である、請求項7に記載の印刷用孔版。
  10.  前記撥水層又は撥水・撥油層が、
     前記非晶質炭素膜層と水素結合及び/又は縮合反応による-O-M結合(ここで、Mは、Si、Ti、Al、及びZrから成る群より選択されるいずれかの元素。)を形成可能なカップリング剤を主成分とし、前記非晶質炭素膜に形成された第1層と、
     撥水材料又は撥水・撥油材料を主成分とし、前記第1層に形成された第2層と、
     を備える、
     請求項7に記載の印刷用孔版。
  11.  前記カップリング剤が、シランカップリング剤、チタネート系カップリング剤、アルミネート系カップリング剤、及びジルコネート系カップリング剤から成る群より選択されるカップリング剤である、
     請求項10に記載の印刷用孔版。
  12.  前記印刷用メッシュ本体に形成された乳剤層をさらに備え、
     前記非晶質炭素膜層が前記乳剤層に形成された、
     請求項7に記載の印刷用孔版。
  13.  基材を準備する工程と、
     前記基材に、ケイ素、酸素、又は窒素のうち少なくとも1つの元素を含有する非晶質炭素膜層を直接又は間接に形成する工程と、
     を備える構造体の製造方法。
  14.  前記非晶質炭素膜が、窒素もしくは酸素、又は、窒素と酸素との混合物を用いてプラズマ処理する工程をさらに備える請求項13に記載の構造体の製造方法。
PCT/JP2012/066925 2011-07-01 2012-07-02 プライマー組成物、該組成物から成るプライマー層を含む構造体、及び該構造体の製造方法 WO2013005726A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN201280031667.7A CN103648789B (zh) 2011-07-01 2012-07-02 底漆组合物、包括由该组合物构成的底漆层的结构体、和制备该结构体的方法
KR1020137029848A KR101553934B1 (ko) 2011-07-01 2012-07-02 프라이머 조성물, 해당 조성물로 이루어지는 프라이머층을 포함하는 구조체, 및 해당 구조체의 제조 방법
JP2013523017A JP5802752B2 (ja) 2011-07-01 2012-07-02 プライマー組成物、該組成物から成るプライマー層を含む構造体、及び該構造体の製造方法
US14/129,846 US20140130687A1 (en) 2011-07-01 2012-07-02 Primer composition, structure including primer layer composed of the composition, and method of producing the structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011147669 2011-07-01
JP2011-147669 2011-07-01

Publications (1)

Publication Number Publication Date
WO2013005726A1 true WO2013005726A1 (ja) 2013-01-10

Family

ID=47437075

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2012/066925 WO2013005726A1 (ja) 2011-07-01 2012-07-02 プライマー組成物、該組成物から成るプライマー層を含む構造体、及び該構造体の製造方法

Country Status (6)

Country Link
US (1) US20140130687A1 (ja)
JP (1) JP5802752B2 (ja)
KR (1) KR101553934B1 (ja)
CN (1) CN103648789B (ja)
TW (1) TWI532794B (ja)
WO (1) WO2013005726A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014148479A1 (ja) * 2013-03-19 2014-09-25 太陽化学工業株式会社 防汚用の非晶質炭素膜を備える構造体及び防汚用の非晶質炭素膜の形成方法
WO2014189026A1 (ja) * 2013-05-20 2014-11-27 太陽化学工業株式会社 濡れ性を良くする表面改質処理がなされた構造体及び印刷用孔版、これらを製造する方法
JP2015089672A (ja) * 2013-11-07 2015-05-11 株式会社Nbcメッシュテック 印刷用金属メッシュ織物および印刷用スクリーン版

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JPWO2015115399A1 (ja) * 2014-01-28 2017-03-23 太陽誘電ケミカルテクノロジー株式会社 炭素膜を備える構造体及び炭素膜を形成する方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2017044354A1 (en) * 2015-09-09 2017-03-16 Pepsico, Inc. Process for providing polymers comprising hexagonal boron nitride
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN110234412A (zh) * 2017-02-16 2019-09-13 惠普深蓝有限责任公司 冷凝汽化流体
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11840799B2 (en) 2018-03-14 2023-12-12 Nbc Meshtec Inc. Mesh member, sieve, and screen printing plate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11112181B2 (en) * 2019-04-22 2021-09-07 Fria, Llc Body cooling system
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
WO2021199834A1 (ja) * 2020-03-31 2021-10-07 Toto株式会社 衛生設備部材
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002067267A (ja) * 2000-08-24 2002-03-05 Citizen Watch Co Ltd スクリーン印刷機およびそれに用いる印刷マスクの製造方法
JP2006161075A (ja) * 2004-12-03 2006-06-22 Shinko Seiki Co Ltd 硬質炭素膜およびその形成方法
JP2006347062A (ja) * 2005-06-17 2006-12-28 Fluoro Technology:Kk スクリーン印刷版の版膜面処理剤
JP2008141009A (ja) * 2006-12-01 2008-06-19 Tokyo Electron Ltd アモルファスカーボン膜、半導体装置、成膜方法、成膜装置及び記憶媒体
JP2008174790A (ja) * 2007-01-18 2008-07-31 Plasma Ion Assist Co Ltd 金属繊維織物の表面処理方法及びその物品
JP2009045867A (ja) * 2007-08-21 2009-03-05 Fluoro Technology:Kk スクリーン印刷版の版面処理剤
JP2009274745A (ja) * 2008-05-15 2009-11-26 Hokkai Can Co Ltd ポリエステル樹脂製容器
JP2010069835A (ja) * 2008-09-22 2010-04-02 Mitani Micronics Kyushu Co Ltd スクリーン印刷用マスク及びその製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69218811T2 (de) * 1991-01-23 1997-07-17 Matsushita Electric Ind Co Ltd Wasser- und ölabweisender adsorbierter Film und Verfahren zu dessen Herstellung
JP3530676B2 (ja) * 1995-04-26 2004-05-24 キヤノン株式会社 光受容部材の製造方法、該光受容部材、該光受容部材を有する電子写真装置及び該光受容部材を用いた電子写真プロセス
JPH08337874A (ja) * 1995-06-13 1996-12-24 Matsushita Electric Ind Co Ltd 基材表面被覆層及びその形成方法並びに熱交換器用フィン及びその製造方法。
JPH11245371A (ja) * 1998-02-27 1999-09-14 Sanyo Electric Co Ltd マスク、及びスキージ
AU4566400A (en) * 1999-06-08 2000-12-28 N.V. Bekaert S.A. A doped diamond-like carbon coating
JP2004022025A (ja) * 2002-06-13 2004-01-22 Hitachi Ltd 磁気記録媒体及びその製造方法とそれを用いた磁気記憶装置
CN101378850A (zh) * 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
JP2009211791A (ja) * 2008-03-06 2009-09-17 Hitachi Global Storage Technologies Netherlands Bv 磁気記録媒体の製造方法及び製造装置
JP2011014582A (ja) * 2009-06-30 2011-01-20 Tesetsuku:Kk 電子部品用搬送装置

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002067267A (ja) * 2000-08-24 2002-03-05 Citizen Watch Co Ltd スクリーン印刷機およびそれに用いる印刷マスクの製造方法
JP2006161075A (ja) * 2004-12-03 2006-06-22 Shinko Seiki Co Ltd 硬質炭素膜およびその形成方法
JP2006347062A (ja) * 2005-06-17 2006-12-28 Fluoro Technology:Kk スクリーン印刷版の版膜面処理剤
JP2008141009A (ja) * 2006-12-01 2008-06-19 Tokyo Electron Ltd アモルファスカーボン膜、半導体装置、成膜方法、成膜装置及び記憶媒体
JP2008174790A (ja) * 2007-01-18 2008-07-31 Plasma Ion Assist Co Ltd 金属繊維織物の表面処理方法及びその物品
JP2009045867A (ja) * 2007-08-21 2009-03-05 Fluoro Technology:Kk スクリーン印刷版の版面処理剤
JP2009274745A (ja) * 2008-05-15 2009-11-26 Hokkai Can Co Ltd ポリエステル樹脂製容器
JP2010069835A (ja) * 2008-09-22 2010-04-02 Mitani Micronics Kyushu Co Ltd スクリーン印刷用マスク及びその製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014148479A1 (ja) * 2013-03-19 2014-09-25 太陽化学工業株式会社 防汚用の非晶質炭素膜を備える構造体及び防汚用の非晶質炭素膜の形成方法
JPWO2014148479A1 (ja) * 2013-03-19 2017-02-16 太陽誘電ケミカルテクノロジー株式会社 防汚用の非晶質炭素膜を備える構造体及び防汚用の非晶質炭素膜の形成方法
WO2014189026A1 (ja) * 2013-05-20 2014-11-27 太陽化学工業株式会社 濡れ性を良くする表面改質処理がなされた構造体及び印刷用孔版、これらを製造する方法
JP6067846B2 (ja) * 2013-05-20 2017-01-25 太陽誘電ケミカルテクノロジー株式会社 濡れ性を良くする表面改質処理がなされた構造体及び印刷用孔版、これらを製造する方法
JPWO2014189026A1 (ja) * 2013-05-20 2017-02-23 太陽誘電ケミカルテクノロジー株式会社 濡れ性を良くする表面改質処理がなされた構造体及び印刷用孔版、これらを製造する方法
JP2015089672A (ja) * 2013-11-07 2015-05-11 株式会社Nbcメッシュテック 印刷用金属メッシュ織物および印刷用スクリーン版

Also Published As

Publication number Publication date
US20140130687A1 (en) 2014-05-15
JPWO2013005726A1 (ja) 2015-02-23
TWI532794B (zh) 2016-05-11
KR20140000347A (ko) 2014-01-02
JP5802752B2 (ja) 2015-11-04
CN103648789A (zh) 2014-03-19
TW201305282A (zh) 2013-02-01
CN103648789B (zh) 2015-11-25
KR101553934B1 (ko) 2015-09-17

Similar Documents

Publication Publication Date Title
JP5802752B2 (ja) プライマー組成物、該組成物から成るプライマー層を含む構造体、及び該構造体の製造方法
JP6121326B2 (ja) プライマー薄膜を含む印刷用孔版及び該印刷用孔版の製造方法
WO2011148718A1 (ja) 非晶質炭素膜を有するスクリーン印刷用孔版及びその製造方法
JP6412547B2 (ja) 濡れ性を良くする表面改質処理がなされた構造体及び印刷用孔版、これらを製造する方法
JP2016501988A (ja) 耐食皮膜を用意する方法
US20170001430A1 (en) Printing stencil and method for manufacturing the same
KR20230023820A (ko) 원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅
US20150314588A1 (en) Mesh structure and method for manufacturing the same
KR20180115812A (ko) 유체 분리용 복합 다공질막, 이의 제조 방법 및 필터
JP2017019279A (ja) 撥水撥油性表面を有する構造体及びその製造方法
Maria Chong et al. Soft imprinting: creating highly ordered porous anodic alumina templates on substrates for nanofabrication
JP2011230505A (ja) 表面濡れ性改質を行った非晶質炭素膜構造体、およびその製造方法
CN110747449A (zh) 一种用于电子屏幕的自洁疏水膜层的制备方法
CN110214080A (zh) 阻气性膜
JP4844778B2 (ja) セラミックコンデンサ−製造用離型フィルムおよびその製造法
JP5332940B2 (ja) セラミックコンデンサグリーンシート製造用高平滑性離型フィルムとその製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12807439

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2013523017

Country of ref document: JP

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 20137029848

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 14129846

Country of ref document: US

32PN Ep: public notification in the ep bulletin as address of the adressee cannot be established

Free format text: NOTING OF LOSS OF RIGHTS PURSUANT TO RULE 112(1) EPC (EPO FORM 1205A DD 08/04/2014)

122 Ep: pct application non-entry in european phase

Ref document number: 12807439

Country of ref document: EP

Kind code of ref document: A1