WO2007058188A1 - 露光装置及び露光方法、並びにデバイス製造方法 - Google Patents

露光装置及び露光方法、並びにデバイス製造方法 Download PDF

Info

Publication number
WO2007058188A1
WO2007058188A1 PCT/JP2006/322716 JP2006322716W WO2007058188A1 WO 2007058188 A1 WO2007058188 A1 WO 2007058188A1 JP 2006322716 W JP2006322716 W JP 2006322716W WO 2007058188 A1 WO2007058188 A1 WO 2007058188A1
Authority
WO
WIPO (PCT)
Prior art keywords
pattern
pattern image
image
exposure
exposure apparatus
Prior art date
Application number
PCT/JP2006/322716
Other languages
English (en)
French (fr)
Inventor
Hideya Inoue
Tohru Kiuchi
Original Assignee
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corporation filed Critical Nikon Corporation
Priority to EP06832647A priority Critical patent/EP1956431A4/en
Priority to JP2007545252A priority patent/JPWO2007058188A1/ja
Publication of WO2007058188A1 publication Critical patent/WO2007058188A1/ja
Priority to US12/120,838 priority patent/US7573052B2/en
Priority to US12/494,937 priority patent/US8605249B2/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70225Optical aspects of catadioptric systems, i.e. comprising reflective and refractive elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/975Substrate or mask aligning feature

Definitions

  • Exposure apparatus Exposure apparatus, exposure method, and device manufacturing method
  • the present invention relates to an exposure apparatus, an exposure method, and a device manufacturing method, and more particularly, to an exposure apparatus and an exposure method used in a lithographic process for manufacturing electronic devices such as semiconductor elements and liquid crystal display elements.
  • the present invention also relates to a device manufacturing method using the exposure apparatus and the exposure method.
  • a pattern formed on a mask is passed through a projection optical system.
  • Step-and-repeat projection exposure equipment or step-and-scan projection exposure equipment that transfers onto a resist-coated plate (glass plate, wafer, etc.) Etc. are used.
  • variable molding mask also called an active mask or an image generator
  • a variable pattern is molded
  • various optical phenomena such as the optical proximity effect are generated when the pattern generated by the variable shaping mask is imaged on the exposed surface via the optical system.
  • OPE Optical Proxim It is thought that this is caused by the effect of so-called flare or optical system aberrations.
  • Patent Document 1 Japanese Patent Application Laid-Open No. 2004-327660
  • the present invention has been made under the circumstances described above.
  • the present invention is an exposure apparatus that exposes an object with a pattern image.
  • the correction device corrects design data to be input to the pattern image generation device based on the detection result. Accordingly, in response to the input of the modified design data, a pattern image is generated on the object by the non-turn image generating device, and the object is exposed with the pattern image, so that the desired pattern is accurately applied on the object. It is formed.
  • an exposure apparatus that exposes an object with a pattern image, wherein at least one of an amplitude, a phase, and a polarization state of light corresponds to a design data input.
  • a pattern image generating device for generating a pattern image through a variable shaping mask that modulates the image; and at least part of the generated pattern image or the pattern image generated and formed on the object is photoelectrically generated.
  • a second exposure apparatus comprising: a detection system for detecting; and a control device for detecting an operation state of the variable shaping mask based on a detection result.
  • variable shaping mask mounted on the exposure apparatus it is possible to easily check the operation state of the variable shaping mask mounted on the exposure apparatus, and it is possible to form a pattern on the object with high accuracy using the variable shaping mask.
  • the present invention is a device manufacturing method including a lithographic process of exposing an object using either the first or second exposure apparatus of the present invention.
  • an exposure method for exposing an object with a pattern image generated corresponding to design data input the pattern generated corresponding to the design data.
  • a turn image or a pattern image generated and formed on the object is detected, the design data is corrected according to the detection result, and the pattern image is used by using the corrected design data when the object is exposed.
  • an exposure method for exposing an object with a pattern image, the pattern image generated via a variable shaping mask corresponding to the input of design data or This is a second exposure method in which a pattern image generated and formed on an object is detected, and the operation state of the variable molding mask is detected based on the detection result.
  • the present invention is a device manufacturing method including a lithographic process in which an object is exposed using any one of the first and second exposure methods of the present invention.
  • FIG. 1 is a drawing schematically showing a configuration of an exposure apparatus according to a first embodiment.
  • FIG. 2 is a diagram showing a pattern image PA formed on a plate P together with an exposure area and an imaging region (detection region) of an image detector.
  • FIG. 3 is a diagram showing an example of a signal corresponding to an addition average of light intensity signals (corresponding to luminance values of pixel rows on the main scanning line) on a plurality of main scanning lines in the imaging region.
  • FIG.4 A pair of auxiliary patterns AP, AP with AP and AP arranged at both ends in the periodic direction
  • Pace pattern LSP design light intensity signal corresponding to the pattern, and line and space pattern LSP and auxiliary patterns AP and AP images that were actually detected
  • FIG. 5 is a drawing schematically showing a configuration of a projection optical system of an exposure apparatus according to a second embodiment.
  • FIG. 6 is a flowchart for explaining a method of manufacturing a semiconductor device as a micro device.
  • FIG. 7 is a flowchart for explaining a method of manufacturing a liquid crystal display element as a micro device.
  • FIG. 1 schematically shows a configuration of an exposure apparatus 100 according to the first embodiment.
  • the exposure apparatus 100 includes an illumination system 10, a mask apparatus 12, a projection optical system PL, a stage apparatus 16, and a control system that controls these.
  • the exposure apparatus 100 includes a reflection type variable molding mask (electronic) that forms part of the mask apparatus 12 on a plate (photosensitive substrate) P placed on a stage ST that forms part of the stage apparatus 16.
  • Exposure process is performed by projecting a pattern image of VM.
  • the control system includes a microcomputer, and is configured around a main controller 20 that controls the entire apparatus in an integrated manner.
  • the exposure apparatus 100 is a scanning exposure apparatus that forms a pattern on the plate P by synchronizing the switching (change) of the generated pattern by the variable shaping mask VM and the movement of the plate P.
  • the illumination system 10 performs uniform illumination of the variable shaped mask VM with illumination light IL, and includes a light source system 22 including a light source and a light source control system (both not shown), an illumination optical system 24, and a mirror M. And a condenser lens 26 and the like.
  • the illumination optical system 24 includes a shaping optical system with variable illumination conditions, an optical integrator (illuminance uniformizing member), a field stop, a relay lens, etc. (all not shown).
  • the mirror M and the condenser lens 26 The explanation will be made assuming that
  • a KrF excimer laser that outputs a laser beam having a wavelength of 248 nm is used.
  • an ArF excimer laser with an output wavelength of 193 nm an ultra high pressure mercury lamp that outputs an emission line in the ultraviolet region (g-line, i-line, etc.), or, for example, International Publication No. 1999Z46835
  • a harmonic generator such as a semiconductor laser disclosed in US Pat. No. 7,023,610 corresponding to a pan fret and the like may be used.
  • the shaping optical system is disposed on the incident side of the optical integrator in the illumination optical system 24, for example, and includes a plurality of diffractive optical elements, movable prisms, zoom optical systems, polarizing members, and the like that can be replaced.
  • the optical integrator for example, a force internal reflection type integrator using a fly-eye lens or a diffractive optical element may be used.
  • the illumination optical system 24 is an illumination light emitted from a secondary light source (a surface light source having a large number of light source image forces) formed on the rear focal plane of the fly-eye lens (the pupil plane of the illumination optical system 24). Is irradiated to the variable forming mask VM. That is, wavefront division type Kohler illumination is performed.
  • the illumination optical system 24 can continuously change the illumination conditions such as the coherence factor ( ⁇ value: the ratio of the numerical aperture of the illumination light flux of the secondary light source power to the numerical aperture on the mask side of the projection optical system) by the shaping optical system. can do.
  • the mask device 12 is an electronic mask 'system that generates a variable pattern to be projected onto the plate ⁇ placed on the stage ST, and supports the variable molding mask VM and the variable molding mask VM ( A mask holder 28 for holding) and a mask drive system 30 for controlling the operation state of the variable shaping mask VM.
  • variable molding mask VM is a DMD (Deformable Micro-mirror Device) or ⁇ , which is a kind of non-light emitting image display element (also called Spatial Light Modulator (SLM)), for example.
  • DMD Deformable Micro-mirror Device
  • which is a kind of non-light emitting image display element (also called Spatial Light Modulator (SLM)), for example.
  • SLM Spatial Light Modulator
  • the mask holder 28 is capable of adjusting the attitude of the variable shaping mask VM held by the mask holder 28 with respect to the projection optical system PL.
  • the variable shaped mask VM is set to the normal direction by the mask holder 28 with respect to the first optical axis AX1 of the projection optical system PL parallel to the Y-axis direction in FIG. It can move two-dimensionally in the plane, can rotate around the normal NL intersecting the first optical axis AX1, and can move along the normal NL, and the Z or normal NL It may be tiltable with respect to the plane.
  • the position of the variable shaping mask VM is measured by, for example, a measurement device (not shown) that measures the position of the mask holder 28 (laser interferometer, Z or encoder, etc.), and the measured position information is driven by the mask. Supplied to system 30.
  • the mask drive system 30 adjusts the position of the variable shaping mask VM based on the measured position information.
  • the mask drive system 30 is a finger output from the pattern data generation device 32.
  • the variable forming mask VM can be displayed. Specifically, based on the image or pattern information to be generated by the variable shaping mask VM! /, The attitude of each micromirror arranged in pixel units in a two-dimensional matrix on the surface of the variable shaping mask VM Is electronically controlled via a driving unit provided in each micromirror.
  • each micromirror is in an ON state in which the illumination light IL is guided to the exposure optical path and incident on the projection optical system PL, and an OFF state in which the illumination light IL is guided to the non-exposure optical path and is not incident on the projection optical system PL.
  • the desired reflection pattern is generated on at least a part of the variable molding mask VM.
  • the mask drive system 30 can change the display pattern to be generated in the variable shaping mask VM based on the pattern information sequentially output from the pattern data generation device 32. Thereby, the pattern generated by the variable shaping mask VM can be appropriately changed in synchronization with the movement of the plate P placed on the stage ST.
  • the projection optical system PL includes a wedge-shaped optical element 34, a beam splitter BS, a concave mirror 36, a plurality of lenses, and the like, and a first partial optical system having a first optical axis AX1 parallel to the Y-axis direction.
  • PL1 and a second partial optical system PL2 that shares the beam splitter BS with the first partial optical system PL1 and has a second optical axis AX2 parallel to the Z-axis direction.
  • the second partial optical system PL2 is a refractive optical system in which a plurality of lens elements are arranged along the second optical axis AX2 in the lens barrel.
  • the projection optical system PL is an image-side telecentric catadioptric optical system as a whole, and a plate P on which the pattern of the variable shaping mask VM illuminated by the illumination light IL is placed on the exposed surface (image surface).
  • the image is reduced and projected at a projection magnification j8 (j8 is 1Z500, for example).
  • the wedge-shaped optical element 34 is provided in consideration of the fact that the surface of the variable shaping mask VM is inclined with respect to the optical axes of both the illumination optical system 24 and the projection optical system PL. The That is, the optical element 34 makes the tilt angle of the light reflected by the variable shaping mask VM with respect to the first optical axis AX1 of the projection optical system PL substantially zero.
  • the projection optical system PL moves at least one optical element thereof, for example, at least one lens element of the second partial optical system PL2 along the second optical axis AX2, and moves the second optical axis AX2 to the second optical axis AX2.
  • An imaging characteristic correction device 38 that is tilted with respect to an orthogonal XY plane is provided.
  • the imaging characteristic correcting device 38 is a pattern generated on the plate P via the projection optical system PL.
  • Adjusts the imaging state of the turn image (imaging characteristics of the projection optical system PL), but instead of or in combination with the method of moving the optical elements of the projection optical system PL, for example, the light source system 22 It is also possible to adopt a method that controls the wavelength characteristics (center wavelength, spectral width, etc.) of the illumination light IL by controlling the illumination.
  • the stage device 16 includes a stage ST that holds and moves a plate (for example, a glass substrate, a semiconductor wafer, etc.) P as an object to be exposed, and an operating state of the stage ST according to a command from the main controller 20 ( And stage drive system 40 for controlling movement and the like.
  • a plate for example, a glass substrate, a semiconductor wafer, etc.
  • And stage drive system 40 for controlling movement and the like.
  • the stage ST is movable in the X-axis, Y-axis, and Z-axis directions, and is rotatable in the rotation directions ( ⁇ ⁇ , ⁇ ⁇ 0 ⁇ ) around the X-axis, Y-axis, and Z-axis.
  • the plate P can be aligned with 6 degrees of freedom to the pattern image of the variable forming mask VM generated via the system PL.
  • the stage ST is in a predetermined scanning direction in the XY plane (for example, the horizontal direction in the drawing in FIG. 1).
  • the movement of the plate P is synchronized with the change of the pattern (display image) generated by the variable forming mask VM.
  • Position information (including rotation information) of the stage ST is measured by a position measurement system (not shown) (for example, a laser interferometer and a Z or encoder, and if necessary, a focus sensor). , Supplied to the main controller 20. Based on this position information, main controller 20 drives the motor of stage drive system 40 to move and position plate P.
  • a position measurement system for example, a laser interferometer and a Z or encoder, and if necessary, a focus sensor.
  • the main controller 20 controls the operations of the illumination system 10, the mask device 12, the stage device 16, and the like, and the patterns sequentially generated by the variable shaping mask VM on the plate P via the projection optical system PL. Form an image.
  • the main controller 20 moves the plate P at an appropriate speed and, in synchronization with this, scrolls the pattern generated by the variable molding mask VM through the mask drive system 30 to thereby scan the scanning type. Perform exposure.
  • the display speed V2 in the scanning direction of the pattern displayed on the variable forming mask VM is VI
  • V2 V1 / ⁇
  • the display speed V2 in the scanning direction of the pattern of the modified mask VM is 500 times the speed V1 of the stage ST.
  • the exposure apparatus 100 includes, as other elements, an image detector 42 provided on the stage ST, a pattern formed on the plate P, for example, a pattern detection system (microscope) 44 of an image processing system, 46, a signal processing system 48 for processing signals output from the image detector 42 and the pattern detection systems 44 and 46, and a pattern data generation device 32, respectively.
  • a pattern detection system microscope
  • the pattern detection systems 44 and 46 are of the OFAXIS system and TTL system, respectively.
  • the image detector 42 includes a housing 50 provided on the stage ST, and a lens system 52 and a CCD two-dimensional image sensor 54 disposed inside the housing 50.
  • the upper wall of the nosing 50 is a glass plate whose upper surface (surface) is the light receiving surface of the image detector 42.
  • the upper surface of the glass plate is substantially flush with the upper surface (surface) of the plate P, and is optically conjugate with the surface of the variable molding mask VM with respect to the projection optical system PL.
  • the upper surface of the glass plate and the imaging surface of the CCD two-dimensional image sensor 54 are in an optically conjugate relationship via the lens system 52.
  • the light receiving surface of the image detector 42 is arranged in the projection area (irradiation area of the illumination light IL) of the projection optical system PL, at least a part of the pattern generated by the variable shaping mask VM is used.
  • the image through the lens system 52 is formed on the CCD two-dimensional image sensor 54, and the image is detected photoelectrically by the CCD two-dimensional image sensor 54.
  • the image detector 42 supplies a signal corresponding to the light intensity distribution of the pattern image to the signal processing system 48.
  • the image detector 42 may be connected to the lens system 52 via a light guide or a relay optical system in that case, for example, a CCD image sensor may be disposed outside the stage ST. It's okay!
  • the pattern detection system 44 includes an objective lens (not shown) and a CCD two-dimensional image sensor (not shown) arranged on a surface optically conjugate with the surface of the plate P via the objective lens. .
  • the pattern detection system 44 includes a pattern image (latent image) formed on the resist layer on the plate P through exposure processing, and a pattern image (resist image) formed on the plate P through Z or exposure and development processing. A part or all of the image is imaged and the image signal is supplied to the signal processing system 48.
  • the pattern detection system 46 includes an objective lens and a CCD two-dimensional image sensor (both not shown).
  • the CCD two-dimensional image sensor is disposed on a surface optically conjugate with the surface of the plate P through an optical system including a beam spirit BS, a second partial optical system PL2, and an objective lens. Similar to the pattern detection system 44, the pattern detection system 46 captures part or all of the latent image and / or resist image on the plate P, and supplies the captured image signal to the signal processing system 48. In addition, the pattern detection system 46 converts at least a partial image of the pattern generated by the variable shaping mask VM into a reflection surface on the stage ST (for example, a surface of a highly reflective member such as a reflection plate) and the above-described pattern detection system 46. It is also possible to take an image via an optical system. Note that the pattern detection systems 44 and 46 can detect marks on the stage ST (for example, alignment marks on the plate P) that are composed of only the latent image and the resist image, respectively.
  • the pattern data generating device 32 reads pattern design data (CAD data) out of data necessary for forming a pattern image from a host device (not shown) such as a workstation. Further, the pattern data generation device 32 calculates a mask pattern (corresponding to a pattern to be formed on the plate P) that is a basic pattern to be generated by the variable molding mask VM based on the CAD data of the pattern. . This mask pattern is scheduled to be scrolled during exposure, and is used as display data for temporal frame advance.
  • the scroll speed (display speed) of the pattern generated by the variable shaping mask VM is determined by the main controller 20 as a part of the scanning exposure parameters including the moving speed of the plate P and the like.
  • the pattern data generation device 32 communicates with the main control device 20 via, for example, the mask drive system 30, and the main control device 20 displays the display data (for example, the pattern data generation device 32)
  • An image detector 42 detects a projection image (aerial image) of the pattern generated by the variable shaping mask VM according to the mask pattern described above) by the projection optical system PL. Then, the pattern data generation device 32 takes in and analyzes a signal corresponding to the light intensity distribution of the pattern image output from the signal processing system 48 at the time of detection, and calculates the size, for example, the line width, as the characteristic of the pattern image.
  • the pattern data generation device 32 performs signal analysis and the line width of the pattern image. Calculation (characteristic detection) will be described.
  • FIG. 2 shows a pattern image PA formed on the plate P.
  • the area of the pattern image that can be formed at one time with the variable shaping mask VM is an area indicated by symbol IA, and this area IA is nothing but the exposure area (the above-mentioned projection area).
  • An area indicated by the symbol IF1 represents an imaging area (detection area) of the image detector 42.
  • Symbol IF2 indicates an imaging region when the stage ST moves and the image detector 42 images another part of the pattern PA.
  • FIG. 3 shows an average of the light intensity signals (corresponding to the luminance values of the pixel columns on the main scanning line) on the plurality of main scanning lines in the sub-scanning range indicated by the symbol SD inside the imaging area IF1.
  • An example of the signal IS corresponding to is shown with the image position on the horizontal axis and the light intensity (energy intensity) Es on the vertical axis.
  • symbols SI, S2, and S3 indicate slice levels, and these slice levels SI, S2, and S3 correspond to an example of resist sensitivity. That is, if the resist sensitivity corresponds to slice level S2, for example, based on the signal IS and the resist sensitivity information obtained by the pattern data generator 32, the third line pattern image from the left is the line sensitivity image.
  • the width is calculated as LW2, for example, when the resist sensitivity corresponds to the slice level S1, the line width of the line pattern image in the third space from the left is calculated as LW1.
  • the pattern data generation device 32 calculates the line widths of other parts of the same line pattern image and the line widths of other line pattern images in the same manner.
  • the pattern data generation device 32 compares the calculated line width with, for example, the pattern line width in the pattern data (design data) supplied to the mask drive system 30 when the pattern image PA is projected. If the line width is narrower than the design line width, modify the pattern data (design data) so that the line width of the pattern image PA is thicker. Then, the pattern data generation device 32 returns the corrected pattern data (or correction amount data) to the memory or the host device. In any case, the pattern data generation device 32 supplies the corrected pattern data to the mask drive system 30 at the time of actual exposure.
  • the pattern data generation device 32 may correct the pattern data (design data) each time the image detector 42 detects the pattern image.
  • the present invention is not limited to this.
  • a pattern image generated based on a signal from the signal processing system 48 is used.
  • the pattern data (design data) to be supplied to the mask drive system 30 may be corrected.
  • the pattern data generation device 32 communicates with the main control device 20 via the mask drive system 30 when the pattern data (design data) is not corrected, and the line width error is reduced. Information may be given to the main controller 20. In this case, main controller 20 changes (adjusts) the exposure conditions based on the error information. For example, if the line width error occurs almost uniformly in the entire exposure area, the exposure amount is controlled via the light source system 22, and the projection optical system PL is controlled via the Z or imaging characteristic correction device 38. It is also possible to control imaging characteristics (for example, magnification).
  • the exposure amount can be changed by changing the scanning speed of the plate P during scanning exposure or the width of the projection area in the scanning direction as described above, by simply changing the intensity and Z or the repetition frequency of the illumination light IL from the light source system 22. May be adjusted. It is also possible to use adjustment of exposure amount and Z or imaging characteristics together with correction of pattern data. Further, instead of or in combination with the correction of the pattern data, the illumination conditions of the variable shaping mask VM by the above-described shaping optical system may be adjusted. In this case, the intensity distribution (secondary light source) of the illumination light IL on the pupil plane of the illumination optical system 24 may be changed to a different shape, and the shape is substantially the same ( ⁇ value) Etc.) may be changed.
  • the exposure conditions are not limited to the exposure amount, imaging characteristics, and illumination conditions.
  • the numerical aperture of the projection optical system PL, or a predetermined point on the plate during scanning exposure is set to different Z positions continuously. It is also possible to include the presence or absence of super-resolution technology that substantially expands the depth of focus and the swing width (movement range) in the Z-axis direction!
  • the line pattern located at both ends in the periodic direction has no pattern on one side (outside), so the amount of light that wraps around from one side than other line patterns As a result, the line width of the resist image of the line pattern located at both ends formed in the positive resist is smaller than the line width of the resist image of other line patterns.
  • dummy patterns auxiliary patterns
  • auxiliary patterns that are thin enough not to be imaged, that is, whose line width is less than the resolution limit of the projection optical system PL, are line patterns positioned at both ends of the periodic direction. This is a technique that reduces the amount of light wrapping around and makes the resist image line widths of all line patterns the same as the design line width, because of the presence of this dummy pattern.
  • the method of this embodiment is also effective for OPC using this auxiliary pattern.
  • auxiliary patterns AP, AP are arranged at both ends in the periodic direction.
  • FIG. 4 An in-and-space pattern LSP is shown.
  • the middle part of Fig. 4 shows the design light intensity (energy intensity) signal corresponding to the upper pattern, and the lower part of Fig. 4 shows the actually detected line and space pattern LSP and auxiliary pattern.
  • the pattern data generation device 32 acquires the signal shown in the lower part of FIG. 4, the pattern data generation device 32 performs the above-described analysis and line width calculation based on the signal and the slice level S, for example, The line widths of the two line pattern images at both ends are compared with the line widths of the other line pattern images (here, it is assumed that the line width is the same as the design line width). Calculate line width error. Then, according to the calculation result, the line width and position in the design data of the auxiliary patterns AP and AP so that the line widths of all the line pattern images are almost equal.
  • the pattern design based on the detection result of the image detector 42 is performed by the non-turn data generation apparatus 32 as described above.
  • the data is modified, and the design data of the modified pattern is the pattern data.
  • the mask drive system 30 responds (responds) to the input of design data and turns on and off each micromirror of the variable forming mask VM individually. In this state, when the variable shaping mask VM is uniformly illuminated by the illumination light IL from the illumination system 10, a reflection pattern corresponding to the design data is generated.
  • the light flux including the pattern information generated by the variable shaping mask VM generates an image of the pattern on the plate P through the projection optical system PL. Then, while the plate P is scanned in the Y-axis direction, a desired pattern is gradually formed on the plate P by scrolling the pattern generated by the variable shaping mask VM in synchronization therewith. In this way, a pattern image, for example, the above-described pattern image PA is formed on the plate P.
  • the exposure apparatus 100 of the first embodiment prior to the exposure of the plate, in response to the input of design data (pattern data) from the pattern data generation apparatus 32.
  • design data pattern data
  • Each of the micromirrors of the variable molding mask VM is individually turned on and off by the mask drive system 30, and the variable molding mask VM is irradiated by the illumination light IL from the illumination system 10.
  • the generated pattern image is generated by the projection optical system PL, and at least a part of the generated pattern image is photoelectrically detected by the image detector 42.
  • the nonturn data generation device 32 analyzes the generated pattern image based on the signal from the signal processing system 48 that processes the signal of the image detector 42, and according to the analysis result, the mask device
  • the design data to be supplied (input) to 12 is corrected. In this case, the nonturn data generation device 32 corrects the design data so that the size, for example, the line width of at least a part of the pattern image formed on the image plane of the projection optical system PL is changed.
  • the modified design data is supplied (input) to the mask device 12, and in response to the input, the mask device 12, the illumination system 10 and A pattern image is generated on the plate P by the projection optical system PL, and the plate P is exposed with the pattern image, so that a desired pattern is accurately formed on the plate P.
  • the pattern data generation device 32 analyzes the pattern image based on the detection signal of the image detector 42 that detects the projection image of the pattern formed by the projection optical system PL. Design to be supplied (input) to the mask device 12 according to the analysis result The data was to be corrected.
  • the present invention is not limited to this.
  • a pattern image formed on the plate P for example, a resist image is picked up by the pattern detection system 44 or 46 described above.
  • the design data to be supplied (input) to the mask device 12 may be corrected according to the analysis result.
  • the above-described latent image or an image obtained by performing an etching process may be analyzed.
  • the exposure apparatus 100 includes the image detector 42 and the three turn detection systems 44 and 46 as pattern image (including projection images, resist images, and the like) detection devices.
  • the present invention is not limited to this, and it is sufficient that at least one of these is provided.
  • the above-described apparatus (pattern detection system 44, 46, etc.) for detecting the pattern image (resist image, etc.) formed on the plate is not limited to the image processing method.
  • the resist image is irradiated by detection light irradiation.
  • a method of detecting diffracted light or scattered light generated by force may be used.
  • the plate P is scanned and exposed by scrolling the pattern generated by the variable shaping mask VM while scanning the projection optical system PL in the Y-axis direction.
  • the plate P is moved stepwise in the X-axis direction, and the plate P is scanned and exposed by scrolling the pattern generated by the variable molding mask VM while scanning the plate P in the Y-axis direction again.
  • Step-and-stitch method scan 'and' scan method
  • you may adopt step 'and' repeat method step 'and' scan method.
  • step 'and' stitch method it is possible to form a large area pattern on the plate P, and with the step 'and' repeat method, a pattern is formed on each of a plurality of partition regions on the plate P. It is possible.
  • the exposure apparatus according to the second embodiment is different from the first embodiment only in the configuration of a part of the illumination optical system and the projection optical system, and the arrangement of the mask holder 28 and the variable shaping mask VM.
  • the configuration of other parts is the same as or equivalent to that of the first embodiment described above. Therefore, in the following, from the viewpoint of avoiding duplicate explanation, the explanation will focus on the differences, and the same or the same.
  • the same reference numerals are used for the components such as and the description thereof will be omitted.
  • FIG. 5 shows the configuration of the projection optical system PL and the like constituting the exposure apparatus of the second embodiment.
  • This projection optical system PL has a single optical axis AX parallel to the Z-axis direction and includes a polarization beam splitter PBS whose separation plane is arranged at 45 ° with the XY plane.
  • the illumination optical system 24 (see FIG. 1 and in this example does not include the mirror M and the condenser lens 26 in FIG. 1) is a part thereof, that is, the irradiation region of the illumination light IL on the variable shaping mask VM ( A field stop 62 defining the illumination area) and a lens system 60 are shown.
  • the field stop 62 is arranged almost conjugate with the surface of the variable shaping mask VM (the arrangement surface of the micromirror) with respect to the lens system 60.
  • variable shaping mask VM is held by the mask holder 28 so that its surface (when all the mirrors are in the ON state) is arranged substantially parallel to the XY plane. Fine movement within the XY plane is possible by the scroll holder 28.
  • the variable shaping mask VM may be movable along the Z-axis direction and tiltable with respect to the Z or XY plane.
  • the projection optical system PL is a quarter-wave plate (hereinafter abbreviated as “ ⁇ ⁇ 4 plate”) 64 that is also a part of the illumination optical system 24 and is arranged parallel to the XY plane.
  • ⁇ ⁇ 4 plate a quarter-wave plate
  • a first partial optical system PL1 ′ including a beam splitter PBS and a second partial optical system PL2 having an optical axis common to the first partial optical system PL1 ′ are included.
  • An optical trap 66 is arranged on the + ⁇ side of the polarization peep splitter PBS in FIG. Further, another optical trap 68 is arranged at a position diagonally below and to the right of the polarization peep splitter PBS in FIG.
  • Linearly polarized light for example, S-polarized illumination light IL incident on the illumination optical system 24 from the light source system 22 (not shown in FIG. 5, see FIG. 1) passes through the field stop 62 and the lens system 60, and is converted into a polarized beam beam. Ritter enters the PBS.
  • the illumination light IL is reflected by the polarization beam splitter PBS, passes through the ⁇ 4 plate 64, becomes circularly polarized light, and enters the variable shaping mask VM.
  • the illumination light IL is reflected in the Z direction by the micromirrors in the ON state among the many micromirrors in the illumination area on the variable shaping mask VM, and becomes circularly polarized light in the reverse direction to the front, ⁇
  • the light passes through the Z4 plate 64 again and enters the polarization beam splitter PBS as linearly polarized light having a polarization direction different from that at the time of incidence, that is, P-polarized light.
  • the P-polarized illumination light IL passes through the polarization beam splitter PBS, enters the second partial optical system PL2, and passes through the second partial optical system PL2 to the plate P whose surface is coated with a resist. Projected.
  • the pattern force of the variable shaping mask VM illuminated by the illumination light IL by the projection optical system PL by the projection optical system PL.
  • the exposure area on the plate P placed on the exposed surface (image surface) conjuggated with the illumination region).
  • the projected image is reduced and projected at the projection magnification IS ( ⁇ is 1Z500, for example).
  • the illumination light IL incident on the micromirror in the OFF state within the illumination area on the variable shaping mask VM is reflected off the exposure optical path by the micromirror, that is, obliquely downward to the right in FIG. ⁇ Passed by 4 plate 64 and polarizing beam splitter PBS and absorbed by optical trap 68.
  • the S-polarized illumination light IL is almost reflected by the polarization beam splitter PBS, but is slightly transmitted.
  • An optical trap 66 is provided to absorb the illumination light IL that has passed through the polarizing beam splitter PBS.
  • the exposure apparatus according to the second embodiment can obtain the same effects as those of the first embodiment described above.
  • both sides are telecentric, that is, the image plane side (plate Side) and object plane side (mask side) can use the projection optical system PL that is telecentric, and the imaging performance of the exposure apparatus can be improved.
  • a polarizing beam splitter and a ⁇ 4 plate may be provided in place of the beam splitter BS described above. In this case, the light amount loss in the projection optical system PL can be significantly suppressed.
  • the exposure apparatus may not include the pattern data generation device 32.
  • the mask pattern information display data
  • Main controller 20 putter The data may be corrected.
  • main controller 20 may detect the above-described pattern image, and pattern data correction may be performed by the external device.
  • the pattern image (resist image or the like) formed on the plate is detected using the pattern detection systems 44 and 46 of the exposure apparatus.
  • the pattern image on the plate may be detected using the above-described apparatus (for example, a registration measurement machine, a wafer inspection apparatus, etc.).
  • the measurement-dedicated device may correct the pattern data based on the measurement result and the mask pattern information described above.
  • the measurement result may be sent to another device (for example, the above-mentioned external device or the main control device 20), and the pattern data may be corrected by the other device.
  • the line width of the formed pattern image is the target line width
  • the line width of the formed pattern image may be different from the target line width. Therefore, it is also possible to detect a pattern image formed after etching rather than the above-described latent image or resist image, and use this detection result in the correction of the above-mentioned pattern data.
  • the line width characteristics of the pattern image are obtained in advance by simulation or experiment, and the detection result of the pattern image (projection image, latent image, and resist image is shifted) by the above-mentioned correction of pattern data.
  • the linewidth characteristics can be used.
  • the sharpness characteristic of the projection image of the pattern generated by the variable shaping mask differential value or log slope at a predetermined position in the image intensity distribution of the projection image, or the contrast of the projection image, etc.
  • the pattern profile of the resist image formed on the plate is preferable to predict the pattern profile of the resist image formed on the plate and to predict the device line width characteristic based on the pattern profile.
  • the pattern profile is preferably predicted in consideration of deformation of the resist image due to heat treatment, and the device line width characteristics are preferably estimated in consideration of etching characteristics.
  • a pattern image (projected image, resist image, etc.) is detected based on the detection result. Therefore, it is also possible to check the operation state of the micromirror of the variable shaping mask VM by using a force for correcting the pattern data, for example, using the detection result. Specifically, if the micromirror that should be turned on when the pattern generated by the variable shaped mask VM is projected is turned off, the micromirror that is malfunctioning causes it to be part of the pattern image. Defects occur. This pattern defect can also specify the comparison power between the detection result of the pattern image and the mask pattern information described above, and a malfunctioning micromirror can be obtained based on the specified pattern defect. In this case, the variable shaped mask may be exchanged, or the variable generation mask VM and the above-described illumination area may be moved relative to each other, and the micromirror having the malfunction in the illumination area may be removed.
  • the force variable forming mask that forms the transfer pattern by turning on and off each element (such as a microphone opening mirror) of the variable forming mask (electronic mask) VM.
  • a pattern may be formed using a halftone of each element.
  • each element of the variable shaping mask is driven in halftone, so that even if the illuminance of the illumination light on the variable shaping mask is not uniform, Therefore, the illumination light with uniform illuminance can be obtained. Therefore, it is possible to correct the non-uniformity of the illumination light distribution caused by the optical characteristics (aberration, etc.) of the illumination optical system or the aging, and hence the non-uniformity of the pattern image line width.
  • the size (line width) is obtained as the characteristic of the nonturn image.
  • the image characteristic is not limited to the size, and for example, contrast or the like may be obtained.
  • the stage ST When detecting the pattern image, the stage ST is assumed to be stationary. Variable pattern forming mask A pattern image is generated by synchronizing the on / off of each micromirror of the VM and the movement of the stage ST. During the synchronization, the pattern image may be detected by the image detector 42, or an image (resist image or the like) obtained by transferring the pattern image onto the plate may be detected.
  • the CCD is used as the light receiving element of the image detector 42 described above, but a TDI (Time Delay and Integration) sensor or the like may be used instead.
  • the above-described image detector 42 is a force stage in which at least a part of the image detector 42 is provided on the stage ST. A part or all of the image detector 42 may be provided on a movable body different from the ST. This other movable body is disclosed in, for example, JP-A-11-135400 (corresponding to International Publication No. 1999Z23692 pamphlet), JP-A-2000-164504 (corresponding to US Pat. No. 6,897,963), etc.
  • a measuring stage having measuring members may be used.
  • the exposure apparatus includes only one set of the variable shaping mask VM and the projection optical system PL.
  • an exposure area is arranged in a plurality of non-scanning directions (X-axis direction).
  • a set of variable shaping mask VM and projection optical system PL may be provided.
  • the illumination optical system described above is provided with a field stop, the field stop is not provided.
  • the irradiation area of the illumination light IL on the plate (by turning on / off each micromirror of the variable shaped mask) ( (It corresponds to the above-mentioned exposure area).
  • the force-variable molding mask is limited to the DMD, in which the exposure apparatus includes a DMD that is a non-light-emitting image display element as a variable molding mask (active mask, image generator).
  • a non-light emitting image display element described below may be used instead of the DMD.
  • the non-light-emitting image display element is also called a spatial light modulator (SLM), which spatially modulates the amplitude (intensity), phase, or polarization state of light traveling in a predetermined direction.
  • SLM spatial light modulator
  • the transmissive liquid crystal display LCD
  • an electro-tactic display ECD
  • the reflective spatial light modulator includes a reflective mirror array, a reflective liquid crystal display element, an electrophoretic display (EPD), electronic paper (or electronic ink), optical An example is a diffraction light valve.
  • variable shaping mask when a variable shaping mask is configured using a reflective non-light emitting image display element, a reflection system can be used as the projection optical system in addition to the above-described catadioptric system. Further, when a variable shaping mask is formed using a transmissive non-light-emitting image display element, not only a catadioptric system and a reflective system but also a refractive system can be adopted as a projection optical system.
  • the exposure apparatus of each of the above embodiments is provided with a pattern generating apparatus including a self-luminous image display element instead of the variable shaping mask including the non-luminous image display element. May be. In this case, an illumination system is unnecessary.
  • self-luminous image display elements for example, CRT (Cathode Ray Tube), inorganic EL display, organic EL display (OL ED: Organic Light Emitting Diode), LED display, LD display, field emission ice play (FED) : Field Emission Display), Pufsma Ice Play (PDP).
  • a solid light source chip having a plurality of light emitting points, a solid light source chip array in which a plurality of chips are arranged in an array, or a plurality of light emitting points are arranged on one sheet.
  • a pattern or the like may be formed by using a type built in a substrate or the like and electrically controlling the solid light source chip.
  • the solid light source element may be inorganic or organic.
  • a pattern generation device including a self-luminous image display element is provided and a projection optical system or an imaging optical system is used, not only the catadioptric system and the reflective system are used as those optical systems.
  • a refraction system can also be employed.
  • the projection optical system provided in the exposure apparatus of the present invention is not limited to a reduction system, and may be an equal magnification system or an enlargement system.
  • the projected image generated in the projection area of the projection optical system can be an inverted image or an erect image! ,.
  • JP-A-10-163099 and JP-A-10-214783 (corresponding US Pat. No. 6,590,634), JP-T 2000-505958 (corresponding US Pat. No. 5,969,441), As disclosed in US Pat. No. 6,208,407 and the like, the present invention can be applied to a multi-stage type exposure apparatus having a plurality of stages.
  • the present invention can also be applied to an exposure apparatus that synthesizes a pattern to be formed on a plate via a projection optical system and performs double exposure of one area on the plate almost simultaneously by one scanning exposure. it can.
  • an illumination optical system and a projection optical system each composed of a plurality of optical elements are incorporated into an exposure apparatus body for optical adjustment, and the above-described variable molding mask (mask apparatus) and a number of machines are used.
  • the exposure apparatus of each of the above embodiments can be manufactured by attaching a stage having a component force to the exposure apparatus body, connecting wiring and piping, and further performing general adjustment (electrical adjustment, operation check, etc.). It is desirable to manufacture the exposure apparatus in a clean room where the temperature and cleanliness are controlled.
  • the present invention is not limited to the application to a semiconductor device manufacturing process, for example, a manufacturing process of a display device such as a liquid crystal display element or a plasma display, an imaging element (CCD or the like), a micromachine, It can be widely applied to manufacturing processes of MEMS (Microelectromechanical Systems), thin film magnetic heads using ceramic wafers as substrates, and various devices such as DNA chips. Furthermore, the present invention can be applied to a manufacturing process when manufacturing a mask (photomask, reticle, etc.) on which a mask pattern of various devices is formed using a photolithographic process.
  • a mask photomask, reticle, etc.
  • the present invention is not limited to the object to be exposed (plate) limited to the wafer, and may be another object such as a glass plate, a ceramic substrate, a film member, or a mask blank, and the shape thereof is also circular. It is not limited to a rectangle.
  • FIG. 6 is a flowchart for explaining a method of manufacturing a semiconductor device as a micro device.
  • a metal film is deposited on one lot of Ueno (plate).
  • a photoresist is applied on the metal film on the loto (plate).
  • V is generated on the variable shaping mask VM based on the design data corrected by the pattern data generating apparatus 32.
  • the pattern image is sequentially projected onto each shot area on the wafer (plate) of one lot via the projection optical system PL. That is, each shot area on the wafer (plate) is sequentially exposed with a pattern image.
  • step 108 the photoresist on the one lot of (plate) is developed, and in step 110, the resist pattern is masked on the one lot of weno (plate).
  • step 110 the resist pattern is masked on the one lot of weno (plate).
  • a device such as a semiconductor element is manufactured by forming a circuit pattern of an upper layer. Therefore, a pattern image generated in the variable shaping mask VM based on the design data corrected by the pattern data generation device 32, that is, a pattern image having a desired line width can be accurately formed. Devices such as elements can be manufactured with high yield.
  • a liquid crystal display as a micro device is formed by forming a predetermined pattern (circuit pattern, electrode pattern, etc.) on a plate (glass substrate). An element can also be obtained.
  • FIG. 7 is a flowchart for explaining a method of manufacturing a liquid crystal display element as a microdevice by forming a predetermined pattern on a plate using the exposure apparatus of the first and second embodiments.
  • the variable forming mask VM is used based on the design data corrected by the pattern data generating device 32 using the exposure apparatus of the first and second embodiments.
  • a so-called optical lithography process is performed in which an image of the pattern generated in this way is formed on a photosensitive substrate (such as a glass substrate coated with a resist) via the projection optical system PL. Due to this optical lithography process, the photosensitive substrate includes many electrodes. A constant pattern is formed. Thereafter, the exposed substrate is subjected to various processes such as a development process, an etching process, and a resist stripping process, whereby a predetermined pattern is formed on the substrate.
  • step 204 a large number of sets of three dots corresponding to R (Red), G (Green), and B (B1 ue) are arranged in a matrix, or R, A color filter is formed by arranging a set of three stripe filters G and B in the horizontal scanning line direction.
  • step 204 the cell assembling step of step 206 is executed.
  • a liquid crystal panel liquid crystal cell
  • step 206 liquid crystal is injected between a substrate having a predetermined pattern obtained in the pattern formation process and a color filter obtained in the color filter formation process.
  • Manufactures panels liquid crystal cells.
  • each part such as an electric circuit and a backlight for performing display operation of the assembled liquid crystal panel (liquid crystal cell) is attached to complete the liquid crystal display element. Therefore, in the pattern forming process of this microdevice manufacturing method, an image of a pattern generated on the variable molding mask VM based on the design data corrected by the pattern data generating device 32, that is, a desired line.
  • a pattern image with a width can be formed with high accuracy, and as a result, a liquid crystal display element can be manufactured with a high yield.
  • the exposure apparatus and exposure method of the present invention are suitable for forming a pattern image on an object such as a plate.
  • the device manufacturing method of the present invention is suitable for manufacturing a microphone opening device.

Abstract

 パターン像生成装置(10,12,PL)により、パターン像が生成され、その生成されたパターン像、又は生成されて物体上に形成されたパターン像の少なくとも一部が、検出系(42、48)によって光電的に検出される。そして、修正装置(32)は、その検出結果に基づいてパターン像生成装置に入力すべき設計データを修正する。従って、この修正後の設計データの入力に対応して、パターン像生成装置により物体(P)上にパターン像が生成され、該パターン像で物体が露光されることで、所望のパターンが物体上に精度良く形成される。

Description

明 細 書
露光装置及び露光方法、並びにデバイス製造方法
技術分野
[0001] 本発明は、露光装置及び露光方法、並びにデバイス製造方法に係り、更に詳しく は、半導体素子、液晶表示素子などの電子デバイスを製造するためのリソグラフイエ 程で用いられる露光装置及び露光方法、並びに前記露光装置及び露光方法を用い るデバイス製造方法に関する。
背景技術
[0002] 従来より、半導体素子又は液晶表示素子等の電子デバイス (マイクロデバイス)を製 造するリソグラフイエ程では、マスク(レチクル、フォトマスク等)に形成されたパターン を、投影光学系を介してレジスト等の感光剤が塗布されたプレート (ガラスプレート、ゥ ェハ等)上に転写するステップ ·アンド ·リピート方式の投影露光装置 (ステツパ)、ある いはステップ'アンド'スキャン方式の投影露光装置などが用いられている。
[0003] ところで、近年になって、デバイスパターンの大小(例えば線幅、ピッチなどの微細 度)にかかわらず、高価なマスクを用いることなくデバイスを形成することが可能なマ スクレスタイプ(固定のパターン原版であるマスクを用いな 、)の走査型投影露光装 置が提案されている (例えば特許文献 1参照)。この特許文献 1に記載の走査型投影 露光装置によれば、基板ステージの走査に同期して、可変パターン生成装置におい て生成される転写パターンを変化させることで、所望のパターンを容易に生成するこ とができる。さらに、従来のマスクを用いる露光装置とは異なり、マスクステージを備え る必要がな 、ので、露光装置のコストダウン及び小型化が可能である。
[0004] しかるに、上記のマスクレスタイプ、すなわち可変なパターンが成形される可変成形 マスク(アクティブマスクあるいはイメージジェネレータとも呼ばれる)であっても、透過 型の場合は勿論、反射型の場合にも、現実には、所望の形状、サイズのパターン像 を得ることは容易でないことが最近になって判明した。これは、可変成形マスクを用い る場合であっても、可変成形マスクで生成したパターンが光学系を介して被露光面 に結像される際に、種々の光学的な現象、例えば光近接効果 (OPE : Optical Proxim ity Effect)、いわゆるフレア、あるいは光学系の収差などによって影響を受けることが 原因であると考えられる。
[0005] 特許文献 1:特開 2004— 327660号公報
発明の開示
課題を解決するための手段
[0006] 本発明は、上述の事情の下でなされたもので、第 1の観点力 すると、パターン像 で物体を露光する露光装置であって、設計データの入力に対応して、パターン像を 生成するパターン像生成装置と;生成されたパターン像、又は生成されて物体上に 形成されたパターン像の少なくとも一部を光電的に検出する検出系と;検出結果に 基づいて前記パターン像生成装置に入力すべき前記設計データを修正する修正装 置と;を備える第 1の露光装置である。
[0007] これによれば、パターン像生成装置により生成されたパターン像、又は生成されて 物体上に形成されたパターン像の少なくとも一部力 検出系によって光電的に検出 される。そして、修正装置は、その検出結果に基づいてパターン像生成装置に入力 すべき設計データを修正する。従って、この修正後の設計データの入力に対応して 、ノターン像生成装置により物体上にパターン像が生成され、該パターン像で物体 が露光されることで、所望のパターンが物体上に精度良く形成される。
[0008] 本発明は、第 2の観点からすると、パターン像で物体を露光する露光装置であって 、設計データの入力に対応して光の振幅、位相及び偏光の状態の少なくとも 1つを 空間的に変調する可変成形マスクを介してパターン像を生成するパターン像生成装 置と;生成されたパターン像、又は生成されて物体上に形成されたパターン像の少な くとも一部を光電的に検出する検出系と;検出結果に基づいて可変成形マスクの動 作状態を検出する制御装置と;を備える第 2の露光装置である。
[0009] これによれば、露光装置に装着された可変成形マスクの動作状態を簡単に確認で き、可変成形マスクを用いて精度良く物体上にパターンを形成することが可能となる
[0010] 本発明は、第 3の観点力 すると、本発明の第 1、第 2の露光装置のいずれかを用 いて物体を露光するリソグラフイエ程を含むデバイス製造方法である。 [0011] 本発明は、第 4の観点力 すると、設計データの入力に対応して生成されるパター ン像で物体を露光する露光方法であって、前記設計データに対応して生成されるパ ターン像、又は生成されて物体上に形成されるパターン像を検出し、その検出結果 に応じて前記設計データを修正し、前記物体の露光時、前記修正された設計データ を用 、てパターン像を生成する第 1の露光方法である。
[0012] 本発明は、第 5の観点力 すると、パターン像で物体を露光する露光方法であって 、設計データの入力に対応して、可変成形マスクを介して生成されるパターン像、又 は生成されて物体上に形成されるパターン像を検出し、この検出結果に基づいて可 変成形マスクの動作状態を検出する第 2の露光方法である。
[0013] 本発明は、第 6の観点力 すると、本発明の第 1、第 2の露光方法のいずれかを用 いて物体を露光するリソグラフイエ程を含むデバイス製造方法である。
図面の簡単な説明
[0014] [図 1]第 1の実施形態に係る露光装置の構成を概略的に示す図である。
[図 2]プレート P上に形成されるパターン像 PAを、露光エリア、像検出器の撮像領域 ( 検出領域)とともに示す図である。
[図 3]撮像領域内部の複数本の主走査ライン上の光強度信号 (主走査ライン上の画 素列の輝度値に対応)の加算平均に対応する信号の一例を示す図である。
[図 4]一対の補助パターン AP , APが周期方向の両端に配置されたラインアンドス
1 2
ペースパターン LSP、該パターンに対応する設計上の光強度信号、及び実際に検 出したラインアンドスペースパターン LSP及び補助パターン AP , APの像の主走査
1 2
ライン上の光強度信号を示す図である。
[図 5]第 2の実施形態に係る露光装置の投影光学系の構成を概略的に示す図である
[図 6]マイクロデバイスとしての半導体デバイスの製造方法を説明するためのフローチ ヤートである。
[図 7]マイクロデバイスとしての液晶表示素子を製造する方法を説明するためのフロ 一チャートである。
発明を実施するための最良の形態 [0015] 《第 1の実施形態》
以下、本発明の第 1の実施形態を図 1〜図 4に基づいて説明する。図 1には、第 1 の実施形態に係る露光装置 100の構成が概略的に示されている。
[0016] 露光装置 100は、照明系 10、マスク装置 12、投影光学系 PL、ステージ装置 16及 びこれらを制御する制御系等を備えている。この露光装置 100は、ステージ装置 16 の一部を構成するステージ ST上に載置されたプレート (感光性基板) P上に、マスク 装置 12の一部を構成する反射型の可変成形マスク(電子マスク) VMのパターン像 を投影することによって露光処理を行う。制御系は、マイクロコンピュータを含み、装 置全体を統括的に制御する主制御装置 20を中心として構成されている。また、露光 装置 100は、可変成形マスク VMによる生成パターンの切替 (変更)とプレート Pの移 動とを同期させて、プレート P上にパターンを形成する走査型露光装置である。
[0017] 前記照明系 10は、照明光 ILで可変成形マスク VMの均一照明を行うものであり、 光源及び光源制御系(いずれも不図示)を含む光源系 22、照明光学系 24、ミラー M 、及びコンデンサレンズ 26等を備えている。照明光学系 24は、照明条件を可変とす る成形光学系、オプティカルインテグレータ(照度均一化部材)、視野絞り、リレーレン ズ等 (いずれも不図示)を含み、以下ではミラー M、及びコンデンサレンズ 26をも含 むものとして説明を行う。
[0018] ここで、光源としては、一例として、波長 248nmのレーザ光を出力する KrFエキシ マレーザが用いられているものとする。なお、光源として、 KrFエキシマレーザに代え て、出力波長 193nmの ArFエキシマレーザは勿論、紫外域の輝線 (g線、 i線など)を 出力する超高圧水銀ランプ、あるいは、例えば国際公開第 1999Z46835号パンフ レット及びこれに対応する米国特許第 7,023,610号明細書などに開示される半導体 レーザなどの高調波発生装置などを用いても良い。
[0019] また、成形光学系は、例えば照明光学系 24内でオプティカルインテグレータの入 射側に配置されるとともに、交換可能な回折光学素子、可動プリズム、ズーム光学系 、及び偏光部材などを含む複数の光学素子を有し、少なくとも 1つの光学素子の交 換又は移動などによって、照明光学系 24の瞳面上での照明光 ILの強度分布(2次 光源の形状及び Z又は大きさ)、すなわち可変成形マスク VMの照明条件を変更す る。オプティカルインテグレータとしては、例えばフライアイレンズが用いられる力 内 面反射型インテグレータあるいは回折光学素子などを用いても良 、。
[0020] 照明光学系 24は、フライアイレンズの後側焦点面 (照明光学系 24の瞳面)に形成 される 2次光源 (多数の光源像力 なる面光源)から射出される照明光 ILを可変成形 マスク VMに照射する。すなわち、波面分割型のケーラー照明が行われる。また、照 明光学系 24は成形光学系により照明条件、例えばコヒーレンスファクタ( σ値:投影 光学系のマスク側開口数に対する 2次光源力 の照明光束の開口数の比)を連続的 に可変とすることができる。
[0021] マスク装置 12は、ステージ ST上に載置されたプレート Ρに投影すべき可変のパタ ーンを生成する電子マスク'システムであり、可変成形マスク VMと、可変成形マスク VMを支持 (保持)するマスクホルダ 28と、可変成形マスク VMの動作状態を制御す るマスク駆動系 30とを備える。
[0022] ここで、可変成形マスク VMは、例えば非発光型画像表示素子 (空間光変調器: Sp atial Light Modulator (SLM)とも呼ばれる)の一種である DMD (Deformable Micro- mirror Device、又 ίま Digital Micro-mirror Device)を 'み、入射光を 2次元的に目歹 [J された素子単位で反射'偏向して、投影光学系 PLへの入射光の強度 (振幅)を空間 的に変調する。
[0023] マスクホルダ 28は、その保持する可変成形マスク VMの投影光学系 PLに対する姿 勢を調整可能である。すなわち、可変成形マスク VMは、マスクホルダ 28によって、 図 1における Y軸方向に平行な投影光学系 PLの第 1光軸 AX1に対して YZ面内で 角度 Θを成す方向を法線方向とする平面内で 2次元的に移動可能であるとともに、 第 1光軸 AX1と交わる法線 NLの回りに回転可能であり、さらにはその法線 NLに沿 つて移動可能、及び Z又は法線 NLの平面に対してチルト可能であっても良い。この 際、可変成形マスク VMの位置は、例えばマスクホルダ 28の位置を計測する不図示 の計測装置 (レーザ干渉計、及び Z又はエンコーダなど)によって計測され、その計 測された位置情報がマスク駆動系 30に供給される。
[0024] マスク駆動系 30は、計測された位置情報に基づいて可変成形マスク VMの位置を 調整する。また、マスク駆動系 30は、パターンデータ生成装置 32から出力される指 令やデータに基づ ヽて可変成形マスク VMに表示動作を行わせることができる。具 体的には、可変成形マスク VMで生成すべき画像若しくはパターン情報に基づ!/、て 、可変成形マスク VMの表面に 2次元マトリックス状に画素単位で配列されている各 マイクロミラーの姿勢を、該各マイクロミラーに設けた駆動部を介して電子的に制御す る。これにより、各マイクロミラーは、照明光 ILを露光光路に導いて投影光学系 PLに 入射させる ON状態と、照明光 ILを非露光光路に導いて投影光学系 PLに入射させ な 、OFF状態との間で 2値動作し、可変成形マスク VMの少なくとも一部に所望の反 射パターンを生成する。なお、マスク駆動系 30は、パターンデータ生成装置 32から 逐次出力されるパターン情報に基づいて、可変成形マスク VMに生成すべき表示パ ターンを変化させることができる。これにより、可変成形マスク VMで生成されるパター ンを、ステージ ST上に載置されたプレート Pの移動に同期して適宜変化させることが できる。
[0025] 前記投影光学系 PLは、クサビ型の光学素子 34、ビームスプリッタ BS、凹面鏡 36 及び複数のレンズなどを含み、 Y軸方向に平行な第 1光軸 AX1を有する第 1部分光 学系 PL1と、ビームスプリッタ BSを第 1部分光学系 PL1と共有し、 Z軸方向に平行な 第 2光軸 AX2を有する第 2部分光学系 PL2とを含む。第 2部分光学系 PL2は、鏡筒 内に第 2光軸 AX2に沿って複数のレンズエレメントが配列される屈折光学系である。 投影光学系 PLは、全体としては、像側テレセントリックな反射屈折光学系であり、照 明光 ILによって照明された可変成形マスク VMのパターンを、被露光面 (像面)上に 配置されたプレート P上に投影倍率 j8 ( j8は例えば 1Z500)で縮小投影する。
[0026] 前記クサビ型の光学素子 34は、可変成形マスク VMの表面が照明光学系 24及び 投影光学系 PLの両方の光軸に対して傾斜して 、ることを考慮して設けられて 、る。 すなわち、光学素子 34は、可変成形マスク VMで反射された光の投影光学系 PLの 第 1光軸 AX1に対するァオリ角をほぼ零にする。
[0027] 投影光学系 PLは、その少なくとも 1つの光学素子、例えば第 2部分光学系 PL2の 少なくとも 1つのレンズエレメントを、第 2光軸 AX2に沿って移動し、かつ第 2光軸 AX 2に直交する XY平面に対して傾斜駆動する結像特性補正装置 38が設けられている 。この結像特性補正装置 38は、投影光学系 PLを介してプレート P上に生成されるパ ターン像の結像状態 (投影光学系 PLの結像特性)を調整するが、投影光学系 PLの 光学素子を移動する方式の代わりに、あるいはその方式と組み合わせて他の方式、 例えば光源系 22を制御して照明光 ILの波長特性(中心波長、スペクトル幅など)を 調整する方式を採用しても良 ヽ。
[0028] ステージ装置 16は、露光対象の物体としてのプレート (例えばガラス基板、半導体 ウェハなど) Pを保持して可動なステージ STと、主制御装置 20からの指令に従ってス テージ STの動作状態 (移動など)を制御するステージ駆動系 40とを備える。
[0029] ステージ STは、 X軸、 Y軸及び Z軸方向に移動可能、かつ X軸、 Y軸及び Z軸回り の回転(Θ Χ、 Θ Υ 0 Ζ)方向に回転可能であり、投影光学系 PLを介して生成される 可変成形マスク VMのパターン像に対してプレート Pを 6自由度でァライメント可能で ある。さらに、可変成形マスク VM及び投影光学系 PLを介して照明光 ILでプレート P を走査露光するために、ステージ STは XY平面内の所定の走査方向(例えば、図 1 における紙面内水平方向である Y軸方向)に所望の速度で移動され、可変成形マス ク VMで生成したパターン (表示画像)の変化とプレート Pの移動とを同期させる。
[0030] ステージ STの位置情報(回転情報も含む)は、不図示の位置計測系(例えば、レー ザ干渉計、及び Z又はエンコーダを含み、必要ならフォーカスセンサ等も含む)によ つて計測され、主制御装置 20に供給される。主制御装置 20は、この位置情報に基 づ 、てステージ駆動系 40のモータ等を駆動してプレート Pの移動、及び位置決めを 行う。
[0031] 主制御装置 20は、照明系 10、マスク装置 12、ステージ装置 16等の動作を制御し、 投影光学系 PLを介してプレート P上に可変成形マスク VMで逐次生成されたパター ンの像を形成する。この際、主制御装置 20は、プレート Pを適当な速度で移動させつ つ、これに同期してマスク駆動系 30を介して可変成形マスク VMで生成したパターン をスクロールさせることによって、走査型の露光を行う。
[0032] ここで、プレート Pを保持するステージ STの走査速度を VIとするとき、可変成形マ スク VMにて表示されるパターンの走査方向での表示速度 V2は、
V2=V1/ β
となる。従って、投影光学系 PLの投影倍率 |8が 1Z500である本実施形態では、可 変成形マスク VMのパターンの走査方向での表示速度 V2は、ステージ STの速度 V 1の 500倍の速度となる。
[0033] 露光装置 100は、その他の要素として、ステージ STに設けられた像検出器 42、プ レート P上に形成されたパターンを検出する、例えば画像処理方式のパターン検出 系(顕微鏡) 44、 46、像検出器 42及びパターン検出系 44、 46からそれぞれ出力さ れる信号を処理する信号処理系 48、並びにパターンデータ生成装置 32などを備え ている。なお、パターン検出系 44、 46はそれぞれオファクシス方式、 TTL方式であ る。
[0034] 前記像検出器 42は、ステージ STに設けられたハウジング 50と、該ハウジング 50の 内部に配置されたレンズ系 52及び CCD2次元イメージセンサ 54とを有している。ノヽ ウジング 50の上壁は、その上面 (表面)が像検出器 42の受光面となるガラスプレート である。このガラスプレートの上面は、プレート Pの上面(表面)とほぼ面一であり、か つ投影光学系 PLに関して可変成形マスク VMの面と光学的に共役な関係になって いる。また、ガラスプレートの上面と CCD2次元イメージセンサ 54の撮像面とは、レン ズ系 52を介して光学的に共役な関係になっている。従って、像検出器 42の受光面 が投影光学系 PLの投影領域 (照明光 ILの照射領域)に配置されると、可変成形マス ク VMで生成されたパターンの少なくとも一部の投影光学系 PL及びレンズ系 52を介 した像が CCD2次元イメージセンサ 54上に結像し、その像が CCD2次元イメージセ ンサ 54によって光電的に検出される。像検出器 42は、パターン像の光強度分布に 応じた信号を信号処理系 48に供給する。なお、像検出器 42は、その一部、例えば C CDイメージセンサがステージ STの外部に配置されていても良ぐその場合には、光 ガイド又はリレー光学系を介してレンズ系 52に接続されて!、ても良!、。
[0035] 前記パターン検出系 44は、対物レンズ (不図示)と、該対物レンズを介してプレート P表面と光学的に共役となる面に配置された CCD2次元イメージセンサ(不図示)とを 含む。このパターン検出系 44は、露光処理を経てプレート P上のレジスト層に形成さ れるパターン像 (潜像)、及び Z又は露光及び現像処理を経てプレート P上に形成さ れるパターン像 (レジスト像)の一部又は全部を撮像し、その撮像信号を信号処理系 48に供給する。 [0036] 前記パターン検出系 46は、対物レンズ及び CCD2次元イメージセンサ(いずれも 不図示)を含む。 CCD2次元イメージセンサは、ビームスピリッタ BS、第 2部分光学系 PL2、及び対物レンズから成る光学系を介して、プレート P表面と光学的に共役とな る面に配置されている。このパターン検出系 46は、パターン検出系 44と同様、プレ ート P上の潜像及び/又はレジスト像の一部又は全部を撮像し、その撮像信号を信 号処理系 48に供給する。また、このパターン検出系 46は、可変成形マスク VMで生 成されたパターンの少なくとも一部の像を、ステージ ST上の反射面 (例えば反射板 などの、反射率の高い部材の表面)及び前記光学系を介して撮像することも可能で ある。なお、パターン検出系 44、 46はそれぞれ前述の潜像、レジスト像だけでなぐ ステージ ST上のマーク(例えばプレート Pのァライメントマーク)なども検出可能となつ ている。
[0037] 前記パターンデータ生成装置 32は、パターン像の形成に必要なデータのうちパタ ーンの設計データ(CADデータ)を、ワークステーションなどから成る不図示の上位 装置から読み出す。また、このパターンデータ生成装置 32は、パターンの CADデー タに基づ 、て、可変成形マスク VMで生成すべき基本パターンであるマスクパターン (プレート P上に形成すべきパターンに相当)を算出する。このマスクパターンは、走 查露光に際してのスクロールを予定したものになっており、時間的なコマ送りとしての 表示データとなっている。ここで、可変成形マスク VMで生成するパターンのスクロー ル速度 (表示速度)は、プレート Pの移動速度等を含む走査露光用パラメータの一部 として、主制御装置 20によって決定される。
[0038] また、このパターンデータ生成装置 32は、例えばマスク駆動系 30を介して主制御 装置 20との間で通信を行い、主制御装置 20は、パターンデータ生成装置 32からの 表示データ(例えば前述のマスクパターンの一部)に従って可変成形マスク VMで生 成されたパターンの投影光学系 PLによる投影像 (空間像)を、像検出器 42を用いて 検出する。そして、パターンデータ生成装置 32は、検出時に信号処理系 48から出力 されるパターン像の光強度分布に応じた信号を取り込んで解析し、パターン像の特 性としてサイズ、例えば線幅を算出する。
[0039] ここで、このパターンデータ生成装置 32による、信号解析及びパターン像の線幅の 算出 (特性検出)について説明する。
[0040] 図 2には、プレート P上に形成されるパターン像 PAが示されている。この図 2におい て、可変成形マスク VMで一度に形成可能なパターン像の領域は、符号 IAで示され る領域であり、この領域 IAが露光エリア (前述の投影領域)に他ならない。また、符号 IF1で示される領域は、像検出器 42の撮像領域 (検出領域)を示す。また、符号 IF2 は、ステージ STが移動して、像検出器 42でパターン PAの別の部分を撮像する際の 撮像領域を示す。
[0041] 図 3には、撮像領域 IF1内部の符号 SDで示される副走査範囲の複数本の主走査 ライン上の光強度信号 (主走査ライン上の画素列の輝度値に対応)の加算平均に対 応する信号 ISの一例が、横軸を像位置、縦軸を光強度 (エネルギ強度) Esとして示さ れている。また、この図 3において、符号 SI, S2, S3はスライスレベルを示し、これら のスライスレベル SI, S2, S3は、レジスト感度の一例に対応する。すなわち、パター ンデータ生成装置 32により、信号 ISと上位装置力 取得したレジスト感度情報とに基 づいて、例えばレジスト感度がスライスレベル S2に相当する場合、左から 3番目のラ インパターン像はその線幅が LW2と算出され、例えばレジスト感度がスライスレベル S1に相当する場合、左から 3番目のスペースのラインパターン像はその線幅が LW1 と算出される。パターンデータ生成装置 32は、同一のラインパターン像のその他の部 分の線幅及びその他のラインパターン像の線幅も同様にして算出する。
[0042] また、パターンデータ生成装置 32は、その算出した線幅を、例えばパターン像 PA の投影時にマスク駆動系 30に供給したパターンデータ (設計データ)中のパターン 線幅と比較し、その算出線幅が設計線幅より細い場合には、パターン像 PAの線幅が 太くなるようにパターンデータ (設計データ)を修正する。そして、パターンデータ生成 装置 32は、修正後のパターンデータ (又は修正量のデータ)をメモリに記憶しておく 力 あるいは上位装置に戻す。いずれにしても、パターンデータ生成装置 32は、実 際の露光時には、その修正後のパターンデータをマスク駆動系 30に供給する。
[0043] この場合にお 、て、パターンデータ生成装置 32は、像検出器 42によるパターン像 の検出の度に、上記のパターンデータ (設計データ)の修正を行っても良い。しかし、 これに限らず、例えば信号処理系 48からの信号に基づいて、生成されたパターン像 を解析し、その解析結果に基づいて、生成されたパターン像の質が所定の規格から 外れて 、る場合、例えば線幅誤差の絶対値が所定の許容値を超えて 、る場合にの み、マスク駆動系 30に供給すべきパターンデータ (設計データ)を修正することとして も良い。
[0044] なお、パターンデータ生成装置 32は、パターンデータ(設計データ)を修正しな ヽ 場合において、マスク駆動系 30を介して主制御装置 20との間で通信を行い、その線 幅誤差の情報を主制御装置 20に与えても良い。この場合、主制御装置 20は、その 誤差情報に基づ 、て露光条件を変更 (調整)する。例えばその線幅誤差が露光エリ ァ全体にほぼ均一に生じているのであれば、光源系 22を介して露光量を制御する、 及び Z又は結像特性補正装置 38を介して投影光学系 PLの結像特性 (例えば倍率 など)を制御することとしても良い。ここで、光源系 22による照明光 ILの強度及び Z 又は繰り返し周波数の変更だけでなぐ走査露光時のプレート Pの走査速度、又は前 述の投影領域の走査方向の幅などを変更して露光量を調整しても良い。また、露光 量及び Z又は結像特性の調整をパターンデータの修正と併用することも可能である 。さらに、パターンデータの修正の代わりに、あるいはそれと組み合わせて、前述の 成形光学系による可変成形マスク VMの照明条件の調整を行うこととしても良い。こ の場合、照明光学系 24の瞳面上での照明光 ILの強度分布 (2次光源)を異なる形状 に変更しても良 、し、その形状は実質的に同一として大きさ( σ値など)を変更しても 良い。また、照明条件の調整を行う場合、露光量及び Ζ又は結像特性の調整を併用 しても良い。なお、露光条件は露光量、結像特性、及び照明条件に限られるものでな ぐ例えば投影光学系 PLの開口数、又は走査露光中にプレート上の所定点を連続 的に異なる Z位置に設定して実質的に焦点深度を拡大する超解像技術の実施の有 無、及び Z軸方向の振り幅 (移動範囲)などを含むものとしても良!ヽ。
[0045] ところで、パターン像の線幅の誤差要因として、光学系のフレアなどの他、 、わゆる 光近接効果が挙げられる。この光近接効果によるパターン像の線幅の誤差は、露光 エリア内で不均一となるのが通常であるため、力かる場合のパターン像の線幅の修 正は、前述したパターンデータ (設計データ)修正による方法が効果的である。また、 光近接効果を補正する方法は、光近接効果補正(OPC : Optical Proximity Correct! on)と呼ばれている。この OPCの一種として、補助パターンを用いる方法が知られて いる。ここで、補助パターンを用いる OPCについて簡単に説明する。一例として、ライ ンアンドスペースパターンを採り上げると、周期方向の両端に位置するラインパターン では、その一側(外側)にパターンが存在しないため、その一側から回り込む光の量 力 他のラインパターンより多くなり、結果的にポジレジストに形成されるその両端に 位置するラインパターンのレジスト像の線幅が他のラインパターンのレジスト像の線幅 に比べて小さくなる。このような現象を防止するために、結像されない程度の細い、す なわち線幅が投影光学系 PLの解像限界以下のダミーパターン (補助パターン)を、 周期方向の両端に位置するラインパターンの外側に配置し、このダミーパターンの存 在〖こより、上記の光の回り込み量を減らして、全てのラインパターンのレジスト像線幅 を設計線幅と同じにする技術である。この補助パターンを用いる OPCでも、本実施形 態の方法は有効である。
[0046] 図 4の上段には、一対の補助パターン AP , APが周期方向の両端に配置されたラ
1 2
インアンドスペースパターン LSPが示されている。また、図 4の中段には、その上段の ノ ターンに対応する設計上の光強度 (エネルギ強度)信号が示され、図 4の下段には ゝ実際に検出したラインアンドスペースパターン LSP及び補助パターン AP , APの
1 2 像の主走査ライン上の光強度 (エネルギ強度)信号 (主走査ライン上の画素列の輝度 値に対応)が示されている。
[0047] そこで、パターンデータ生成装置 32は、図 4の下段に示される信号を取得した際に は、その信号とスライスレベル Sとに基づいて、前述の解析及び線幅算出を行い、例 えば両端の 2本のラインパターン像の線幅と、他のラインパターン像の線幅(ここでは 、設計線幅と同一になっているものとする)とを比較して、両端のラインパターン像の 線幅誤差を算出する。そして、その算出結果に応じて、全てのラインパターン像の線 幅がほぼ等しくなるように、補助パターン AP , APの設計データ中の線幅及び位置
1 2
の少なくとも一方を補正することとすれば良い。
[0048] 本第 1の実施形態に係る露光装置 10によると、露光に先立って、前述のようにして 、 ノターンデータ生成装置 32によって、像検出器 42の検出結果に基づいてパター ンの設計データが修正され、その修正後のパターンの設計データがパターンデータ 生成装置 32からマスク駆動系 30に対して出力される。マスク駆動系 30は、設計デー タの入力に対応 (応答)して、可変成形マスク VMの各マイクロミラーを個別に ON、 O FFする。この状態で、可変成形マスク VMが照明系 10からの照明光 ILによって均一 に照明されると、前記設計データに応じた反射パターンが生成される。そして、可変 成形マスク VMで生成されたパターンの情報を含む光束は、投影光学系 PLを介して 、プレート P上にパターンの像を生成する。そして、プレート Pを Y軸方向に走査しつ つ、これに同期して可変成形マスク VMで生成されるパターンをスクロールすることに よって、プレート P上に所望のパターンが徐々に形成される。このようにして、プレート P上にパターン像、例えば前述したパターン像 PAが形成される。
[0049] 以上説明したように、本第 1の実施形態に係る露光装置 100によると、プレートの露 光に先立ち、パターンデータ生成装置 32からの設計データ (パターンデータ)の入 力に応答して、マスク駆動系 30によって可変成形マスク VMの各マイクロミラーが個 別に ON、 OFFされるとともに、照明系 10からの照明光 ILによってその可変成形マス ク VMが照射されて、その可変成形マスク VMで生成されたパターンの像が投影光 学系 PLによって生成され、その生成されたパターン像の少なくとも一部が、像検出器 42によって光電的に検出される。そして、ノターンデータ生成装置 32では、像検出 器 42の信号を処理する信号処理系 48からの信号に基づ 、て、生成されたパターン 像を解析し、その解析結果に応じて、マスク装置 12に供給 (入力)すべき設計データ を修正する。この場合において、ノターンデータ生成装置 32では、投影光学系 PL の像面に形成されるパターン像の少なくとも一部のサイズ、例えば線幅が変更される ように、上記設計データを修正する。
[0050] 従って、プレートの露光の際には、修正後の設計データがマスク装置 12に供給 (入 力)され、その入力に応答して、上述と同様にしてマスク装置 12、照明系 10及び投 影光学系 PLによりプレート P上にパターン像が生成され、該パターン像でプレート P が露光されることで、所望のパターンがプレート P上に精度良く形成される。
[0051] なお、上記実施形態では、投影光学系 PLによって形成されたパターンの投影像を 検出する像検出器 42の検出信号に基づいて、パターンデータ生成装置 32がそのパ ターン像を解析し、その解析結果に応じて、マスク装置 12に供給 (入力)すべき設計 データを修正するものとした。しかし、これに限らず、前述したパターン検出系 44又 は 46でプレート P上に形成されたパターン像、例えばレジスト像を撮像し、その撮像 結果に基づいて、パターンデータ生成装置 32がそのパターン像を解析し、その解析 結果に応じて、マスク装置 12に供給 (入力)すべき設計データを修正することとしても 良い。また、レジスト像の代わりに、前述の潜像、又はエッチング処理を施して得られ る像を解析するようにしても良 、。
[0052] 上記実施形態では、パターン像 (投影像、レジスト像などを含む)の検出装置として 、露光装置 100が像検出器 42、ノターン検出系 44、 46を 3つとも備える場合につい て説明したが、これに限らず、これらの少なくとも 1つを備えていれば良い。なお、前 述したプレート上に形成されるパターン像 (レジスト像など)を検出する装置 (パターン 検出系 44、 46など)は画像処理方式に限られるものでなぐ例えば検出光の照射に よってレジスト像力 発生する回折光又は散乱光を検出する方式などでも良い。
[0053] また、上記実施形態では、投影光学系 PLに対してプレート Pを Y軸方向に走査し つつ可変成形マスク VMで生成するパターンをスクロールしてプレート Pを走査露光 するが、露光装置 100はその走査露光の終了後に、プレート Pを X軸方向にステップ 移動させ、かつプレート Pを再度 Y軸方向に走査しつつ可変成形マスク VMで生成 するパターンをスクロールしてプレート Pを走査露光する、ステップ ·アンド'スティツチ 方式 (スキャン 'アンド'スキャン方式)ある ヽはステップ 'アンド'リピート方式 (ステップ 'アンド'スキャン方式)を採用しても良い。ステップ'アンド'スティツチ方式では、プレ ート P上に大面積のパターンを形成することが可能であり、ステップ 'アンド'リピート方 式では、プレート P上の複数の区画領域にそれぞれパターンを形成することが可能で ある。
[0054] 《第 2の実施形態》
次に、本発明の第 2の実施形態を図 5に基づいて説明する。この第 2の実施形態に 係る露光装置は、照明光学系の一部及び投影光学系の構成、並びにマスクホルダ 2 8及び可変成形マスク VMの配置が、前述の第 1の実施形態と異なるのみで、その他 の部分の構成等は前述した第 1の実施形態と同一若しくは同等である。従って、以下 では、重複説明を避ける観点から相違点を中心に説明するとともに、同一若しくは同 等の構成部分については同一の符号を用いるとともに、その説明を省略するものと する。
[0055] 図 5には、本第 2の実施形態の露光装置を構成する投影光学系 PL等の構成が示 されている。この投影光学系 PLは、 Z軸方向と平行な単一の光軸 AXを有し、分離面 が XY平面と 45° を成して配置される偏光ビームスプリッタ PBSを含む、両側テレセ ントリックな反射屈折系である。なお、照明光学系 24 (図 1参照、本例では図 1中のミ ラー M、及びコンデンサレンズ 26を含まない)はその一部、すなわち可変成形マスク VM上での照明光 ILの照射領域 (照明領域)を規定する視野絞り 62、及びレンズ系 60が示されている。この視野絞り 62は、本例ではレンズ系 60に関して可変成形マス ク VMの表面(マイクロミラーの配置面)とほぼ共役に配置されて 、る。
[0056] 本第 2の実施形態では、可変成形マスク VMは、その表面 (全てのミラーが ON状態 のとき)が XY平面にほぼ平行に配置されるようにマスクホルダ 28に保持され、このマ スクホルダ 28によって XY平面内で微動可能である。なお、上記第 1の実施形態と同 様、可変成形マスク VMを Z軸方向に沿って移動可能、及び Z又は XY平面に対して チルト可能としても良い。
[0057] 投影光学系 PLは、照明光学系 24の一部をも兼ねる、 XY平面に平行に配置された 四分の一波長板 (以下、「 λ Ζ4板」と略述する) 64及び偏光ビームスプリッタ PBSを 含む第 1部分光学系 PL1 'と、第 1部分光学系 PL1 'と共通の光軸 ΑΧを有する第 2 部分光学系 PL2とを含む。
[0058] 偏光ピープスプリッタ PBSの図 5における +Υ側には、光トラップ 66が配置されてい る。また、偏光ピープスプリッタ PBSの図 5における右斜め下の位置には、別の光トラ ップ 68が配置されている。
[0059] 光源系 22 (図 5では不図示、図 1参照)から照明光学系 24に入射した直線偏光、 例えば S偏光の照明光 ILは、視野絞り 62、及びレンズ系 60を介して偏光ビームスプ リツタ PBSに入射する。そして、その照明光 ILは、偏光ビームスプリッタ PBSで反射さ れた後、 λ Ζ4板 64を通過して円偏光となって可変成形マスク VMに入射する。
[0060] 照明光 ILは、可変成形マスク VM上の照明領域内の多数のマイクロミラーのうち O N状態にあるマイクロミラーで Z方向へ反射され、前と逆向きの円偏光となって、 λ Z4板 64を再度通過し、入射時とは偏光方向が異なる直線偏光、すなわち P偏光と なって偏光ビームスプリッタ PBSに入射する。そして、この P偏光の照明光 ILが、偏 光ビームスプリッタ PBSを透過して第 2部分光学系 PL2に入射し、該第 2部分光学系 PL2を介して表面にレジストが塗布されたプレート Pに投射される。このようにして、投 影光学系 PLによって、照明光 ILによって照明された可変成形マスク VMのパターン 力 被露光面 (像面)上に配置されたプレート P上の露光エリア (照明領域と共役な投 影領域)内に投影倍率 IS ( βは例えば 1Z500)で縮小投影される。
[0061] 一方、可変成形マスク VM上の照明領域内で OFF状態にあるマイクロミラーに入射 した照明光 ILは、そのマイクロミラーで露光光路外、すなわち図 5における右斜め下 方向に反射され、 λ Ζ4板 64及び偏光ビームスプリッタ PBSを通過して光トラップ 68 で吸収される。
[0062] なお、 S偏光の照明光 ILは、偏光ビームスプリッタ PBSで殆ど反射されるが、僅か に透過する。その偏光ビームスプリッタ PBSを透過した照明光 ILを吸収するために、 光トラップ 66は設けられている。
[0063] その他の部分の構成などは、前述した第 1の実施形態と同様である。
[0064] 従って、本第 2の実施形態に係る露光装置によると、前述した第 1の実施形態と同 等の効果を得られる。これに加え、本第 2の実施形態に係る露光装置では、反射型 の可変成形マスク VMへの照明光 ILの入射角を 90° にすることができるので、両側 テレセントリック、すなわち像面側(プレート側)及び物体面側(マスク側)がテレセント リックな投影光学系 PLを使用することが可能となり、露光装置の結像性能を向上する ことができる。
[0065] なお、上記第 1の実施形態において、第 2の実施形態と同様に、前述のビームスプ リツタ BSに代えて、偏光ビームスプリッタと λ Ζ4板を設けても良い。この場合、投影 光学系 PLにおける光量損失を大幅に抑制することができる。
[0066] また、上記各実施形態では露光装置がパターンデータ生成装置 32を備えて 、なく ても良い。この場合、例えば外部装置 (パターンデータ生成装置、又はその上位装置 に相当)などから取得した可変成形マスク VMで生成すべきマスクパターン情報 (表 示データ)、及び前述したパターン像の検出結果に基づき、主制御装置 20がパター ンデータの修正などを行うこととしても良い。あるいは、主制御装置 20は前述したパタ ーン像の検出を行い、パターンデータの修正などはその外部装置などで行うこととし ても良い。勿論、パターンデータの修正だけでなぐ前述した照明条件、露光量、及 び結像特性にっ 、ても同様である。
[0067] なお、上記各実施形態では露光装置のパターン検出系 44、 46を用いてプレート上 に形成されたパターン像 (レジスト像など)を検出するものとしたが、露光装置以外、 例えば計測専用の装置 (例えば、レジストレーシヨン測定機、ウェハ検査装置など)を 用いてプレート上のパターン像を検出することとしても良い。この場合、計測専用の 装置がその計測結果と、前述のマスクパターン情報とに基づ!/、てパターンデータの 修正などを行っても良 、し、ある!/、は通信回線などを介してその計測結果を他の装 置 (例えば、上記の外部装置又は主制御装置 20など)に送り、他の装置によってパタ ーンデータの修正などを行っても良 、。
[0068] また、露光及び現像処理を経てプレート上に形成されるレジスト像のパターンサイ ズ、例えば線幅が目標線幅であっても、さらにキュア (加熱)及びエッチング処理を経 てプレート上に形成されるパターン像の線幅が目標線幅と異なってしまうことがある。 そこで、前述の潜像又はレジスト像ではなぐエッチング後に形成されるパターン像を 検出し、前述のパターンデータの修正などではこの検出結果を用いることとしても良 い。あるいは、シミュレーション又は実験などによって、事前にパターン像の線幅特性 を求めておき、前述のパターンデータの修正などではパターン像 (投影像、潜像、及 びレジスト像の 、ずれか)の検出結果とその線幅特性とを用いることとしても良 、。こ の場合、例えば、可変成形マスクで生成されるパターンの投影像の先鋭特性 (投影 像の像強度分布における所定位置での微分値又はログスロープ、ある 、は投影像の コントラストなど)に基づいて、プレート上に形成されるレジスト像のパターンプロフアイ ルを予測し、かつそのパターンプロファイルに基づ 、てデバイス線幅特性を予測する ことが好ましい。さらに、パターンプロファイルはレジスト像の加熱処理による変形を考 慮して予測することが好ましく、デバイス線幅特性はエッチング特性を考慮して予測 することが好ましい。
[0069] なお、上記各実施形態ではパターン像 (投影像、レジスト像など)の検出結果に基 づいてパターンデータの修正などを行うものとした力、例えばその検出結果を用いて 可変成形マスク VMのマイクロミラーの動作状態の確認などを行うこととしても良い。 具体的には、可変成形マスク VMで生成されるパターンの投影時に ON状態となるベ きマイクロミラーが OFF状態になっている場合、その動作不良のマイクロミラーによつ てパターン像の一部に欠陥が生じる。このパターン欠陥は、パターン像の検出結果と 前述のマスクパターン情報との比較力も特定することができ、この特定されたパター ン欠陥に基づいて動作不良のマイクロミラーを求めることができる。この場合、可変成 形マスクを交換しても良いし、あるいは可変生成マスク VMと前述の照明領域とを相 対移動し、その照明領域内力 動作不良のマイクロミラーを外すようにしても良い。
[0070] また、上記各実施形態においては、可変成形マスク (電子マスク) VMの各素子 (マ イク口ミラーなど)をオン'オフすることにより転写パターンを形成している力 可変成 形マスクの各素子をオン'オフすることに加え、各素子の中間調を用いてパターンを 形成しても良い。可変成形マスクとして、例えば透過型液晶マスクを用いる場合には 、可変成形マスクの各素子を中間調で駆動することにより、可変成形マスク上での照 明光の照度が不均一であっても、実質的に照度が均一な照明光とすることができる。 従って、照明光学系の光学特性 (収差など)又は経年劣化により生じる照明光の照 度分布の不均一性、ひ 、てはパターン像線幅の不均一を補正することが可能となる
[0071] なお、上記各実施形態では、ノターン像の特性としてサイズ (線幅)を求めるものと したが、その像特性はサイズに限られるものでなぐ例えばコントラストなどを求めても 良い。
[0072] また、パターン像の検出時、ステージ STは静止させておくものとした力 可変成形 マスク VMの各マイクロミラーのオン.オフとステージ STの移動とを同期させてパター ン像を生成し、その同期中にパターン像を像検出器 42にて検出する、あるいはプレ ート上に転写して得られる像 (レジスト像など)を検出するようにしても良 、。
[0073] さらに前述の像検出器 42の受光素子として CCDを用いるものとしたが、その代わり に、例えば TDI (Time Delay and Integration)センサなどを用いても良い。また、前述 の像検出器 42はその少なくとも一部がステージ STに設けられるものとした力 ステー ジ STとは別の可動体に像検出器 42の一部又は全部を設けても良い。この別の可動 体は、例えば特開平 11— 135400号公報 (対応国際公開第 1999Z23692号パン フレット)、特開 2000— 164504号公報(対応米国特許第 6,897,963号明細書)な どに開示される、計測部材 (基準マーク、センサなど)を有する計測ステージなどでも 良い。
[0074] また、上記各実施形態では、露光装置が可変成形マスク VM及び投影光学系 PL を一組だけ備えるものとしたが、例えば露光エリアが非走査方向(X軸方向)に配置さ れる複数組の可変成形マスク VM及び投影光学系 PLを設けても良い。なお、前述の 照明光学系は視野絞りを備えるものとしたが、その視野絞りを設けず、例えば可変成 形マスクの各マイクロミラーのオン ·オフにより、プレート上での照明光 ILの照射領域( 前述の露光エリアに対応)を実質的に規定するようにしても良 、。
[0075] なお、上記各実施形態では、露光装置が可変成形マスク (アクティブマスク、ィメー ジジェネレータ)として非発光型画像表示素子である DMDを備えるものとした力 可 変成形マスクは DMDに限られるものでなぐ DMDに代えて、以下に説明する非発 光型画像表示素子を用いても良い。ここで、非発光型画像表示素子は、空間光変調 器(SLM : Spatial Light Modulator)とも呼ばれ、所定方向へ進行する光の振幅(強度 )、位相あるいは偏光の状態を空間的に変調する素子であり、透過型空間光変調器 としては、透過型液晶表示素子(LCD : Liquid Crystal Display)以外に、エレクトロタ 口ミックディスプレイ (ECD)等が例として挙げられる。また、反射型空間光変調器とし ては、上述の DMDの他に、反射ミラーアレイ、反射型液晶表示素子、電気泳動ディ スプレイ(EPD : Electro Phonetic Display)、電子ペーパー(又は電子インク)、光回折 型ライトバルブ(Grating Light Valve)等が例として挙げられる。
[0076] なお、反射型の非発光型画像表示素子を用いて可変成形マスクを構成する場合、 投影光学系としては、前述した反射屈折系の他、反射系を用いることもできる。また、 透過型の非発光型画像表示素子を用いて可変成形マスクを構成する場合、投影光 学系としては、反射屈折系、反射系のみならず、屈折系をも採用することができる。
[0077] また、上記各実施形態の露光装置は、非発光型画像表示素子を備える可変成形 マスクに代えて、自発光型画像表示素子を含むパターン生成装置を備えるようにし ても良い。この場合、照明系は不要となる。ここで自発光型画像表示素子としては、 例えば、 CRT (Cathode Ray Tube)、無機 ELディスプレイ、有機 ELディスプレイ(OL ED : Organic Light Emitting Diode)、: LEDディスプレイ、 LDディスプレイ、電界放出 アイスプレイ (FED : Field Emission Display)、プフズマアイスプレイ (PDP : Plasma Dis play Panel)等が挙げられる。また、パターン生成装置が備える自発光型画像表示素 子として、複数の発光点を有する固体光源チップ、チップを複数個アレイ状に配列し た固体光源チップアレイ、又は複数の発光点を 1枚の基板に作り込んだタイプのもの 等を用い、該固体光源チップを電気的に制御してパターンを形成しても良い。なお、 固体光源素子は、無機、有機を問わない。
[0078] なお、自発光型画像表示素子を含むパターン生成装置を備える場合に、投影光学 系又は結像光学系を用いる場合には、それらの光学系として、反射屈折系、反射系 のみならず、屈折系をも採用することができる。
[0079] また、本発明の露光装置が備える投影光学系は、縮小系に限らず、等倍系、あるい は拡大系であっても良い。さらに、投影光学系の投影領域に生成される投影像は、 倒立像及び正立像の!/、ずれでも良!、。
[0080] なお、例えば国際公開第 99Z49504号パンフレット、欧州特許出願公開第 1,420 ,298号明細書、国際公開第 2004Z055803号パンフレット、特開 2004— 289126 号公報 (対応米国特許第 6,952,253号明細書)などに開示されているように、投影 光学系とプレートとの間に照明光の光路を含む液浸空間を形成し、投影光学系及び 液浸空間の液体を介して照明光でプレートを露光する露光装置にも本発明を適用 することができる。
[0081] また、例えば特開平 10— 163099号公報及び特開平 10— 214783号公報(対応 米国特許第 6,590,634号明細書)、特表 2000— 505958号公報 (対応米国特許第 5,969,441号明細書)、米国特許第 6, 208,407号明細書などに開示されているよう に、複数のステージを備えたマルチステージ型の露光装置にも本発明を適用できる
[0082] さらに、例えば特表 2004— 519850号公報及びこれに対応する米国特許第 6,61 1,316号明細書などに開示されているように、複数の可変成形マスクでそれぞれ生 成されるパターンを、投影光学系を介してプレート上で合成し、 1回の走査露光によ つてプレート上の 1つの領域をほぼ同時に二重露光する露光装置にも本発明を適用 することができる。
[0083] なお、複数の光学素子からそれぞれ構成される照明光学系、及び投影光学系を露 光装置本体に組み込んで光学調整を行うとともに、前述の可変成形マスク (マスク装 置)、多数の機械部品力 なるステージを露光装置本体に取り付けて配線や配管を 接続し、更に総合調整 (電気調整、動作確認等)をすることにより、上記各実施形態 の露光装置を製造することができる。なお、露光装置の製造は温度及びクリーン度等 が管理されたクリーンルームで行うことが望ましい。
[0084] また、本発明は、半導体デバイスの製造プロセスへの適用に限定されることなぐ例 えば、液晶表示素子又はプラズマディスプレイ等のディスプレイ装置の製造プロセス 、撮像素子(CCD等)、マイクロマシーン、 MEMS(Microelectromechanical Systems: 微小電気機械システム)、セラミックスウェハ等を基板として用いる薄膜磁気ヘッド、及 び DNAチップ等の各種デバイスの製造プロセスにも広く適用できる。さらに、本発明 は、各種デバイスのマスクパターンが形成されたマスク(フォトマスク、レチクル等)を フォトリソグラフイエ程を用いて製造する際の、製造プロセスにも適用することができる
[0085] さらに、本発明は、露光対象となる物体 (プレート)がウェハに限られるものでなぐ ガラスプレート、セラミック基板、フィルム部材、あるいはマスクブランクスなど他の物体 でも良いし、その形状も円形に限らず矩形などでも良い。
[0086] なお、本国際出願で指定 (又は選択)された国の法令で許容される限りにおいて、 上記各実施形態及び変形例で引用した露光装置などに関する全ての公開公報 (国 際公開パンフレットを含む)及び米国特許明細書の開示を援用して本明細書の記載 の一部とする。
[0087] 《デバイス製造方法》
次に、上記第 1、第 2の実施形態の露光装置をリソグラフイエ程で使用したマイクロ デバイスの製造方法について説明する。図 6は、マイクロデバイスとしての半導体デ バイスの製造方法を説明するためのフローチャートである。 [0088] まず、図 6のステップ 102において、 1ロットのウエノ、(プレート)上に金属膜が蒸着さ れる。次のステップ 104において、その 1ロットのウエノ、(プレート)上の金属膜上にフ オトレジストが塗布される。その後、ステップ 106において、上記第 1、第 2の実施形態 の露光装置を用いて、パターンデータ生成装置 32で修正された設計データに基づ V、て可変成形マスク VMにお 、て生成されたパターンの像が投影光学系 PLを介し て、その 1ロットのウェハ(プレート)上の各ショット領域に順次投影される。すなわち、 ウェハ (プレート)上の各ショット領域がパターン像で順次露光される。
[0089] その後、ステップ 108において、その 1ロットのウエノ、(プレート)上のフォトレジストの 現像が行われた後、ステップ 110において、その 1ロットのウエノ、(プレート)上でレジ ストパターンをマスクとしてエッチングを行うことによって、可変成形マスク VMで生成 されたパターンに対応する回路パターン力 各ウェハ(プレート)上の各ショット領域 に形成される。
[0090] その後、更に上のレイヤの回路パターンの形成等を行うことによって、半導体素子 等のデバイスが製造される。従って、パターンデータ生成装置 32で修正された設計 データに基づいて可変成形マスク VMにおいて生成されたパターンの像、すなわち 所望の線幅のパターン像を精度良く形成することができ、結果的に、半導体素子等 のデバイスを歩留り良く製造することができる。
[0091] また、上記第 1、第 2の実施形態に係る露光装置では、プレート (ガラス基板)上に 所定のパターン(回路パターン、電極パターン等)を形成することによって、マイクロ デバイスとしての液晶表示素子を得ることもできる。図 7は、第 1、第 2の実施形態の 露光装置を用いてプレート上に所定のパターンを形成することによって、マイクロデ バイスとしての液晶表示素子を製造する方法を説明するためのフローチャートである
[0092] 図 7のステップ 202のパターン形成工程では、第 1、第 2の実施形態の露光装置を 用いて、パターンデータ生成装置 32で修正された設計データに基づいて可変成形 マスク VMにお ヽて生成されたパターンの像を投影光学系 PLを介して感光性基板 ( レジストが塗布されたガラス基板等)に形成する、いわゆる光リソグラフイエ程が実行 される。この光リソグラフイエ程によって、感光性基板上には多数の電極等を含む所 定パターンが形成される。その後、露光された基板は、現像工程、エッチング工程、 レジスト剥離工程等の各工程を経ることによって、基板上に所定のパターンが形成さ れる。
[0093] 次に、ステップ 204のカラーフィルタ形成工程において、 R(Red)、 G (Green)、 B (B1 ue)に対応した 3つのドットの組がマトリックス状に多数配列されたり、又は R、 G、 Bの 3本のストライプのフィルタの組を複数水平走査線方向に配列したカラーフィルタを形 成する。そして、カラーフィルタ形成工程 (ステップ 204)の後に、ステップ 206のセル 組み立て工程が実行される。ステップ 206のセル組み立て工程では、パターン形成 工程にて得られた所定パターンを有する基板、及びカラーフィルタ形成工程にて得 られたカラーフィルタ等を用いて液晶パネル (液晶セル)を組み立てる。
[0094] ステップ 206のセル組み立て工程では、例えば、パターン形成工程にて得られた所 定パターンを有する基板とカラーフィルタ形成工程にて得られたカラーフィルタとの 間に液晶を注入して、液晶パネル (液晶セル)を製造する。その後、ステップ 208のモ ジュール組立工程にて、組み立てられた液晶パネル (液晶セル)の表示動作を行わ せる電気回路、バックライト等の各部品を取り付けて液晶表示素子として完成させる。 従って、このマイクロデバイスの製造方法のパターン形成工程においては、パターン データ生成装置 32で修正された設計データに基づ ヽて可変成形マスク VMにお ヽ て生成されたパターンの像、すなわち所望の線幅のパターン像を精度良く形成する ことができ、結果的に液晶表示素子を歩留り良く製造することができる。
産業上の利用可能性
[0095] 以上説明したように、本発明の露光装置及び露光方法は、プレートなどの物体上に パターン像を形成するのに適している。また、本発明のデバイス製造方法は、マイク 口デバイスの製造に適して 、る。

Claims

請求の範囲
[1] パターン像で物体を露光する露光装置であって、
設計データの入力に対応して、パターン像を生成するパターン像生成装置と; 前記生成されたパターン像、又は前記生成されて物体上に形成されたパターン像 の少なくとも一部を光電的に検出する検出系と;
検出結果に基づいて前記パターン像生成装置に入力すべき前記設計データを修 正する修正装置と;を備える露光装置。
[2] 請求項 1に記載の露光装置において、
前記修正装置は、前記パターン像を検出する度に、その検出結果に応じて前記パ ターン像生成装置に入力すべき前記設計データを修正する露光装置。
[3] 請求項 1に記載の露光装置において、
前記修正装置は、前記パターン像の質が所定の規格から外れているときに、前記 パターン像生成装置に入力すべき前記設計データを修正する露光装置。
[4] 請求項 3に記載の露光装置において、
前記パターン像の質には、前記パターン像の線幅誤差の絶対値が含まれる露光装 置。
[5] 請求項 1に記載の露光装置において、
前記パターン像生成装置は、前記設計データの入力に対応して、所定方向へ進行 する光の振幅、位相及び偏光の状態の少なくとも 1つを空間的に変調してパターンを 発生する可変成形マスクと、前記可変成形マスクで発生したパターンを前記物体上 に結像させる光学系とを含む露光装置。
[6] 請求項 5に記載の露光装置において、
前記可変成形マスクは、反射型の可変成形マスクであり、
前記光学系は、像側テレセントリックな反射屈折系であり、前記可変成形マスクで 反射された光の該光学系の光軸に対するァオリ角をほぼ零にする光学素子を含む 露光装置。
[7] 請求項 5に記載の露光装置において、
前記可変成形マスクは、反射型の可変成形マスクであり、 前記光学系は、前記可変成形マスクに入射する前の光、及び前記可変成形マスク で反射した光がそれぞれ通過するビームスプリッタを含む、両側テレセントリックな反 射屈折系である露光装置。
[8] 請求項 1に記載の露光装置において、
前記検出系は、その少なくとも一部が可動体に設けられ、前記パターン像生成装 置により生成されるパターン像の光強度分布に応じた信号を出力する空間像検出器 を含む露光装置。
[9] 請求項 1に記載の露光装置において、
前記検出系は、前記物体上に形成されたパターン像を撮像した撮像信号を出力す る画像処理方式の検出器を含む露光装置。
[10] 請求項 1に記載の露光装置において、
前記修正装置は、前記パターン像中の少なくとも一部のサイズが変更されるように、 前記設計データを修正する露光装置。
[11] 請求項 1に記載の露光装置において、
前記パターン像生成装置により生成されるパターン像は、光近接効果補正用の補 助パターンを有するパターンの像であり、
前記修正装置は、前記補助パターンのサイズ及び位置の少なくとも一方が変更さ れるように、前記設計データを修正する露光装置。
[12] 請求項 1に記載の露光装置において、
前記修正装置は、前記検出結果に応じて前記物体の露光条件を修正する露光装 置。
[13] パターン像で物体を露光する露光装置であって、
設計データの入力に対応して光の振幅、位相及び偏光の状態の少なくとも 1つを 空間的に変調する可変成形マスクを介してパターン像を生成するパターン像生成装 置と;
前記生成されたパターン像、又は前記生成されて物体上に形成されたパターン像 の少なくとも一部を光電的に検出する検出系と;
前記検出結果に基づいて前記可変成形マスクの動作状態を検出する制御装置と; を備える露光装置。
[14] 請求項 1〜13のいずれか一項に記載の露光装置を用いて物体を露光するリソダラ フイエ程を含むデバイス製造方法。
[15] 設計データの入力に対応して生成されるパターン像で物体を露光する露光方法で あって、
前記設計データに対応して生成されるパターン像、又は該生成されて物体上に形 成されるパターン像を検出し、その検出結果に応じて前記設計データを修正し、 前記物体の露光時、前記修正された設計データを用いてパターン像を生成する露 光方法。
[16] 請求項 15に記載の露光方法において、
前記パターン像の質が所定の規格力 外れているときに、前記設計データを修正 する露光方法。
[17] 請求項 15に記載の露光方法において、
前記パターン像は可変成形マスク及び投影系を介して生成される露光方法。
[18] 請求項 17に記載の露光方法において、
前記投影系の像面に生成されるパターン像の少なくとも一部を光電的に検出する 露光方法。
[19] 請求項 15に記載の露光方法において、
前記検出結果に応じて前記物体の露光条件を修正する露光方法。
[20] パターン像で物体を露光する露光方法であって、
設計データの入力に対応して、可変成形マスクを介して生成されるパターン像、又 は前記生成されて物体上に形成されるパターン像を検出し、
前記検出結果に基づいて前記可変成形マスクの動作状態を検出する露光方法。
[21] 請求項 20に記載の露光方法において、
前記検出結果に基づいて前記設計データを修正し、前記物体の露光時、前記修 正された設計データを用いてパターン像を生成する露光方法。
[22] 請求項 15〜21のいずれか一項に記載の露光方法を用いて物体を露光するリソグ ラフイエ程を含むデバイス製造方法。
PCT/JP2006/322716 2005-11-15 2006-11-15 露光装置及び露光方法、並びにデバイス製造方法 WO2007058188A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
EP06832647A EP1956431A4 (en) 2005-11-15 2006-11-15 EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD
JP2007545252A JPWO2007058188A1 (ja) 2005-11-15 2006-11-15 露光装置及び露光方法、並びにデバイス製造方法
US12/120,838 US7573052B2 (en) 2005-11-15 2008-05-15 Exposure apparatus, exposure method, and device manufacturing method
US12/494,937 US8605249B2 (en) 2005-11-15 2009-06-30 Exposure apparatus, exposure method, and device manufacturing method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005330839 2005-11-15
JP2005-330839 2005-11-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/120,838 Continuation US7573052B2 (en) 2005-11-15 2008-05-15 Exposure apparatus, exposure method, and device manufacturing method

Publications (1)

Publication Number Publication Date
WO2007058188A1 true WO2007058188A1 (ja) 2007-05-24

Family

ID=38048573

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2006/322716 WO2007058188A1 (ja) 2005-11-15 2006-11-15 露光装置及び露光方法、並びにデバイス製造方法

Country Status (6)

Country Link
US (2) US7573052B2 (ja)
EP (1) EP1956431A4 (ja)
JP (1) JPWO2007058188A1 (ja)
KR (1) KR20080068006A (ja)
TW (1) TWI479271B (ja)
WO (1) WO2007058188A1 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009145048A1 (ja) * 2008-05-28 2009-12-03 株式会社ニコン 空間光変調器の検査装置および検査方法、照明光学系、照明光学系の調整方法、露光装置、およびデバイス製造方法
JP2010211117A (ja) * 2009-03-12 2010-09-24 Toshiba Corp パターン補正装置およびパターン補正方法
WO2011040745A3 (ko) * 2009-09-30 2011-11-03 서울대학교 산학협력단 영상 처리 기반 리소그래피 시스템 및 표적물 코팅 방법
CN101527259B (zh) * 2008-03-06 2011-11-16 东京毅力科创株式会社 衬底的处理方法、计算机存储介质以及衬底处理系统
KR101101315B1 (ko) 2010-01-20 2011-12-30 서울대학교산학협력단 영상 처리 기반 리소그래피 시스템 및 표적물 코팅 방법
US8451427B2 (en) 2007-09-14 2013-05-28 Nikon Corporation Illumination optical system, exposure apparatus, optical element and manufacturing method thereof, and device manufacturing method
US8462317B2 (en) 2007-10-16 2013-06-11 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US9057877B2 (en) 2007-10-24 2015-06-16 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9097981B2 (en) 2007-10-12 2015-08-04 Nikon Corporation Illumination optical apparatus, exposure apparatus, and device manufacturing method
US9116346B2 (en) 2007-11-06 2015-08-25 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007058188A1 (ja) * 2005-11-15 2007-05-24 Nikon Corporation 露光装置及び露光方法、並びにデバイス製造方法
JP4863840B2 (ja) * 2006-10-27 2012-01-25 株式会社リコー 画素形成装置、光走査装置、光走査方法、画像形成装置、カラー画像形成装置
KR100989130B1 (ko) * 2008-08-19 2010-10-20 삼성모바일디스플레이주식회사 레이저 조사 장치 및 그를 이용한 유기전계발광표시장치의 제조 방법
WO2010032224A2 (en) * 2008-09-22 2010-03-25 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
JP5385652B2 (ja) * 2009-03-24 2014-01-08 キヤノン株式会社 位置検出装置、露光装置、位置検出方法、露光方法及びデバイス製造方法
TWI448830B (zh) 2010-02-09 2014-08-11 Asml Netherlands Bv 微影裝置及元件製造方法
WO2011104180A1 (en) 2010-02-23 2011-09-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP2539771B1 (en) 2010-02-25 2017-02-01 ASML Netherlands BV Lithographic apparatus and device manufacturing method
US8743199B2 (en) * 2010-03-09 2014-06-03 Physical Optics Corporation Omnidirectional imaging optics with 360°-seamless telescopic resolution
JP5738981B2 (ja) 2010-04-12 2015-06-24 エーエスエムエル ネザーランズ ビー.ブイ. 基板ハンドリング装置、リソグラフィ装置、ツール、及びデバイス製造方法
JP5627394B2 (ja) 2010-10-29 2014-11-19 キヤノン株式会社 マスクのデータ及び露光条件を決定するためのプログラム、決定方法、マスク製造方法、露光方法及びデバイス製造方法
JP5689535B2 (ja) 2010-12-08 2015-03-25 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
WO2012130532A1 (en) 2011-03-29 2012-10-04 Asml Netherlands B.V. Measurement of the position of a radiation beam spot in lithography
WO2012136434A2 (en) 2011-04-08 2012-10-11 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
JP2014514764A (ja) 2011-04-21 2014-06-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置、リソグラフィ装置をメンテナンスするための方法、及びデバイス製造方法
US9690210B2 (en) 2011-08-18 2017-06-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
NL2009342A (en) 2011-10-31 2013-05-07 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
US9696636B2 (en) 2011-11-29 2017-07-04 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and computer program
JP5886979B2 (ja) * 2011-11-29 2016-03-16 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置用の所望のデバイスパターンのベクタ形式表現を変換する装置および方法、プログラマブルパターニングデバイスにデータを供給する装置および方法、リソグラフィ装置、デバイス製造方法
JP5840303B2 (ja) 2011-12-05 2016-01-06 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
JP5881851B2 (ja) 2011-12-06 2016-03-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置、セットポイントデータを提供する装置、デバイス製造方法、セットポイントデータの計算方法、およびコンピュータプログラム
NL2009902A (en) 2011-12-27 2013-07-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
KR101633759B1 (ko) 2012-01-12 2016-06-27 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치, 세트포인트 데이터를 제공하는 장치, 디바이스 제조 방법, 세트포인트 데이터를 제공하는 방법, 및 컴퓨터 프로그램
US9568831B2 (en) 2012-01-17 2017-02-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2013124114A1 (en) 2012-02-23 2013-08-29 Asml Netherlands B.V. Device, lithographic apparatus, method for guiding radiation and device manufacturing method
US9128064B2 (en) 2012-05-29 2015-09-08 Kla-Tencor Corporation Super resolution inspection system
NL2012052A (en) 2013-01-29 2014-08-04 Asml Netherlands Bv A radiation modulator for a lithography apparatus, a lithography apparatus, a method of modulating radiation for use in lithography, and a device manufacturing method.
KR102065107B1 (ko) * 2013-05-20 2020-02-12 삼성디스플레이 주식회사 무마스크 노광 장치
JP6192372B2 (ja) * 2013-06-11 2017-09-06 キヤノン株式会社 マスクパターンの作成方法、プログラムおよび情報処理装置
US9710592B2 (en) 2014-05-23 2017-07-18 International Business Machines Corporation Multiple-depth trench interconnect technology at advanced semiconductor nodes
US9454631B2 (en) 2014-05-23 2016-09-27 International Business Machines Corporation Stitch-derived via structures and methods of generating the same
WO2017011188A1 (en) 2015-07-13 2017-01-19 Applied Materials, Inc. Quarter wave light splitting
JP6969164B2 (ja) * 2017-05-31 2021-11-24 株式会社リコー 評価装置、評価プログラム及び評価方法
US10503076B1 (en) * 2018-08-29 2019-12-10 Applied Materials, Inc. Reserving spatial light modulator sections to address field non-uniformities
US10678150B1 (en) 2018-11-15 2020-06-09 Applied Materials, Inc. Dynamic generation of layout adaptive packaging
DE102019200981B3 (de) * 2019-01-25 2020-06-25 Carl Zeiss Smt Gmbh Projektionsbelichtungsanlage für die Mikrolithographie
DE102020203750A1 (de) * 2020-03-24 2021-09-30 Carl Zeiss Smt Gmbh Vorrichtung zur Erfassung einer Temperatur, Anlage zur Herstellung eines optischen Elementes und Verfahren zur Herstellung eines optischen Elementes
CN116068860A (zh) * 2021-11-04 2023-05-05 邱俊荣 曝光装置与曝光方法
TW202347050A (zh) 2022-05-03 2023-12-01 日商尼康股份有限公司 用於監測無罩幕微影系統中的空間光調變器耀斑的系統和方法、曝光設備、曝光方法、及裝置製造方法

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10163099A (ja) 1996-11-28 1998-06-19 Nikon Corp 露光方法及び露光装置
JPH10214783A (ja) 1996-11-28 1998-08-11 Nikon Corp 投影露光装置及び投影露光方法
JPH1123692A (ja) 1997-06-30 1999-01-29 Sekisui Chem Co Ltd 地中探査用アンテナ
JPH1149504A (ja) 1997-07-29 1999-02-23 Toshiba Eng Co Ltd 廃活性炭と水との分離装置
JPH11135400A (ja) 1997-10-31 1999-05-21 Nikon Corp 露光装置
WO1999046835A1 (fr) 1998-03-11 1999-09-16 Nikon Corporation Dispositif a laser ultraviolet et appareil d'exposition comportant un tel dispositif a laser ultraviolet
US5969441A (en) 1996-12-24 1999-10-19 Asm Lithography Bv Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
JP2000164504A (ja) 1998-11-30 2000-06-16 Nikon Corp ステージ装置、露光装置、及び前記ステージ装置を用いた位置決め方法
US6208407B1 (en) 1997-12-22 2001-03-27 Asm Lithography B.V. Method and apparatus for repetitively projecting a mask pattern on a substrate, using a time-saving height measurement
US6590634B1 (en) 1996-11-28 2003-07-08 Nikon Corporation Exposure apparatus and method
US6611316B2 (en) 2001-02-27 2003-08-26 Asml Holding N.V. Method and system for dual reticle image exposure
JP2004055803A (ja) 2002-07-19 2004-02-19 Renesas Technology Corp 半導体装置
EP1420298A2 (en) 2002-11-12 2004-05-19 ASML Netherlands B.V. Immersion lithographic apparatus and device manufacturing method
JP2004289126A (ja) 2002-11-12 2004-10-14 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2004304135A (ja) * 2003-04-01 2004-10-28 Nikon Corp 露光装置、露光方法及びマイクロデバイスの製造方法
JP2004319899A (ja) * 2003-04-18 2004-11-11 Nikon Corp 露光装置及び露光方法
JP2004327660A (ja) 2003-04-24 2004-11-18 Nikon Corp 走査型投影露光装置、露光方法及びデバイス製造方法
US6897963B1 (en) 1997-12-18 2005-05-24 Nikon Corporation Stage device and exposure apparatus
JP2005173563A (ja) * 2003-11-07 2005-06-30 Asml Netherlands Bv リソグラフィ装置及びデバイス製造法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1293833A1 (en) 1991-08-22 2003-03-19 Nikon Corporation High resolution printing technique by using a mask pattern adapted to the technique
JP3204406B2 (ja) 1991-10-30 2001-09-04 株式会社ニコン 面位置検出方法及び装置、半導体露光装置、並びに前記方法を用いた露光方法
WO1999049504A1 (fr) 1998-03-26 1999-09-30 Nikon Corporation Procede et systeme d'exposition par projection
WO2000027079A1 (en) * 1998-10-30 2000-05-11 Broadcom Corporation Internet gigabit ethernet transmitter architecture
JP2001165632A (ja) * 1999-12-03 2001-06-22 Sony Corp 検査装置及び検査方法
US20020041377A1 (en) 2000-04-25 2002-04-11 Nikon Corporation Aerial image measurement method and unit, optical properties measurement method and unit, adjustment method of projection optical system, exposure method and apparatus, making method of exposure apparatus, and device manufacturing method
JP2002195912A (ja) * 2000-12-27 2002-07-10 Nikon Corp 光学特性計測方法及び装置、露光装置、並びにデバイス製造方法
US20060285100A1 (en) * 2001-02-13 2006-12-21 Nikon Corporation Exposure apparatus and exposure method, and device manufacturing method
TWI220999B (en) * 2001-02-13 2004-09-11 Nikon Corp Measuring method of image formation characteristic, exposure method, exposure apparatus and its adjustment method, manufacture method of device, and recording medium
US6532097B1 (en) * 2001-10-11 2003-03-11 Applied Materials, Inc. Image registration apparatus having an adjustable reflective diffraction grating and method
US6618185B2 (en) * 2001-11-28 2003-09-09 Micronic Laser Systems Ab Defective pixel compensation method
US20030233630A1 (en) * 2001-12-14 2003-12-18 Torbjorn Sandstrom Methods and systems for process control of corner feature embellishment
KR101087930B1 (ko) * 2002-08-24 2011-11-28 매스크리스 리소그래피 인코퍼레이티드 연속적인 직접-기록 광 리소그래피 장치 및 방법
WO2004055803A1 (en) 2002-12-13 2004-07-01 Koninklijke Philips Electronics N.V. Liquid removal in a method and device for irradiating spots on a layer
JP4156920B2 (ja) * 2002-12-20 2008-09-24 ギガフォトン株式会社 レーザ装置及びそれを用いた露光装置
JP2005005521A (ja) * 2003-06-12 2005-01-06 Nikon Corp 露光装置、露光方法、および偏光状態測定装置
JP2005158784A (ja) * 2003-11-20 2005-06-16 Nikon Corp 露光装置および露光方法
US7190434B2 (en) 2004-02-18 2007-03-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7061586B2 (en) 2004-03-02 2006-06-13 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1730596B1 (en) * 2004-03-30 2011-02-16 Carl Zeiss SMT AG Projection objective and projection exposure apparatus
JP2005302826A (ja) * 2004-04-07 2005-10-27 Nikon Corp 照明光学装置、露光装置及び露光方法
US6963434B1 (en) 2004-04-30 2005-11-08 Asml Holding N.V. System and method for calculating aerial image of a spatial light modulator
US20050243295A1 (en) 2004-04-30 2005-11-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing
WO2007058188A1 (ja) * 2005-11-15 2007-05-24 Nikon Corporation 露光装置及び露光方法、並びにデバイス製造方法
KR20080013130A (ko) * 2006-08-07 2008-02-13 삼성전자주식회사 표시 장치의 구동 장치 및 구동 방법
US8440375B2 (en) * 2007-05-29 2013-05-14 Nikon Corporation Exposure method and electronic device manufacturing method
KR100884590B1 (ko) * 2007-11-02 2009-02-19 주식회사 하이닉스반도체 지연고정회로, 반도체 장치, 반도체 메모리 장치 및 그의 동작방법

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6590634B1 (en) 1996-11-28 2003-07-08 Nikon Corporation Exposure apparatus and method
JPH10214783A (ja) 1996-11-28 1998-08-11 Nikon Corp 投影露光装置及び投影露光方法
JPH10163099A (ja) 1996-11-28 1998-06-19 Nikon Corp 露光方法及び露光装置
US5969441A (en) 1996-12-24 1999-10-19 Asm Lithography Bv Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
JP2000505958A (ja) 1996-12-24 2000-05-16 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 2個の物品ホルダを有する二次元バランス位置決め装置及びこの位置決め装置を有するリソグラフ装置
JPH1123692A (ja) 1997-06-30 1999-01-29 Sekisui Chem Co Ltd 地中探査用アンテナ
JPH1149504A (ja) 1997-07-29 1999-02-23 Toshiba Eng Co Ltd 廃活性炭と水との分離装置
JPH11135400A (ja) 1997-10-31 1999-05-21 Nikon Corp 露光装置
US6897963B1 (en) 1997-12-18 2005-05-24 Nikon Corporation Stage device and exposure apparatus
US6208407B1 (en) 1997-12-22 2001-03-27 Asm Lithography B.V. Method and apparatus for repetitively projecting a mask pattern on a substrate, using a time-saving height measurement
WO1999046835A1 (fr) 1998-03-11 1999-09-16 Nikon Corporation Dispositif a laser ultraviolet et appareil d'exposition comportant un tel dispositif a laser ultraviolet
US7023610B2 (en) 1998-03-11 2006-04-04 Nikon Corporation Ultraviolet laser apparatus and exposure apparatus using same
JP2000164504A (ja) 1998-11-30 2000-06-16 Nikon Corp ステージ装置、露光装置、及び前記ステージ装置を用いた位置決め方法
US6611316B2 (en) 2001-02-27 2003-08-26 Asml Holding N.V. Method and system for dual reticle image exposure
JP2004519850A (ja) 2001-02-27 2004-07-02 エイエスエムエル ユーエス, インコーポレイテッド デュアルレチクルイメージを露光する方法および装置
JP2004055803A (ja) 2002-07-19 2004-02-19 Renesas Technology Corp 半導体装置
EP1420298A2 (en) 2002-11-12 2004-05-19 ASML Netherlands B.V. Immersion lithographic apparatus and device manufacturing method
JP2004289126A (ja) 2002-11-12 2004-10-14 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
US6952253B2 (en) 2002-11-12 2005-10-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2004304135A (ja) * 2003-04-01 2004-10-28 Nikon Corp 露光装置、露光方法及びマイクロデバイスの製造方法
JP2004319899A (ja) * 2003-04-18 2004-11-11 Nikon Corp 露光装置及び露光方法
JP2004327660A (ja) 2003-04-24 2004-11-18 Nikon Corp 走査型投影露光装置、露光方法及びデバイス製造方法
JP2005173563A (ja) * 2003-11-07 2005-06-30 Asml Netherlands Bv リソグラフィ装置及びデバイス製造法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1956431A4 *

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9057963B2 (en) 2007-09-14 2015-06-16 Nikon Corporation Illumination optical system, exposure apparatus, optical element and manufacturing method thereof, and device manufacturing method
US9366970B2 (en) 2007-09-14 2016-06-14 Nikon Corporation Illumination optical system, exposure apparatus, optical element and manufacturing method thereof, and device manufacturing method
US8451427B2 (en) 2007-09-14 2013-05-28 Nikon Corporation Illumination optical system, exposure apparatus, optical element and manufacturing method thereof, and device manufacturing method
US10101666B2 (en) 2007-10-12 2018-10-16 Nikon Corporation Illumination optical apparatus, exposure apparatus, and device manufacturing method
US9097981B2 (en) 2007-10-12 2015-08-04 Nikon Corporation Illumination optical apparatus, exposure apparatus, and device manufacturing method
US8462317B2 (en) 2007-10-16 2013-06-11 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US8508717B2 (en) 2007-10-16 2013-08-13 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US9057877B2 (en) 2007-10-24 2015-06-16 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9341954B2 (en) 2007-10-24 2016-05-17 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9857599B2 (en) 2007-10-24 2018-01-02 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9678332B2 (en) 2007-11-06 2017-06-13 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
US9116346B2 (en) 2007-11-06 2015-08-25 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
CN101527259B (zh) * 2008-03-06 2011-11-16 东京毅力科创株式会社 衬底的处理方法、计算机存储介质以及衬底处理系统
US8446579B2 (en) 2008-05-28 2013-05-21 Nikon Corporation Inspection device and inspecting method for spatial light modulator, illumination optical system, method for adjusting the illumination optical system, exposure apparatus, and device manufacturing method
CN101910817B (zh) * 2008-05-28 2016-03-09 株式会社尼康 照明光学系统、曝光装置以及器件制造方法
CN105606344B (zh) * 2008-05-28 2019-07-30 株式会社尼康 照明光学系统、照明方法、曝光装置以及曝光方法
JP2013175753A (ja) * 2008-05-28 2013-09-05 Nikon Corp 空間光変調器の検査装置および検査方法
WO2009145048A1 (ja) * 2008-05-28 2009-12-03 株式会社ニコン 空間光変調器の検査装置および検査方法、照明光学系、照明光学系の調整方法、露光装置、およびデバイス製造方法
US8456624B2 (en) 2008-05-28 2013-06-04 Nikon Corporation Inspection device and inspecting method for spatial light modulator, illumination optical system, method for adjusting the illumination optical system, exposure apparatus, and device manufacturing method
JP5360057B2 (ja) * 2008-05-28 2013-12-04 株式会社ニコン 空間光変調器の検査装置および検査方法、照明光学系、照明光学系の調整方法、露光装置、およびデバイス製造方法
CN105606344A (zh) * 2008-05-28 2016-05-25 株式会社尼康 照明光学系统、照明方法、曝光装置以及曝光方法
CN101910817A (zh) * 2008-05-28 2010-12-08 株式会社尼康 空间光变频器的检查装置及检查方法、照明光学系统、照明光学系统的调整方法、曝光装置、以及器件制造方法
JP2010211117A (ja) * 2009-03-12 2010-09-24 Toshiba Corp パターン補正装置およびパターン補正方法
US9856564B2 (en) 2009-09-30 2018-01-02 Snu R&Db Foundation Image processing-based lithography system and method of coating target object
US9323159B2 (en) 2009-09-30 2016-04-26 Snu R&Db Foundation Image processing-based lithography system and method of coating target object
EP2485247A4 (en) * 2009-09-30 2014-09-17 Snu R&Db Foundation LITHOGRAPHY SYSTEM BASED ON IMAGE PROCESSING AND METHOD OF APPLICATION OF COATING TO TARGET OBJECT
WO2011040745A3 (ko) * 2009-09-30 2011-11-03 서울대학교 산학협력단 영상 처리 기반 리소그래피 시스템 및 표적물 코팅 방법
KR101101315B1 (ko) 2010-01-20 2011-12-30 서울대학교산학협력단 영상 처리 기반 리소그래피 시스템 및 표적물 코팅 방법

Also Published As

Publication number Publication date
US20090263736A1 (en) 2009-10-22
TW200734828A (en) 2007-09-16
US20080210888A1 (en) 2008-09-04
US8605249B2 (en) 2013-12-10
JPWO2007058188A1 (ja) 2009-04-30
EP1956431A4 (en) 2009-06-24
US7573052B2 (en) 2009-08-11
KR20080068006A (ko) 2008-07-22
TWI479271B (zh) 2015-04-01
EP1956431A1 (en) 2008-08-13

Similar Documents

Publication Publication Date Title
WO2007058188A1 (ja) 露光装置及び露光方法、並びにデバイス製造方法
JP5630634B2 (ja) 露光方法及び装置、並びにデバイス製造方法
JP5464288B2 (ja) 空間光変調器の検査装置および検査方法
JP5326259B2 (ja) 照明光学装置、露光装置、およびデバイス製造方法
JP5071385B2 (ja) 可変スリット装置、照明装置、露光装置、露光方法及びデバイス製造方法
JP2004363590A (ja) リソグラフィ装置及びデバイス製造方法
KR100747783B1 (ko) 리소그래피 투영장치 및 디바이스 제조방법
JP2008091907A (ja) 測定装置および方法
EP2120097A1 (en) Position measuring module, position measuring apparatus, stage apparatus, exposure apparatus and device manufacturing method
KR20060072052A (ko) 리소그래피 장치 및 디바이스 제조방법
JP2009055060A (ja) リソグラフィ装置及びデバイス製造方法
WO2007100081A1 (ja) 露光方法及び装置、並びにデバイス製造方法
WO2009087805A1 (ja) 空間光変調器、照明光学系、露光装置、およびデバイス製造方法
JPWO2009125511A1 (ja) 空間光変調ユニット、照明光学系、露光装置、およびデバイス製造方法
JP2007194600A (ja) リソグラフィ装置およびデバイス製造方法
JP2003203853A (ja) 露光装置及び方法並びにマイクロデバイスの製造方法
JP4376227B2 (ja) リソグラフィ装置用投影装置
JP2010272631A (ja) 照明装置、露光装置、及びデバイス製造方法
JP2007287885A (ja) 照明光学装置、露光装置、およびデバイス製造方法
JP2010272640A (ja) 照明装置、露光装置、及びデバイス製造方法
JP5459482B2 (ja) 送光光学系、照明光学系、露光装置、およびデバイス製造方法
JP2001118784A (ja) 露光装置及びその露光装置における疎密線幅差の補正方法並びに露光方法
JP2010157649A (ja) 補正ユニット、照明光学系、露光装置、およびデバイス製造方法
JP2006066429A (ja) 照明光学装置、露光装置、および露光方法
JP2009117672A (ja) 照明光学系、露光装置、およびデバイス製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020087006595

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2007545252

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2006832647

Country of ref document: EP