WO2000049650A1 - Procedes de gravure ameliores pour profil anisotrope - Google Patents

Procedes de gravure ameliores pour profil anisotrope Download PDF

Info

Publication number
WO2000049650A1
WO2000049650A1 PCT/US2000/004058 US0004058W WO0049650A1 WO 2000049650 A1 WO2000049650 A1 WO 2000049650A1 US 0004058 W US0004058 W US 0004058W WO 0049650 A1 WO0049650 A1 WO 0049650A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
iridium
electrode layer
etching
gas
Prior art date
Application number
PCT/US2000/004058
Other languages
English (en)
Other versions
WO2000049650A9 (fr
Inventor
Jeng H. Hwang
Chentsau Ying
Guangxiang Jin
Steve S. Y. Mak
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020017010479A priority Critical patent/KR20010112271A/ko
Priority to JP2000600300A priority patent/JP2002537653A/ja
Publication of WO2000049650A1 publication Critical patent/WO2000049650A1/fr
Publication of WO2000049650A9 publication Critical patent/WO2000049650A9/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Definitions

  • This invention relates to plasma etching of platinum and iridium. More specifically, this invention provides a method for plasma etching of platinum and iridium for producing semiconductor integrated circuits containing platinum and iridium electrodes.
  • precious metals such as platinum (Pt), palladium (Pd), iridium (Ir), etc.
  • Pt platinum
  • Pd palladium
  • Ir iridium
  • platinum and iridium have emerged as an attractive candidates because they are inert to oxidation and are known to have a leakage current ( ⁇ 10 '9 amps/cm 2 ) lower than other electrodes such as Ru0 2 and Pd.
  • Platinum and iridium also are good conductors.
  • platinum and iridium etching have been conducted by means of isotropic etching, such as wet etching with aqua regia, or by anisotropic etching, such as ion milling with Ar gas or by other means. Because of the nature of isotropic etching, using wet etching with aqua regia causes deteriorated processing accuracy. The grade of precision in isotropic etching is not high enough for fine pattern processing. Therefore, it is difficult to perform submicron patterning of platinum and iridium electrodes due to their isotropic property. Furthermore, a problem with ion milling (i.e.
  • anisotropic etching occurs because the etching speed on platinum and iridium, which is to form the electrode, is too slow for mass production.
  • etchant gases e.g. , Cl 2 , HBr, O 2 , etc.
  • a semiconductor device manufacturing method wherein an insulation layer, a bottom electrode Pt layer, a dielectric film and a top electrode Pt layer are provided on top of a substrate having already-completed circuit elements and wiring, and then, a capacitor is formed by selectively dry etching the bottom electrode Pt layer after selectively dry etching the top electrode Pt layer and the dielectric film.
  • the manufacturing method uses a gas containing an S component as etching gas for Pt etching, or an etching gas containing S component as an additive gas; and also it implants S into the Pt layer before the Pt dry etching process by means of ion implantation to compose a S and Pt compound, and then dry etches the Pt compound thus composed.
  • U.S. Patent No. 5,527,729 to Matsumoto et al. discloses process steps to form on a substrate in which circuit elements and wirings, etc., are already shaped, an insulation layer, a first metal layer, a dielectric film and a second metal layer.
  • a top electrode and a capacitance film are formed by dry etching the second metal layer and the dielectric film.
  • a bottom electrode is formed by dry etching the first metal layer.
  • the etching gas for dry etching the second metal layer is a mixed gas containing hydrogen halide (e.g. HBr) and oxygen, having a ratio of oxygen against the total of hydrogen halide and oxygen set at about 10%-35%.
  • the etching gas is also taught as a gas containing hydrocarbon, such as chloroform.
  • a gas containing hydrocarbon such as chloroform.
  • Matsumoto et al. employs a silicon oxide layer as the insulation layer on the substrate, and a platinum layer or palladium layer as the first and second metal layers. Dry etching of the second metal layer and dielectric film is conducted in a low pressure region not higher than about 5 Pa, where the etching speed is high. Matsumoto et al.
  • the etching speed on the silicon oxide layer can be made sufficiently low relative to that on the second metal layer made of a platinum layer or a palladium layer; in this way, the excessive etching of the silicon oxide layer underlying the first metal layer is avoided, and damage to the circuit elements and wiring, etc. underneath the silicon oxide layer can be prevented.
  • the ratio of etching speed of the platinum and dielectric material to the resist can be increased by lowering the etching speed on the resist. Therefore, etching of the platinum and dielectric material may be conducted by using a mask of normal lay-thickness resist (generally speaking, about 1.2 ⁇ m to about
  • Nishikawa et al. in an article entitled "Platinum Etching and Plasma Characteristics in RF Magnetron and Electron Cyclotron Resonance Plasmas", Jpn. J. Appl. Phys. , Vol. 34 (1995), pages 767-770, discloses a study wherein the properties of platinum etching were investigated using both if magnetron and electron cyclotron resonance (ECR) plasmas, together with measurement of the plasma parameters (neutral concentration, plasma density, etc.).
  • ECR electron cyclotron resonance
  • Yoo et al. in an article entitled "Control of Etch Slope During Etching of Pt in Ar/Cl ; /O 2 Plasmas", Jpn. J. Appl. Phys., Vol. 35 (1996), pages 2501-2504, teaches etching of Pt patterns of the 0.25 ⁇ m design rule at 20 ° C using a magnetically enhanced reactive ion etcher (MERIE).
  • MERIE magnetically enhanced reactive ion etcher
  • the redeposits of the etch products onto the sidewall were reduced by the addition of Cl 2 to Ar, although the etched slope was lowered to 45 * .
  • the redeposits were removed by an HCl cleaning process.
  • Milkove et al. reported in a paper entitled "New Insight into the Reactive Ion Etching of Fence-Free Patterned Platinum Structures" at the 43rd Symposium of AVS, October 1996, Philadelphia, PA, that an investigation was undertaken to characterize the time progression of the Pt etch process during the reactive ion etching (RIE) of fence-free patterned structures.
  • the experiment by Milkove et al. consisted of coprocessing two oxidized Si wafers possessing identical 2500 A thick Pt film layers, but different photoresist (PR) mask thicknesses. Etching was suspended at 20, 40, 60 and 80% of the full etch process in order to cleave off small pieces of wafer for analysis by a scanning electron microscopy (SEM).
  • SEM scanning electron microscopy
  • Keil et al. further teaches that even when one is able to attenuate redeposition to the point where only small "nub” like features are present, the high electric fields which will form at such "nubs" enhances the likelihood for dielectric breakdown. Although process conditions can be found which result in either low redeposition or even no redeposition, they most often also give an unacceptably tapered platinum profile angle. Keil et al. observed that redeposition becomes more severe as process conditions are pushed toward those which give increasingly vertical sidewalls. While a post etch wet clean in a solvent bath is frequently used, the heavy redeposition which attends the pursuit of vertical sidewalls regularly renders this approach minimally effective.
  • a semiconductor device including a plurality of platinum or iridium electrodes respectively having a platinum or iridium profile equal to or greater than about 85° and separated by a distance equal to or less than about 0.3 ⁇ m with each electrode having a critical dimension (e.g. , a width) equal to or less than about 0.3 ⁇ m.
  • the present invention broadly provides a method of etching a platinum layer disposed on a substrate comprising the steps of: a) providing a substrate supporting a platinum layer; . b) heating the substrate (such as with a pedestal supporting the substrate) of step (a) to a temperature greater than about 150°C; and c) etching the platinum layer including employing a high density plasma of an etchant gas comprising a halogen containing gas (e.g., a halogen such as chlorine) and a noble gas (e.g. , argon) to produce the substrate supporting at least one etched platinum layer.
  • a halogen containing gas e.g., a halogen such as chlorine
  • a noble gas e.g. argon
  • the present invention broadly provides: a) providing a substrate supporting a iridium layer; b) heating the substrate of step (a) to a temperature greater than about 150°C; and c) etching the iridium layer including employing a high density plasma of an etchant gas comprising a halogen-containing gas, and a noble gas to produce said substrate supporting at least one etched iridium layer.
  • the etchant gas may additionally include a gas selected from the group consistng of O 2 and BC1 3 .
  • the etchant gas may additionally include a gas selected from the group consisting of O 2 , HCl, HBr, and mixtures thereof.
  • the substrate of step (a) may be heated by heating the pedestal supporting the substrate to a sufficient temperature to cause the substrate to possess a temperature greater than about 150°C.
  • the platinum layer and the iridium layer are preferably a platinum electrode layer and an iridium electrode layer, respectively.
  • the high density plasma of an etchant gas is a plasma of an etchant gas having an ion density greater than about 10 9 /cm ⁇ preferably greater than about 10 u /cm 3 .
  • the etchant gas may also include a gas selected from the group consisting of BC1 3 , HBr, and mixtures thereof.
  • the platinum layer and the iridium layer may each additionally comprise a mask layer disposed on a selected part of the particular respective layer to selectively protect the particular respective layer during- the etching step.
  • the etchant gas having Ar/Cl 2 /O 2 chemistry with high O 2 concentration produces an iridium to Ti and/or TiN selectivity of greater than about 8 (preferably greater than about 10) during etching of iridium.
  • the platinum layer and the iridium layer may each also additionally comprise a protective layer disposed on the selected part of the particular respective layer between the mask layer and the particular respective layer.
  • the mask layer may be removed during or after the etching step.
  • the protective layer may be removed during or after the etching step.
  • the platinum layer is part of or is contained in a platinum wafer, and the method of etching a platinum layer additionally comprises disposing the platinum wafer including the platinum layer in a high density plasma chamber having a coil inductor and a wafer pedestal; and performing the etching step (c) in the high density plasma chamber under the following process conditions:
  • Halogen Gas e.g. , Cl 2 20% to 95% by vol.
  • the etched platinum layer includes a platinum profile equal to or greater than about 85°, more preferably equal to or greater than about 87°, most preferably equal to or greater than about 88.5°.
  • the etchant gas for the process conditions immediately above may alternatively comprise from about 10% to about 90% by vol. of a halogen (e.g. , Cl 2 ), from about 5% to about 80% by vol. of a noble gas (e.g., argon), and from about 4% to about 25 % by vol. HBr and/or BC1 3 .
  • the iridium layer is pan of or is contained in an iridium wafer, and the method of etching an iridium layer additionally comprises disposing the iridium wafer including the iridium layer in a high density plasma chamber having a coil inductor and a wafer pedestal; and performing the etching step (c) in the high density plasma chamber under the following process conditions:
  • Halogen Gas e.g. , Cl 2 ) 10% to 60% by vol.
  • the etched iridium layer includes an iridium profile equal to or greater than about 80°, more preferably equal to or greater than about 82°, most preferably equal to or greater than about 85.0°.
  • the etchant gas for the process conditions immediately above may alternatively comprise from about 5 % to about 20% by vol. oxygen, from about 10% to about 60% by vol. of a halogen (e.g. , Cl 2 ), from about 30% to about 80% by vol. of a noble gas (e.g., argon), and from about 5% to about 20% by vol. HBr and/or HCl.
  • the present invention also broadly provides a method for producing a capacitance structure including an electrode (i.e., a platinum or iridium electrode) comprising the steps of. a) providing a substrate supporting a layer (i.e. , a platinum electrode layer or an iridium electrode layer) and at least one mask layer disposed on a selected part of the layer; b) heating the substrate of step (a) to a temperature greater than about 150 °C; and c) etching the layer including employing a plasma of an etchant gas comprising a halogen (e.g. , chlorine) and a noble gas (e.g., argon) to produce a capacitance structure having at least one electrode (i.e. , platinum electrode or iridium electrode).
  • an electrode i.e., a platinum or iridium electrode
  • the at least one mask layer is removed during or after the etching step (c) immediately above.
  • the layer of step (a) immediately above may additionally comprise a protective layer disposed on the selected part of the layer between the mask layer and the layer.
  • the etched layer (i.e. the etched platinum layer or the etched iridium layer) produced by the etching step (c) immediately above includes a profile (i.e. a platinum profile or an iridium profile) equal to or greater than about 80° iridium and equal to or greater than about 85° for platinum, more preferably equal to or greater than about 87°, most preferably equal to or greater than about 88.5°.
  • the etchant gas of the plasma of step (c) more specifically includes a halogen (e.g., chlorine), a noble gas (e.g. , argon), and a gas selected from the group consisting of HBr, BC1 3 and mixtures thereof.
  • the platinum electrode layer is part of or is contained in a platinum electrode wafer, and the method for producing a capacitance structure including a platinum electrode layer additionally comprises disposing, prior to the etching step (c), the platinum electrode wafer in a high density plasma chamber having a coil inductor and a wafer pedestal; and performing the etching step (c) in the high density plasma chamber under the following previously indicated process conditions:
  • Halogen Gas e.g. , Cl 2 ) about 10% to about 90% by vol.
  • HBr and/or BC1 3 about 4% to about 25% by vol.
  • the produced platinum electrodes are separated by a distance or space having a dimension equal to or less than about 0.3 ⁇ m.
  • Each of the platinum electrodes include a dimension having a value equal to or less than about 0.6 ⁇ m, preferably equal to or less than about 0.3 ⁇ . More preferably, each of the platinum electrodes have a width equal to or less than about 0.3 ⁇ m, a length equal to or less than about 0.6 ⁇ m, and a height equal to or less than about 0.6 ⁇ m.
  • the plasma of the etchant gas for etching platinum comprises a high density inductively coupled plasma.
  • the etchant gas preferably comprises a noble gas selected from the group consisting of helium, neon, argon, krypton, xenon, radon, and mixtures thereof. More preferably, the noble gas is selected from the group consisting of helium, neon, argon, and mixtures thereof. Most preferably, the noble gas is argon. As was previously indicated, the etchant gas of the high density inductively coupled plasma for etching platinum most preferably comprises, or preferably consists of or consists essentially of, chlorine, argon, and HCl and/or HBr.
  • the etchant gas of the plasma of step (c) more specifically includes oxygen, a halogen (e.g., chlorine), a noble gas (e.g., argon), and a gas selected from the group consisting of HBr, HCl and mixtures thereof.
  • a halogen e.g., chlorine
  • a noble gas e.g., argon
  • the iridium electrode layer is part of or is contained in an iridium electrode wafer, and the method for producing a capacitance structure including an iridium electrode layer additionally comprises disposing, prior to the etching step (c), the iridium electrode wafer in a high density plasma chamber having a coil inductor and a wafer pedestal; and performing the etching step (c) in the high density plasma chamber under the following previously indicated process conditions:
  • Oxygen about 5% to about 20% by vol.
  • Halogen Gas e.g. , Cl 2 ) about 10% to about 60% by vol.
  • HBr and/or HCl about 5% to about 20% by vol.
  • the plasma of the etchant gas for etching iridium comprises a high density inductively coupled plasma.
  • the etchant gas preferably comprises a noble gas selected from the group consisting of helium, neon, argon, krypton, xenon, radon, and mixtures thereof. More preferably, the noble gas is selected from the group consisting of helium, neon, argon, and mixtures thereof. Most preferably, the noble gas is argon.
  • the etchant gas of the high density inductively coupled plasma for etching iridium most preferably comprises, or preferably consists of or consists essentially of, chlorine, argon, and oxygen or BC1 3 ; alternatively, oxygen, chlorine, argon, and HCl and/or HBr.
  • the present invention further broadly provides a method of manufacturing a semiconductor device comprising the steps of: a) forming a patterned resist layer, a mask layer and an electrode layer (i.e.
  • a platinum electrode layer or an iridium electrode layer on a substrate having circuit elements formed thereon; b) etching a portion of the mask layer including employing a plasma of an etchant gas to break through and to remove the portion of the mask layer from the electrode layer to produce the substrate supporting the patterned resist layer, a residual mask layer, and the electrode layer; c) removing the resist layer of step (b) to produce the substrate supporting the residual mask layer and the electrode layer; d) heating the substrate of step (c) to a temperature greater than about 150° C; and e) etching the electrode layer of step (d) including employing a high density plasma of an etchant gas.
  • the etchant gas preferably comprises a halogen gas (e.g. , chlorine) and a noble gas (e.g., argon) to produce a semiconductor device having at least one platinum electrode.
  • the etchant gas comprises oxygen, a halogen gas (e.g. chlorine) and a noble gas (e.g. argon) to produce a semiconductor device having at least one iridium electrode.
  • the present invention also further broadly provides a method of etching an electrode layer disposed on a substrate comprising the steps of: a) providing a substrate supporting an electrode layer (i.e.
  • etching a portion of the mask layer including employing a plasma of an etchant gas to break through and to remove the portion of the mask layer from the protective layer to expose part of the protective layer and to produce the substrate supporting the electrode layer, the protective layer on the electrode layer, a residual mask layer on the electrode layer, and the patterned resist layer on the residual mask layer; c) removing the patterned resist layer from the residual mask layer of step (b) to produce the substrate supporting the electrode layer, the protective layer on the electrode layer, and the residual mask layer on the protective layer; d) heating the substrate of step (c) to a temperature greater than about 150° C; e) etching the exposed part of the protective layer to expose part of the electrode layer and to produce the substrate supporting the electrode layer, a residual protective layer on the electrode layer, and the residual mask layer on the residual protective layer; and
  • the etchant gas comprises a halogen gas (e.g., chlorine) and a noble gas (e.g., argon) to produce the substrate supporting an etched platinum electrode layer having the residual protective layer on the etched platinum electrode layer, and the residual mask layer on the residual protective layer.
  • the etchant gas comprises oxygen, a halogen gas (e.g. chlorine) and a noble gas (e.g. argon) to produce the substrate supporting an etched iridium electrode layer having the residual protective layer on the etched iridium electrode layer, and the residual mask layer on the residual protective layer.
  • the patterned resist layer is preferably removed from the residual mask layer before heating the substrate to a temperature greater than about 150° C because such high temperatures would destroy the resist layer.
  • the residual mask layer may be removed from the electrode layer either before or after heating of the substrate to a temperature greater than about 150° C, and during or after the etching step.
  • the electrode layer i.e. a platinum electrode layer or an iridium electrode layer
  • the electrode layer is part of or is contained in a wafer (i.e. a platinum electrode wafer or an iridium electrode wafer).
  • the purpose of the protective layer is to ensure the adhesion between the mask layer and the platinum and iridium layers and also to respectively maintain the platinum profile and the iridium profile of the platinum electrode layer and the iridium electrode layer, respectively, especially during the etching process of the present invention.
  • the residual protective layers are respectively removed from the etched platinum and iridium electrodes after the platinum and iridium etching step.
  • etching of the platinum electrode layer to produce the platinum electrodes of the present invention is performed in a high density plasma chamber.
  • the platinum etching step employs a high density plasma of an etchant gas preferably consisting of, or consisting essentially of, a halogen gas (e.g., chlorine), a noble gas (i.e., argon) and HBr and/or BC1 3 .
  • a halogen gas e.g., chlorine
  • a noble gas i.e., argon
  • BC1 3 HBr and/or BC1 3
  • the high density plasma chamber possesses a separate control for ion flux and a separate control for ion energy.
  • the ion density of the high density plasma in the high density plasma chamber is greater than about 10 9 /cm 3 .
  • the high density plasma chamber for the method of manufacturing a semiconductor device and for the method of etching a platinum electrode layer disposed on a substrate includes a coil inductor and a wafer pedestal; and the platinum etching step in both of the methods is performed in the high density plasma chamber under the following previously mentioned process conditions:
  • Halogen Gas e.g., Cl 2 ) about 10% to about 90% by vol.
  • Noble Gas e.g., argon
  • HBr and/or BC1 3 about 4% to about 25 % by vol.
  • etching of the iridium electrode layer to produce the iridium electrodes of the present invention is performed in a high density plasma chamber.
  • the iridium etching step employs a high density plasma or a low density plasma of an etchant gas preferably consisting of, or consisting essentially of, or consisting essentially of, a halogen gas (e.g. , chlorine) and a noble gas (i.e., argon), more preferably a halogen gas (e.g. , chlorine), a noble gas (i.e. , argon) and oxygen or BC1 3 , or oxygen (O 2 ), a halogen gas (e.g.
  • the high density plasma chamber possessess a separate control for ion flux and a separate control for ion energy. As previously indicated, the ion density of the high density plasma in the high density plasma chamber is greater than about 10 9 /cm ⁇
  • the high density plasma chamber for the method of manufacturing a semiconductor device and for the method of etching iridium electrode layer disposed on a substrate includes a coil inuctor and a wafer pedestal; and the iridium etching step in both of the methods is performed in high density plasma chamber under the following previously mentioned process conditions:
  • Halogen Gas e.g. , Cl 2 ) about 10% to about 60% by vol.
  • Noble Gas e.g. , argon
  • HBr and/or HCl about 5% to about 20% by vol.
  • the present invention yet also further broadly provides a semiconductor device, more specifically a capacitance structure, comprising a substrate, and at least two electrodes (i.e. , platinum electrodes or iridium electrodes) supported by the substrate.
  • the electrodes have a profile equal to or greater than about 85°, preferably equal to or greater than about 87°, more preferably equal to or greater than about 88.5°.
  • the electrodes are separated by a distance or space having a dimension equal to or less than about 0.3 ⁇ m.
  • Each of the electrodes include a dimension having a value equal to or less than about 0.6 ⁇ m, preferably equal to or less than about 0.3 ⁇ m. More preferably, each of the electrodes have a width equal to or less than about 0.3 ⁇ m, a length equal to or less than about 0.6 ⁇ m, and a height equal to or less than about 0.6 ⁇ m.
  • Fig. 1 is a side elevational view of a semiconductor wafer having a semiconductor substrate, a barrier layer disposed on the semiconductor substrate, an electrode layer (i.e., a platinum electrode layer or an iridium electrode layer) disposed on the barrier layer, a mask layer disposed on the electrode layer, and a patterned resist disposed on the mask
  • Fig. 2 is a side elevational view of the semiconductor wafer of Fig. 1 additionally including a protective layer disposed on the electrode layer (i.e., a platinum electrode layer or an iridium electrode layer) between the mask layer and the electrode layer;
  • Fig. 3 is a vertical sectional view of a prior art plasma processing apparatus including a plasma etching reactor with an electromagnetic unit for enhancing a plasma;
  • Fig. 4 is a diagram of a flux produced by a magnetic field and illustrated as rotating around a center axis
  • Fig. 5 is a side elevational view of the semiconductor wafer of Fig. 1 after etching and removing a portion of the mask layer from the surface of the electrode layer (i.e. , a platinum electrode layer or an iridium electrode layer) to expose the electrode layer;
  • the electrode layer i.e. , a platinum electrode layer or an iridium electrode layer
  • Fig. 6 is a side elevational view of the semiconductor wafer of Fig. 2 after etching and removing a portion of the mask layer from the surface of the protective layer to expose the protective layer;
  • Fig. 7 is a side elevational view of the semiconductor wafer of Fig. 5 after the patterned resist layer has been removed from a portion of the mask layer with the removed patterned resist layer being represented as broken lines;
  • Fig. 8 is a side elevational view of the semiconductor wafer of Fig. 6 after etching and removing a portion of the protective layer off of the surface of the layer (i.e., a platinum electrode layer or an iridium electrode layer), and after removing the patterned resist layer from a portion of the mask layer with the removed patterned resist layer being represented as broken lines;
  • the layer i.e., a platinum electrode layer or an iridium electrode layer
  • Fig. 9 is a side elevational view of the semiconductor wafer of Fig. 7 after the electrode layer (i.e., the platinum electrode layer or the iridium electrode layer) has been etched to produce an etched electrode layer;
  • the electrode layer i.e., the platinum electrode layer or the iridium electrode layer
  • Fig. 10 is a side elevational view of the semiconductor wafer of Fig. 8 after the electrode layer (i.e., the platinum electrode layer or the iridium electrode layer) has been etched to produce an etched electrode layer;
  • the electrode layer i.e., the platinum electrode layer or the iridium electrode layer
  • Fig. 11 is a side elevational view of the semiconductor wafer of Fig. 7 after the electrode layer (i.e., the platinum electrode layer or the iridium electrode layer) has been etched to produce an etched electrode layer with a residual mask layer on top thereof;
  • the electrode layer i.e., the platinum electrode layer or the iridium electrode layer
  • Fig. 12 is a side elevational view of the semiconductor wafer of Fig. 8 after the electrode layer (i.e., the platinum electrode layer or the iridium electrode layer) has been etched to produce an etched electrode layer with a residual mask layer on top of the residual protective layer;
  • the electrode layer i.e., the platinum electrode layer or the iridium electrode layer
  • Fig. 13 is a side elevational view of the semiconductor wafer of Fig. 11 with the residual mask layer removed from the surface of the etched electrode layer;
  • Fig. 14 is a side elevational view of the semiconductor wafer of Fig. 12 with the residual mask layer and the residual protective layer removed from the surface of the etched electrode layer (i.e. , the etched platinum electrode layer or the iridium etched electrode layer);
  • Fig. 15 is a side elevational view of semiconductor wafer of Fig. 11 after the residual mask layer has been removed from the surface of the etched electrode layer (i.e., the etched platinum electrode layer or the etched iridium electrode layer) and with the barrier layer having been etched;
  • Fig. 16 is a side elevational view of semiconductor wafer of Fig. 12 after the residual mask layer and the residual protective layer have been removed from the surface of the etched electrode layer (i.e., the etched platinum electrode layer or the etched iridium electrode layer) and with the barrier layer having been etched;
  • Fig. 17 is a simplified cut-away view of an inductively coupled RF plasma reactor which may be employed in etching the electrode layer (i.e., the platinum electrode layer or the iridium electrode layer) to produce a semiconductor device;
  • Fig. 18 is a simplified cut-away view of another inductively coupled RF plasma reactor which may be employed in etching the electrode layer (i.e. , the platinum electrode layer or the iridium electrode layer) to produce a semiconductor device;
  • the electrode layer i.e. , the platinum electrode layer or the iridium electrode layer
  • Fig. 19 is a picture showing an elevational view of a test semiconductor wafer for Example I after a platinum electrode layer was etched in accordance with the process conditions listed in Example I;
  • Fig. 20 is a picture showing an elevational view of the test semiconductor wafer of Fig. 19 after the oxide mask was removed;
  • Fig. 21 is a drawing representing the elevational view in the picture of Fig. 19 with the respective parts identified by a reference numeral;
  • Fig. 22 is a drawing representing the elevational view in the picture of Fig. 20 with the respective parts identified by a reference numeral;
  • Fig. 23 is a picture showing an elevational view of a test semiconductor wafer for
  • Example II after a platinum electrode layer was etched in accordance with the process conditions listed in Example II;
  • Fig " . 24 is a drawing representing the elevational view in the picture of Fig. 23 with the respective parts identified by a reference numeral;
  • Fig. 25 is a picture showing an elevational view of a test semiconductor wafer for
  • Example III after an iridium electrode layer was .etched in accordance with the process conditions listed in Example III;
  • Fig. 26 is a drawing representing the elevational view in the picture of Fig. 25 with respective parts identified by a reference numeral;
  • Fig. 27 is a picture showing an elevational view of a test semiconductor wafer for
  • Example IV after an iridium electrode layer was etched in accordance with the process conditions listed in Example IV;
  • Fig. 28 is a drawing representing the elevational view in the picture of Fig. 27 with the respective parts identified by a reference numeral.
  • a wafer having a semiconductor substrate, generally illustrated as 12.
  • the semiconductor substrate 12 includes regions of circuit elements which do not appear in the drawings, but are well known to those skilled in the art.
  • a barrier layer 14 is disposed over the semiconductor substrate 12 and a layer (i.e., an electrically conductive layer, such as a platinum layer or an iridium layer), generally illustrated as 15, is disposed over the barrier layer 14.
  • the layer 15 is preferably an electrode layer 16 as shown in Fig. 1. Because the electrode layer 16 is a preferred layer 15, the remaining description of the present invention will use only the term "electrode layer 16" in describing the present invention.
  • electrode layer 16 is stated hereinafter, it is to also have the equivalence of "layer 15" for purposes of the present invention. It is also to be understood that in a preferred embodiment of the present invention “electrode layer 16" may be a “platinum electrode layer 16" or an “iridium electrode layer 16,” unless otherwise indicated. Thus, whenever “platinum electrode layer 16" is stated or mentioned hereinafter for a preferred embodiment of the invention, it is be understood that the electrode layer 16 includes platinum and the preferred embodiment of the present invention relates to etching platinum to produce the desired features of the present invention as set forth hereinafter.
  • iridium electrode layer 16 includes iridium and the preferred embodiment of the present invention relates to etching iridium to produce the desired features of the present invention as set forth hereinafter.
  • the barrier layer 14 is required between the electrode layer 16 and the semiconductor substrate 12.
  • the barrier layer 14 also functions as an adhesive for coupling the semiconductor substrate 12 to the electrode layer 16.
  • a mask 18 is disposed over the electrode layer 16 and a patterned resist (i.e. a photoresist), generally illustrated as 20, is selectively positioned on the mask layer 18 as best shown in Fig. 1.
  • the patterned resist 20 includes a plurality of resist members 20a, 20b, 20c, and 20d.
  • a protective layer 22 is disposed between the electrode layer 16 and the
  • the barrier layer 14 may be any suitable barrier layer which is capable of dually functioning as an adhesive and a diffusion barrier to the electrode layer 16.
  • the barrier layer 14 may be of any suitable thickness.
  • the barrier layer 14 comprises titanium and/or a titanium alloy, such as TiN, and possesses a thickness ranging from about 50 Angstroms to about 600 Angstroms, more preferably from about 200 Angstroms to about 400 Angstroms, most preferably about 300 Angstroms.
  • the barrier layer 14 is preferably disposed on the semiconductor substrate 12 by the RF magnetron sputtering method.
  • the electrode layer 16 comprises platinum or iridium as the preferred electrode material because platinum and iridium are inert to oxidation which tends to occur in the subsequent high temperature processes of depositing the high dielectric constant ferroelectric materials.
  • the electrode layer 16 also comprises platinum or iridium as the preferred electrode material because platinum and iridium are good electric conductors.
  • the thickness of the electrode layer 16 would depend upon the end use of the semiconductor or capacitance device which is to contain the electrode layer 16. Typically, the thickness of the platinum electrode layer 16 ranges from about 500 Angstroms to about 4000 Angstroms, more preferably from about 1000 Angstroms to about 3000 Angstroms, most preferably about 2000 Angstroms.
  • the electrode layer 16 is preferably disposed on the barrier layer 14 by the RF magnetron sputtering method.
  • the mask layer 18 may be any suitable insulation or metallic material that is capable of being etched in accordance with the procedure described hereinafter such that all traces of the mask layer 18 are essentially removed from the surface electrode layer 16 except ⁇ at portion (identified as “18a, " "18b,” “18c,” and “18d” below) of the mask layer 18 remaining under the patterned resist 20.
  • the mask layer 18 may also be of any suit--ble thickness.
  • the mask layer 18 comprises silicon dioxide (SiO 2 ) and/or silicon nitride (Si 3 N 4 ) or any other suitable dielectric material.
  • the mask layer 18 comprises Ti and/or TiN, preferably TiN.
  • a clean iridium surface is produced after remova] of the mask layer 18 with no fence or veil formation.
  • the etch selectivity of iridium to thr TiN is greater than about 8.0, preferably greater than about 10.0.
  • ti ⁇ _ the spirit and scope of the preent invention includes etching of a platinum electrode laviir 16 while supporting a mask layer 18 comprising TiN, with the etching of the platinum elc ⁇ rode layer 16 being conducted in a high density plasma of an etchant gas comprising oxyjstn, a halogen gas (e.g. , Cl 2 ), and a noble gas (e.g. , argon).
  • a preferred thickness for tht mask layer 18 ranges from about 500 Angstroms to about 9000 Angstroms, more preferably from about 2000 Angstroms to about 7000 Angstroms, most preferably about 3000 Angstroms.
  • the mask layer 18 is preferably disposed on the electrode layer 16 by chemical vapor deposition.
  • the patterned resist 20 i.e. the photoresist 20, including resist members 20a, 20b,
  • Suitable materials for the patterned resist 20 include resist systems consisting of novolac resin and a photoactive dissolution inhibitor (all based on Suss's discover)'). Other suitable materials for the resist 20 are listed in an article from the July 1996 edition of Solid State Technology entitled "Deep-UV Resists: Evolution and Status" by Hiroshi Ito.
  • the patterned resist 20 may have any suitable thickness; preferably, the thickness of the patterned resist 20 ranges from about 0.3 ⁇ m to about 1.40 ⁇ m, more preferably from about 0.5 ⁇ m to about 1.2 ⁇ m, most preferably about 0.8 ⁇ m.
  • the patterned resist 20 is preferably disposed on the mask layer 18 by the spin coating method.
  • the protective layer 22 in the embodiment of the invention depicted in Fig. 2 is for protecting the co ers (identified as "16g” below) of an etched electrode layer (generally identified as “16e” below) during the overetching process of the present invention.
  • Another purpose of the protective layer 22 is for providing good adhesion to the mask layer 18 and the electrode layer 16.
  • the protective layer 22 may comprise any suitable materials or chemicals, such as titanium and/or titanium nitride etc., and may be conveniently disposed on the surface of the electrode layer 16, such as by the RF magnetron sputtering method.
  • the thickness of the protective layer 22 may be any suitable thickness, preferably ranging from about 50 Angstroms to about 1000 Angstroms, more preferably ranging from about 100 Angstroms to about 600 Angstroms, most preferably about 300 Angstroms. 27
  • the multilayered structure is initially placed in a suitable plasma processing apparatus to break through and remove or etch away the mask layer 18 from the surface of electrode layer 16, except those mask layers 18a, 18b, 18c and 18d that are respectively below the resist members 20a, 20b, 20c and 20d, as best shown in Fig. 5, or as best shown in Fig. 6 if the embodiment of the invention depicted in Fig. 2 is being employed.
  • the plasma process apparatus of Fig. 3 comprises a plasma reactor, generally illustrated as 30 and including walls, generally illustrated as 31 for forming and housing a reactor chamber 32 wherein a plasma 33 of neutral (n) particles, positive ( + ) particles, and negative (-) particles are found.
  • Walls 31 include cylindrical wall 54 and cover 56.
  • Plasma processing gases are introduced via inlets 34 into reactor chamber 32.
  • Plasma etching gases are introduced into chamber 32 through inlets 34-34.
  • a water cooled cathode 36 is connected to an RF power supply 38 at 13.56 MHz.
  • An anode 39 is connected to the walls 31 which are grounded by line 40.
  • Helium gas is supplied through passageway 50 through cathode 36 to the space beneath wafer 10 which is supported peripherally by lip seal 52 so that the helium gas cools the wafer 10.
  • the wafer 10 is supported by a wafer support 46 that includes a plurality of clamps (not shown) which hold down the upper surface of wafer 10 at its periphery, as is well known to those skilled in the art.
  • a pair of helmholtz configured electromagnetic coils 42 and 43 provide north and south poles within the chamber 32 and are disposed at opposite ends of the lateral cylindrical wall 54 and the walls 31.
  • the electromagnetic coils 42 and 43 provide a transverse magnetic field with the north and south poles at the left and right providing a horizontal magnetic field axis parallel to the surface of the wafer 10.
  • the transverse magnetic field is applied to slow the vertical velocity of the electrons which are accelerated radially by the magnetic field as they move towards the wafer 10. Accordingly, the quantity of electrons in the plasma 33 is increased by means of the transverse magnetic field and the plasma 33 is enhanced as is well known to these skilled in the art.
  • the electromagnetic coils 42 and 43 which provide the magnetic field are independently controlled to produce a field intensity orientation which is uniform.
  • the field can be stepped angularly around the wafer 10 by rotating the energization of the electromagnetic coils 42 and 43, sequentially.
  • the transverse magnetic field provided by the electromagnetic coils 42 and 43 is directed parallel to the surface of the wafer 10 being treated by the plasma 33, and the cathode 36 of the plasma reactor 30 increases ionization efficiently of the electrons in the plasma 33. This provides the ability to decrease the potential drop across the sheath of the cathode 36 and to increase the ion current flux present on the surface of the wafer 10, thereby permitting higher rates of etching without requiring higher ion energies to achieve the result otherwise.
  • the preferred magnetic source employed to achieve magnetically enhanced reactive ion etching (MERIE) used in practicing the present invention is a variable rotational field provided by the electromagnetic coils 42 and 43 arranged in a Helmholtz configuration.
  • the electromagnetic coils 42 and 43 are driven by 3-phase AC currents.
  • the magnetic field with Flux B is parallel to the wafer 10, and perpendicular to the electrical field as shown in Fig. 4.
  • the vector of the magnetic field H which produces flux B is rotating around the center axis of the electrical field by varying the phases of current flowing through the electromagnetic coils 42 and 43 at a typical rotational frequency of 0.01 to 1 Hz, particularly at 0.5 Hz.
  • the strength of the magnetic flux B typically varies from 0 Gauss to about 150 Gauss and is determined by the quantities of the currents supplied to the electromagnetic coils 42 and 43. While Fig. 3 illustrates one plasma processing apparatus that is suitable for removing the mask layer 18 (except mask layers 18a, 18b, 18c and 18d), it is to be understood that other plasma etchers may be employed, such as electron cyclotron resonance (ECR), helicon resonance or inductively coupled plasma (ICP), triode etchers, etc.
  • ECR electron cyclotron resonance
  • ICP inductively coupled plasma
  • triode etchers etc.
  • the plasma 33 may employ any suitable etchant gas to break through (i.e.
  • suitable etchant gas(es) may be selected from the group consisting of fluorine-containing gases (e.g. CHF 3 , SF 6 , C 2 F 6 , NF 3 , etc.), bromine-containing gases (e.g. HBr, etc.), chlorine-containing gases (e.g. CHC1 3 , etc.), rare or noble gases (e.g. argon, etc.), and mixtures thereof.
  • fluorine-containing gases e.g. CHF 3 , SF 6 , C 2 F 6 , NF 3 , etc.
  • bromine-containing gases e.g. HBr, etc.
  • chlorine-containing gases e.g. CHC1 3 , etc.
  • rare or noble gases e.g. argon, etc.
  • the etchant does not include an oxidant, such as oxygen, since the purpose of this step is to remove the mask layer 18 (except those mask layers 18a, 18b, 18c and 18d which are respectively protected by resist members 20a, 20b, 20c and 20d) and not to remove the patterned resist 20.
  • the etchant gas comprises from about 20% by volume to about 40% by volume CHF 3 and from about 60% by volume to about 80% by volume argon.
  • the preferred reactor conditions for a suitable plasma processing apparatus (such as the plasma processing apparatus of Fig. 3) in removing the mask layer 18 (except mask layers 18a, 18b, 18c and 18d) are as follows: Pressure 10-150 mTorr
  • the selectivity of mask layer 18 to patterned resist 20 is better than 3: 1 , depending on the materials employed for the mask layer 18 and the patterned resist 20. More generally, the process parameters for removing the mask layer 18 in a suitable plasma process apparatus (such as the plasma processing apparatus of Fig. 3) fall into ranges as listed in the following Table III and based on flow rates of the gases CHF 3 and Ar also listed in the following Table III:
  • Ar 50 to 90 60 to 80% by vol. 60 to 80
  • suitable etchant gas(es) to break through (i.e., to clean and etch away) the Ti/TiN-containing mask layer 18 except for those mask layers 18a, 18b, 18c and 18d that are respectively below the resist numbers 20a, 20b, 20c and 20d, as best shown Figs. 5 and 6, may be selected from the group consisting of a noble gas (e.g., argon), a halogen (e.g. , Cl 2 ), and a gas selected from the group consisting of HBr, BC1 3 , and mixtures thereof.
  • a noble gas e.g., argon
  • a halogen e.g. , Cl 2
  • the etchant gas comprises from about 10% by volume to about 30% by volume argon, from about 20% by volume to about 60% by volume chlorine, and from about 20% by volume to about 60% by volume HBr and/or BC1 3 .
  • a suitable plasma processing apparatus such as the plasma processing apparatus of Fig. 3 in removing the mask layer 18 (except mask layer 18a, 18b, 18c and 18d) comprising Ti and/or TiN are as follows:
  • the selectivity of the Ti/TiN-containing mask layer 18 to patterned resist 20 is better than 3: 1 , depending on the materials employed for the patterned resist 20. More generally, the process parameters for removing the Ti/TiN-containing mask layer 18 in a suitable plasma process apparatus (such as the plasma processing apparatus of Fig. 3) fall into ranges as listed in the following Table IV and based on flow rates of the gases argon, chlorine and HBr and/or BC1 3 also listed in the following Table IV:
  • HBr and/or BC1 3 30 to 100 (20 to 60% by vol.) 50 to 70
  • the protective layer 22 has to be removed or etched after removal of the mask layer 18 in order to expose the electrode layer 16.
  • the protective layer 22 may be etched and removed by any suitable manner and/or with any suitable plasma processing apparatus (such as with the plasma processing apparatus of Fig. 3) including the plasma 33 employing a suitable etchant gas to break through and etch away the protective layer 22 except those protective layers 22a, 22b, 22c and 22d (see Figs. 6 and 8) immediately below mask layers 18a, 18b, 18c and 18d, respectively.
  • suitable etchant gas(es) may be selected from the group consisting of Cl 2 , HBr, BC1 3 , noble gases (e.g. , Ar), and mixtures thereof.
  • the etchant gas for breaking through and etching away the protective layer 22, except protective layers 22a, 22b, 22c and 22d comprises from about 20% by volume to about 60% by volume Cl 2 , from about 20% by volume to about 60% by volume HBr and/or BC1 3 , and from about 10% by volume to about 30% by volume of a noble gas which is preferably Ar.
  • Suitable reactor conditions for a suitable plasma processing apparatus such as the plasma processing apparatus of Fig.
  • protective layer 22 except protective layers 22a, 22b, 22c and 22d
  • protective layers 22a, 22b, 22c and 22d may be the same as those previously stated reactor conditions for the removal of the mask layer 18 (except mask layers 18a, 18b, 18c and 18d).
  • other plasma etchers may be employed to remove the protective layer 20, such as ECR, ICP, Helicon Resonance, etc.
  • the protective layers 22a, 22b, 22c and 22d are for protecting the co ers (identified as "16g" below) of an etched electrode layer (generally identified as "16e” below) during the etching process of the present invention.
  • the protective layers 22a, 22b, 22c and 22d not only protect the comers of an etched electrode layer 16 during the etching process, but also assist in maintaining an existing profile and/or improves a profile (i.e. , an etched platinum or iridium profile).
  • the protective layer 22 may be etched by the high temperatures and etchant gases employed in the platinum-etching process of the present invention. More specifically and as will be further explained below, because the platinum electrode layer 16 is preferably etched under the following process conditions in a high density plasma chamber containing a high density inductively coupled plasma:
  • Halogen Gas e.g., Cl 2 20% to 95% by vol.
  • the protective layer 22 may be etched and removed under the same foregoing conditions.
  • the same apparams and process conditions may be employed to etch and remove selective parts of the protective layer 22, as well as to etch the platinum electrode layer 16.
  • the protective layer 22 and the platinum electrode layer 16 may be removed and etched respectively in a high density plasma chamber containing a high density inductively coupled plasma under the following process conditions:
  • Halogen Gas e.g. , Cl 2 ) 10% to 90% by vol.
  • the protective layer 22 (except protective layers 22a, 22b, 22c and 22d) may be etched by the high temperatures and etchant gases employed in the iridium-etching process of the present invention. More specifically and as will be further explained below, because the iridium electrode layer 16 is preferably etched under the following process conditions in a high density plasma chamber containing a high density inductively coupled plasma: Process Parameters
  • Halogen Gas e.g., Cl 2 ) 10% to 60% by vol.
  • the protective layer 22 may be etched under the same foregoing conditions.
  • the same apparatus and process conditions may be employed to etch and remove selective parts of the protective layer 22, as well as to etch the iridium electrode layer 16.
  • the protective layer 22 and the iridium electrode layer 16 may be removed and etched respectively in a high density plasma chamber containing a high density inductively coupled plasma under the following process conditions: Process Parameters
  • Halogen Gas e.g., Cl 2 ) 10% to 60% by vol.
  • the resist members 20a, 20b, 20c and 20d are to be removed.
  • the resist members 20a, 20b, 20c and 20d may be removed at any suitable time, preferably before the etching of the electrode layer 16 and before the heating of the semiconductor substrate 12 to a temperamre greater than about 150° C. The same would hold true with respect to the embodiment of the invention illustrated in Figs.
  • the resist members 20a, 20b, 20c and 20d may be removed before the etching away of selective parts of the protective layer 22.
  • the resist members 20a, 20b, 20c and 20d may be removed after (or simultaneously during) the removal of selective parts of the protective layer 22 and before the heating of the semiconductor substrate 12 to a temperamre greater than about 150° C for purposes of etching the electrode layer 16.
  • the resist members 20a, 20b, 20c and 20d would be removed while selective parts of the protective layer 22 are being etched away to expose the electrode layer 16 that is not superimposed by the protective layers 22a, 22b, 22c and 22d.
  • the resist members 20a, 20b, 20c and 20d may be removed in any suitable manner such as by using oxygen plasma ashing which is well known to those skilled in the art.
  • the resist members 20a, 20b, 20c and 20d may be respectively stripped from the mask layers 18a, 18b, 18c and 18d with any suitable plasma processing apparatus, such as the plasma processing apparams shown in Fig. 3 and employing a plasma containing an etchant gas comprising oxygen.
  • the resist members 20a, 20b, 20c and 20d have been respectively removed from the mask layers 18a, 18b, 18c and 18d in an advanced strip passivation (ASP) chamber of a plasma processing apparams sold under the trade mark metal etch MxP Centura to Applied Materials, Inc.
  • ASP advanced strip passivation
  • the ASP chamber may employ microwave downstream O 2 /N 2 plasma with the following recipe: 120 seconds, 250°C, 1400W, 3000cc O 2) 300cc N 2 and 2Torr.
  • the electrode layer 16 After the electrode layer 16 has been exposed as represented in Figs. 7 and 8, it is etched to develop a submicron pattern with a profile.
  • the semiconductor substrate 12 supporting the electrode layer 16 is heated to a temperamre greater than about 150° C, preferably greater than about 150° C up to about 500° C, more preferably from about 200° C to about 400° C, most preferably from about 250° C to about 350° C.
  • the semiconductor substrate 12 is preferably heated by the pedestal which supports the wafer 10 during the etching process.
  • the electrode layer 16 may be etched in any suitable plasma processing apparams, such as in the reactive ion etch (RIE) plasma processing apparams sold under the trademark
  • RIE reactive ion etch
  • AME8100 EtchTM or under the trademark Precision Etch 5000TM, or under the trademark Precision Etch 8300TM, all trademarks owned by Applied Materials Inc., 3050 Bowers Avenue, Santa Clara, CA 95054-3299.
  • Another suitable plasma processing apparams for etching the electrode layer 16 is that plasma processing apparams sold under the trademark Metal Etch DPS CenturaTM also owned by Applied Materials, Inc. It is also to be understood that other plasma etchers may be employed, such as ECR, ICP, Helicon Resonance, etc.
  • a suitable plasma processing apparams for etching the electrode layer 16 employs a plasma of an etchant gas, which is capable of producing good profiles (e.g. platinum or iridium profiles) equal to or greater than about 85 degrees, preferably equal to or greater than about 87 degrees, more preferably equal to or greater than about 88.5 degrees.
  • the etchant gas broadly comprises, or consists of or consists essentially of, a halogen containing gas, such as a halogen gas (e.g., fluorine, chlorine, bromine, iodine, and astatine) and a noble gas such as helium, neon, argon, krypton, xenon, and radon.
  • the etchant gas comprises or consists of or consists essentially of a halogen (preferably chlorine) and a noble gas selected from the group consisting of helium, neon, and argon.
  • the noble gas is preferably argon.
  • the etchant gas more specifically comprises, or consists of or consists essentially of, preferably from about 20% by volume to about 95% by volume of the halogen gas (i.e., chlorine) and from about 5 % by volume to about 80% by volume of the noble gas (i.e.
  • etchant gas may also broadly comprise oxygen, a halogen containing gas, such as a halogen gas (e.g.
  • the etchant gas comprises, or consists of or consists essentially of, a halogen (preferably chlorine) and a noble gas selected from the group consisting of helium, neon and argon.
  • the noble gas is preferably argon.
  • the etchant gas more specifically comprises, or consists of or consists essentially of, preferably from about 5% by volume to about 40% by volume oxygen, from about 10% by volume to about 60% by volume of the halogen gas (i.e.
  • the noble gas i.e., argon
  • the etchant gas comprises, preferably consists of or consists essentially of, the halogen (i.e. , chlorine), the noble gas (i.e. , argon), and a gas selected from the group consisting of HBr, BC1 3 and mixtures thereof.
  • the etchant gas more specifically comprises, or consists of or consists essentially of, from about 10% by volume to about 90% by volume of the halogen gas (i.e.
  • chlorine and from about 5% by volume to about 80% by volume of the noble gas (i.e., argon) and from about 4% by volume to about 25% by volume of HBr and/or BC1 3 ; preferably from about 40% by volume to about 70% by volume of the halogen gas (i.e., chlorine) and from about 25% by volume to about 55% by volume of the noble gas (i.e., argon) and from about 5% by volume to about 20% by volume of HBr and/or BC1 3 ; and more preferably from about 50% by volume to about 60% by volume of the halogen gas (i.e., chlorine) and from about 35% by volume to about 45% by volume of the noble gas (i.e.
  • HBr and/or BC1 3 are for removal of residue (e.g. , platinum or iridium residue) during etching of the electrode layer 16.
  • residue e.g. , platinum or iridium residue
  • Plasmas containing argon are known to have a high energetic ion concentration and are often used for physical sputtering. The sputtering effect due to the ions is a function of the accelerating potential which exist between the plasma and the sample.
  • the etchant gas comprises, preferably consists of or consists essentially of, oxygen, the halogen (i.e. , chlorine), the noble gas (i.e. , argon), and a gas selected from the group consisting of HBr, HCl and mixtures thereof.
  • the etchant gas more specifically comprises, or consists of or consists essentially of, from about 5% by volume to about 20% by volume oxygen, from about 10% by volume to about 60% by volume of the halogen gas (i.e. , chlorine) and from about 30% by volume to about 80% by volume of the noble gas (i.e.
  • argon and from about 5 % by volume to about 20% by volume of HBr and/or HCl; preferably from about 5% by volume to about 15 % by volume oxygen, from about 20% by volume to about 50% by volume of the halogen gas (i.e., chlorine) and from about 40% by volume to about 70% by volume of the noble gas (i.e.
  • argon and from about 5 % by volume to about 15 % by volume of HBr and/or HCl; and more preferably from about 5 % by volume to about 10% by volume oxygen, from about 20% by volume to about 35% by volume of the halogen gas (i.e., chlorine) and from about 40% by volume to about 60% by volume of the noble gas (i.e., argon) and from about 5% by volume to about 10% by volume of HBr and/or HCl.
  • the etchant gas flow rate ranges from about 50 seem to about 500 seem.
  • the reactor conditions for a suitable plasma processing apparams, such as the plasma processing apparams of Fig. 3, in etching the electrode layer 16 are as follows:
  • the selectivity of electrode layer 16 to mask 18 is better than 2: 1, depending on the materials employed for the patterned resist 18.
  • the process parameters for etching the electrode layer 16 in a suitable plasma processing apparams fall into ranges as listed in the following Table V and based on the flow rate of etchant gas as also listed in Table V below:
  • Etchant Gas 50 to 500 75 to 250 100 to 200
  • RF Power 50 to 3000 500 to 2000 700 to 1200
  • a preferred etchant gas for etching the platinum electrode layer 16 is a mixmre of chlorine and argon, or a mixmre of chlorine, argon and HBr and/or BC1 3 .
  • Another preferred etchant gas for etching the electrode layer 16 is a mixmre of oxygen, chlorine and argon, or a mixmre of oxygen, chlorine, argon and HBr and/or HCl. If the etchant gas is a mixmre of chlorine and argon (i.e.
  • the etched platinum electrode layer 16e (i.e., etched platinum electrode layer 16e or etched iridium electrode layer 16e) includes etched electrode layers 16a, 16b, 16c and 16d (i.e., etched platinum or iridium electrode layers 16a, 16b, 16c and 16d) having comers 16g and sidewalls 16s and an excellent profile (i.e.
  • an excellent platinum or iridium profile that is, a profile where the angle x of the sidewalls 16s (as also best shown in Figs. 9 and 10) with respect to a horizontal plane is equal to or greater than about 80 degrees for iridium, and equal to or greater than about 85 degrees for platinum, preferably equal to or greater than about 87°, and more preferably equal to or greater than about 88.5°.
  • the produced electrodes i.e., produced platinum electrodes
  • Each of the electrodes include a dimension having a value equal to or less than about 0.6 ⁇ m, preferably equal to or less than about 0.3 ⁇ m. More preferably, each of the electrodes have a width equal to or less than about 0.6 ⁇ m, and a height equal to or less than about 0.6 ⁇ m.
  • the etched electrode layer 16e i.e., etched electrode layers 16a, 16b, 16c and 16d
  • the etched electrode layer 16e has essentially no wall-like structures extending up from the edges of the electrode region (i.e., the platinum region or the iridium region). These walllike structures are frequently referred to as “veils” or “fences” or “rabbit ears. " Therefore, the method of the present invention produces etched electrode layers 16a, 16b, 16c and 16d which are essentially veil-less.
  • the produced etched electrode layers 16a, 16b, 16c and 16d are essentially veil-less and have no “fences” or “rabbit ears,” they are ideally suited for receiving a dielectric BST or PZT layer and functioning as electrodes in a semiconductor device (i.e., a capacitance structure).
  • the high density plasma of the present invention may be defined as a plasma of the etchant gas of the present invention having an ion density greater than about 10 9 /cm ⁇ preferably greater than about 10 n /cm 3 .
  • the source of the high density plasma may be any suitable high density source, such as electron cyclotron resonance (ECR), helicon resonance or inductively coupled plasma (ICP)-type sources. All three are in use on production equipment today. The main difference is that ECR and helicon sources employ an external magnetic field to shape and contain the plasma, while ICP sources do not.
  • the high density plasma for the present invention is more preferably produced or provided by inductively coupling a plasma in a decoupled plasma source etch chamber, such as that sold under the trademark DPSTM owned by Applied Materials, Inc. which decouples or separates the ion flux to the wafer 10 and the ion acceleration energy.
  • a decoupled plasma source etch chamber such as that sold under the trademark DPSTM owned by Applied Materials, Inc. which decouples or separates the ion flux to the wafer 10 and the ion acceleration energy.
  • the design of the etch chamber provides fully independent control of ion density of an enlarged process window. This is accomplished by producing plasma via an inductive source. While a cathode within the etch chamber is still biased with rf electric fields to determine the ion acceleration energy, a second rf source (i.e. an inductive source) determines the ion flux. This second rf source is not capacitive (i.e. it does not use electric fields like the cathode
  • the inductive plasma source couples rf power through a dielectric window rather than an electrode.
  • the power is coupled via rf magnetic fields (not electric fields) from rf current in a coil. These rf magnetic fields penetrate into the plasma and induce rf electric fields (therefore the term "inductive source") which ionize and sustain the plasma.
  • the induced electric fields do not produce large sheath voltages like a capacitive electrode and therefore the inductive source predominantly influences ion flux.
  • the cathode bias power plays little part in determining ion flux since most of the rf power (typically an order of magnitude less than the source power) is used in accelerating ions.
  • the combination of an inductive plasma source and a capacitive wafer bias allows independent control of the ion flux and ion energy reaching the wafer 10 in the etch chamber, such as the DPSTM brand etch chamber.
  • DPSTM brand etch chambers for producing the high density plasma of the present invention for etching the electrode layer 16 to produce the etched electrode layers 16a, 16b, 16c and 16d may be any of the DPSTM brand etch chambers of the inductively coupled plasma reactor disclosed in U.S. Patent No. 5,753,044, issued May 19, 1998, entitled "RF PLASMA REACTOR WITH HYBRID CONDUCTOR AND MULTI-RADIUS DOME CEILING" and assigned to the present assignee and fully incorporated herein by reference thereto as if repeated verbatim immediately hereinafter.
  • an inductively coupled RF plasma reactor generally illustrated as 90, having a reactor chamber, generally illustrated as 92, wherein a high density plasma 94 of neutral (n) particles, positive (+) particles, and negative (-) particles are found.
  • the reactor chamber 92 has a grounded conductive cylindrical sidewall 60 and a dielectric ceiling 62.
  • the inductively coupled RF plasma reactor 90 further comprises a wafer pedestal 64 for supporting the (semiconductor) wafer 10 in the center of the chamber 92, a cylindrical inductor coil 68 surrounding an upper portion of the chamber 92 beginning near the plane of the top of the wafer 10 or wafer pedestal 64 and extending upwardly therefrom toward the top of the chamber 92, an etching gas source 72 and gas inlet 74 for furnishing an etching gas into the interior of the chamber 92, and a pump 76 for controlling the pressure in the chamber 92.
  • the coil inductor 68 is energized by a plasma source power supply or RF generator 78 through a conventional active RF match network 80, the top winding of the coil inductor 68 being "hot" and the bottom winding being grounded.
  • the wafer pedestal 64 includes an interior conductive portion 82 connected to the bias RF power supply or generator 84 and an exterior grounded conductor 86 (insulated from the interior conductive portion 82).
  • the plasma source power applied to the coil inductor 68 by the RF generator 78 and the DC bias RF power applied to the wafer pedestal 64 by generator 84 are separately controlled RF supplies. Separating the bias and source power supplies facilitates independent control of ion density and ion energy, in accordance with well-known techniques.
  • the coil inductor 68 is adjacent to the chamber 92 and is connected to the RF source power supply or the RF generator 78.
  • the coil inductor 68 provides the RF power which ignites and sustains the high ion density of the high density plasma 94.
  • the geometry of the coil inductor 68 can in large part determine spatial distribution of the plasma ion density of the high density plasma 94 within the reactor chamber 92.
  • Uniformity of the plasma density spatial distribution of the high density plasma 94 across the wafer 10 is improved (relative to conical or hemispherical ceilings) by shaping WO 00/49650 PCTtUSOO/04058
  • the ceiling 62 in a multi-radius dome and individually determining or adjusting each one of the multiple radii of the ceiling 62.
  • the multiple-radius dome shape in the particular embodiment of Fig. 17 somewhat flattens the curvature of the ceiling 62 around the center portion of the ceiling 62, the peripheral portion of the ceiling 62 having a steeper curvature.
  • the coil inductor 68 may be coupled to the RF power source
  • the RF source 78, 80 in a mirror coil configuration that is known to those skilled in the art.
  • the RF source 78, 80 is connected to the center winding of the coil inductor 68 while the top and bottom ends of the coil inductor 68 are both grounded.
  • the mirror coil configuration has the advantage of reducing the maximum potential on the coil inductor 68.
  • a semiconductor device is produced with electrodes (i.e. , platinum electrodes or iridium electrodes) having a profile with an angular value which is equal to or greater than about 80 degrees for iridium, and equal to or greater than about 85 degrees for platinum, more preferably equal to or greater than about 87 degrees, most preferably equal to or greater than about 88.5 degrees.
  • electrodes i.e. , platinum electrodes or iridium electrodes
  • the electrodes- are essentially veil-less; that is, they have no “fences” or “rabbit ears. "
  • the electrodes are preferably separated by a distance or space having a dimension equal to or less than about 0.3 ⁇ m.
  • Each of the electrodes include a dimension having a value equal to or less than about 0.6 ⁇ m, preferably equal to or less than about 0.3 ⁇ m. More preferably, each of the electrodes have a width equal to or less than about 0.3 ⁇ m, a length equal to or less than about 0.6 ⁇ m, and a height equal to or less than about 0.6 ⁇ m.
  • the preferred reactor conditions for a suitable inductively coupled RF plasma reactor such as the inductively coupled RF plasma reactor 90 in Figs. 17 and 18, in etching the electrode layer 16 are as follows: Pressure 0.1 to 300 mTorr
  • the process parameters for etching the electrode layer 16 in a suitable inductively coupled plasma reactor fall into ranges as listed on the basis of flow rates of the gases, including the halogen gas(es) (i.e., Cl 2 ) and the noble gas(es) (i.e. , argon), as listed in Table VI below.
  • the process parameters for etching the electrode layer 16 in a suitable inductively coupled plasma reactor fall into ranges as listed on the basis of flow rates of the gases, including oxygen, the halogen gas(es) (i.e., Cl 2 ), and the noble gas(es) (i.e., argon), as listed in Table VII below.
  • the process parameters for etching the electrode layer 16 in a suitable inductively coupled plasma reactor such as the inductively coupled plasma reactor 90 in Figs. 17 and 18, fall into the ranges as listed on the basis of flow rates of the gases, including the halogen gas(es) (i.e. , Cl 2 ) and the noble gas(es) (i.e. , Ar) and HBr and/or BC1 3 , as listed in Table VIII below: TABLE V ⁇ i
  • the etchant gases are a mixmre of oxygen, the halogen gas(es) (i.e. , chlorine), the noble gas(es) (i.e., argon), and HBr and/or BC1 3
  • the process parameters for etching electrode layer 16 in a suitable inductively coupled plasma reactor such as the inductively coupled plasma reactor 90 in Figs. 17 and 18, fall into the ranges as listed on the basis of rates of the gases, including oxygen, the halogen gas(es) (i.e. , Cl 2 ), the noble gas(ses) (i.e., Ar), and HBr and/or HCl, as listed in Table IX below:
  • the foregoing process conditions are preferably based on flow rates of etchant gas(es) having a flow rate value ranging from about 5 to about 500 seem.
  • the process parameters of Tables V and VI may vary in accordance with the size of the wafer 10.
  • the etchant gas comprises or consists of or consists essentially of a halogen (preferably chlorine) and a noble gas selected from the group consisting of helium, neon, and argon.
  • the etchant gas comprises, or consists of or consists essentially of, oxygen, a halogen (preferably chlorine), and a noble gas selected from the group consisting of helium, neon, and argon.
  • the noble gas is preferably argon.
  • the etchant gas more specifically comprises, or consists of or consists essentially of, from about 20% by volume to about 95% by volume of the halogen gas (i.e. , chlorine) and from about 5% by volume to about 80% by volume of the noble gas (i.e. , argon); preferably from about 40% by volume to about 80% by volume of the halogen gas (i.e.
  • the etchant gas more specifically comprises, or consists of or consists essentially of, from about 5 % by volume to about 40% by volume oxygen, from about 10% by volume to about 60% by volume of the halogen gas (i.e.
  • chlorine and from about 30% by volume to about 80% by volume of the noble gas (i.e., argon); preferbly from about 10% by volume to about 30% by volume oxygen, from about 20% by volume to about 50% by volume of the halogen gas (i.e., chlorine) and from about 40% by volume to about 70% by volume of the noble gas (i.e. , argon); more preferably from about 10% by volume to about 20% by volume oxygen, from about 20% by volume to about 30% by volume of the halogen gas (i.e., chlorine) and from about 50% by volume to about 70% by volume of the noble gas (i.e., argon).
  • the noble gas i.e., argon
  • the etchant gas comprises, preferably consists of or consists essentially of, the halogen (i.e., chlorine), the noble gas (i.e., argon), and a gas selected from the group consists of HBr, BC1 3 and mixtures thereof.
  • the etchant gas comprises, preferably consists of or consists essentially of, oxygen, the halogen (i.e. , chlorine), the noble gas (i.e., argon), and a gas selected from the group consists of HBr. BC1 3 and mixtures thereof.
  • the etchant gas more specifically comprises, or consists of or consists essentially of from about 10% by volume to about 90% by volume of the halogen gas (i.e., chlorine) and from about 5 % by volume to about 80% by volume of the noble gas (i.e. , argon) and from about 4% by volume to about 25 % by volume of HBr and/or BC1 3 ; preferably from about 40% by volume to about 70% by volume of the halogen gas (i.e.
  • the halogen gas i.e., chlorine
  • the noble gas i.e. argon
  • chlorine and from about 25 % by volume to about 55% by volume of the noble gas (i.e., argon) and from about 5% by volume to about 20% by volume of HBr and/or BC1 3 ; and more preferably from about 50% by volume to about 60% by volume of the halogen gas (i.e., chlorine) and from about 35% by volume to about 45 % by volume of the noble gas (i.e. , argon) and from about 5% by volume to about 15 % by volume of HBr and/or BC1 3 .
  • the noble gas i.e., argon
  • the etchant gas more specifically comprises, or consists of or consists essentially of, from about 5% by volume to about 20% by volume oxygen, from about 10% by volume to about 60% by volume of the halogen gas (i.e. , chlorine) and from about 30% by volume to about 80% by volume of the noble gas (i.e., argon) and from about 5% by volume to about 20% by volume of HBr and/or HCl; preferably from about 5 % by volume to about 15% by volume oxygen, from about 20% by volume to about 50% by volume of the halogen gas (i.e., chlorine), from about 40% by volume to about 70% by volume of the noble gas (i.e., argon) and from about 5% by volume to about 15% by volume of HBr and/or HCl; and more preferably from about 5 % by volume to about 10% by volume oxygen, from about 20% by volume to about 35% by volume of the halogen gas (i.e., chlorine) and from about 40% by volume to about 60%
  • the process parameters for etching the iridium electrode layer 16 in a suitable inductively coupled plasma reactor fall into ranges as listed on the basis of flow rates of the gases, including oxygen, the halogen gas(es), (i.e. , Cl 2 ), and the noble gas(es) (i.e. , argon), as listed in Table X below.
  • the etchant gases are a mixmre of oxygen, the halogen gas(es) (i.e., chlorine), the noble gas(es) (i.e. , argon), and HBr and/or HCl
  • the process parameters for etching iridium electrode layer 16 supporting a Ti/TiN mask layer 18 in a suitable inductively coupled plasma reactor fall into the ranges as listed on the basis of rates of the gases, including oxygen, the halogen gas(es) (i.e. , Cl 2 ), the noble gas(ses) (i.e., Ar), and HBr and/or HCl, as listed in Table XI below:
  • the protective layers 22a, 22b, 22c and 22d protect the comers 16g of the etched electrode layers 16a, 16b, 16c and 16d during the etching process.
  • some of the mask layers 18a, 18b, 18c and 18d would be etched during the etching process, leaving residual mask layers 18r on top of etched electrode layers 16a, 16b, 16c and 16d, or on top of the protective layers 22a, 22b, 22c and 22d.
  • the protective layers 22a, 22b, 22c and 22, respectively, insure that the comers 16g of the etched electrode layers 16a, 16b, 16c and 16d are protected during etching, especially in the event that the etching process removes essentially all of the mask layers 18a, 18b, 18c and 18d. Maintaining the comers 16g of the etched electrode layers 16a, 16b, 16c and 16d protects the quality of the profile formed during etching of the electrode layer 16 to produce the etched electrode layers 16a, 16b, 16c and 16d. After the electrode layer 16 has been etched to produce the electrode layers 16a, 16b,
  • the residual mask layers 18r (if not completely removed during the etching process) typically remain on top of the veil-less etched electrode layers 16a, 16b, 16c and 16d, or on top of the protective layers 22a, 22b, 22c and 22d which are respectively supported by the essentially veil-less etched electrode layers 16a, 16b, 16c and 16d, all as best shown in Figs. 11 and 12.
  • the residual mask layers 18r are to be removed by any suitable means and/or in any suitable manner, such is by CHF 3 /Ar plasma.
  • the residual mask layers 18r may be removed by any suitable means and/or in any suitable manner, such as by the conditions given in Table IV above.
  • the protective layers 22a, 22b, 22c and 22d are to be removed after removal of the residual mask layers 18r from the protective layers 22a, 22b, 22c and 22d.
  • the protective layers 22a, 22b, 22c and 22d may be removed by any suitable means and/or in any suitable manner.
  • protective layers 22a, 22b, 22c and 22d comprise TiN removal is by Ar/Cl 2 plasma in a DPSTM brand chamber of the Metal Etch DPS CenmraTM brand plasma processing apparams under the following apparams and process conditions as listed in Table XII below.
  • the veil-less etched electrode layered structure of Fig. 13 or Fig. 14 remains. It should be noted, as best shown in Figs. 15 and 16, respectively, that the barrier layer 14 could be etched simultaneously during or after removal of the residual mask layers 18r (see Fig. 15), or etched simultaneously during or after removal of the residual mask layers 18r and the protective layers 22a, 22b, 22c and 22d (see Fig. 16).
  • the patterned resist 20 i.e. , resist members 20a, 20b, 20c and 20d
  • the patterned resist 20 i.e. , resist numbers 20a, 20b, 20c and 20d
  • the mask layers 18a, 18b, 18c and 18d may be removed at any suitable time, preferably before the etching of the electrode layer 16.
  • the protective layers 22a, 22b, 22c and 22d and/or mask layers 18a, 18b, 18c and 18d for the embodiment of the invention depicted in Fig. 2 may also be removed at any suitable time, such as during the etching process or after the etching process.
  • Example I A test semiconductor wafer was formulated with the following film stack: 0.8 ⁇ m patterned PR (photoresist)/500 ⁇ A Oxide/IOOA Ti/IOOOA Pt/30 ⁇ A TiN The feature size of the patterned PR test semiconductor wafer was 0.3 ⁇ m block and 0.25 ⁇ m spacing.
  • the oxide mask i.e. the mask layer
  • the oxide etch chamber of a plasma processing apparams sold under the trademark Oxide Etch MxP CenmraTM, owned by Applied Materials Inc., 3050 Bowers Avenue, Santa Clara, CA 95054- 3299.
  • the etchant gas for opening the oxide mask comprised about 68% by volume Ar and about 32% by volume CHF 3 .
  • the reactor and process conditions were as follows: Reactor Conditions
  • the photoresist was stripped from the oxide mask in an ASP chamber of the Metal Etch MxP CenmraTM brand plasma processing apparams under the following recipe using microwave downstream O 2 /N 2 plasma: 120 seconds, 250° C, 1400 W, 3000 seem O 2 , 300 seem N 2 , and 2 Torr.
  • the Ti protective layer was etched with Ar, Cl 2 and BC1 3 as the etchant gases and in a DPSTM brand chamber of the Metal Etch DPS CenmraTM brand plasma processing apparams under the following reactor and process conditions: Reactor Conditions
  • the platinum layer of the test semiconductor wafer was then etched with Ar and Cl 2 as the etchant gas and in a DPSTM brand chamber of the Metal Etch DPS CenmraTM brand plasma processing apparams under the following reactor and process conditions: Reactor Conditions
  • Fig. 19 The resulting etched platinum layer of the test semiconductor wafer is shown in Fig. 19 wherein a platinum profile of about 87 degrees is shown.
  • the " oxide mask was then removed in a 6: 1 HF solution to produce the veil-less test semiconductor wafer shown in Fig. 20.
  • the remaining Ti protective layer could be removed by any suitable means and/or in any suitable manner, such as by etching with Ar, BC1 3 and
  • Example II A test semiconductor wafer was formulated with the following film stack: 0.8 ⁇ m patterned PR (photoresist)/500 ⁇ A Oxide/60 ⁇ A TiN/200 ⁇ A Pt/30 ⁇ A TiN The feature size of the patterned PR test semiconductor wafer was 0.25 ⁇ m block and 0.2 ⁇ m spacing.
  • the oxide mask i.e. the mask layer
  • the oxide etch chamber of a plasma processing apparams sold under the trademark Oxide Etch MxP CenmraTM, owned by Applied Materials Inc., 3050 Bowers Avenue, Santa Clara, CA 95054- 3299.
  • the etchant gas for opening the oxide mask comprised about 68% by volume Ar and about 32% by volume CHF 3 .
  • the reactor and process conditions were as follows: Reactor Conditions
  • the photoresist was stripped from the oxide mask in an ASP chamber of the Metal Etch MxP CenmraTM brand plasma processing apparams under the following recipe using microwave downstream O 2 /N : plasma: 120 seconds, 250° C, 1400 W, 3000 seem O 2 , 300 seem N 2 , and 2 Torr.
  • the TiN protective layer was etched with Ar, Cl 2 and BC1 3 as the etchant gases and in a DPSTM brand chamber of the Metal Etch DPS CenmraTM brand plasma processing apparams under the following reactor and process conditions: Reactor Conditions
  • the platinum layer of the test semiconductor wafer was then etched with Ar and Cl 2 and BC1 3 as the etchant gas and in a DPSTM brand chamber of the Metal Etch DPS CenmraTM brand plasma processing apparams under the following reactor and process conditions: Reactor Conditions
  • Fig. 23 The resulting etched platinum layer of the test semiconductor wafer is shown in Fig. 23 wherein a platinum profile of about 87 degrees is shown.
  • Fig. 24 is a drawing representing the elevational view in the picmre of Fig. 23 with the respective parts identified by a reference numeral.
  • the oxide mask could have been removed in a 6: 1 HF solution to produce a veil-less test semiconductor wafer similar to the one shown in Fig. 20.
  • the remaining TiN protective layer could have been removed by any suitable means and/or in any suitable manner, such as by etching with Ar, BC1 3 and Cl 2 as the etchant gases and in a DPSTM brand chamber of the Metal Etch DPS CenmraTM brand plasma processing apparams under the following reactor and process conditions:
  • Example HI A test semiconductor wafer was formulated with the following film stack: 1.2 ⁇ m patterned PR (photoresist)/400 ⁇ A Oxide/ 100 A Ti/200 ⁇ A Ir/IOOOA TiN The feature size of the patterned PR test semiconductor wafer was 2.5 ⁇ m block and 4.0 ⁇ m spacing.
  • the oxide mask i.e. the mask layer
  • the oxide etch chamber of a plasma processing apparams sold under the trademark Oxide Etch MxP CenmraTM, owned by Applied Materials Inc., 3050 Bowers Avenue, Santa Clara, CA 95054- 3299.
  • the etchant gas for opening the oxide mask comprised about 68% by volume Ar and about 32% by volume CHF 3 .
  • the reactor and process conditions were as follows: Reactor Conditions
  • the photoresist was stripped from the oxide mask in an ASP chamber of the Metal Etch MxP CenmraTM brand plasma processing apparams under the following recipe using microwave downstream O 2 /N 2 plasma: 120 seconds, 250° C, 1400 W, 3000 seem O 2 , 300 seem N 2 , and 2 Torr.
  • the Ti protective layer was etched with Ar, Cl 2 and BC1 3 as the etchant gases and in a DPSTM brand chamber of the Metal Etch DPS CenmraTM brand plasma processing apparams under the following reactor and process conditions: Reactor Conditions
  • the iridium layer of the test semiconductor wafer was then etched with O 2 , Ar and
  • Fig. 25 The resulting etched iridium layer of the test semiconductor wafer is shown in the picmre of Fig. 25 wherein an iridium profile of about 85 degrees is shown.
  • Fig. 26 is a drawing representing the elevational view in the picmre of Fig. 25 with the respective parts identified by a reference humeral..
  • the oxide mask was then removed in a 6: 1 HF solution to produce the veil-less test semiconductor wafer.
  • the remaining Ti protective layer could be removed by any suitable means and/or in any suitable manner, such as by etching with Ar, BC1 3 and Cl 2 as the etchant gases and in a DPSTM brand chamber of the Metal Etch DPS CenmraTM brand plasma processing apparams under the following reactor and process conditions:
  • Example IV A test semiconductor wafer was formulated with the following film stack: 1.2 ⁇ m patterned PR (photoresist)/ lOOOA TiN/200 ⁇ A Ir/IOOOA TiN The feature size of the patterned PR test semiconductor wafer was 2.5 ⁇ m block and 4.0 ⁇ m spacing.
  • the TiN mask i.e. the mask layer
  • the etchant gas for opening the TiN mask comprised about 68% by volume Ar and about 32% by volume Cl 2 .
  • the reactor and process conditions were as follows:
  • the photoresist was stripped from the oxide mask in an ASP chamber of the Metal
  • the iridium layer of the test semiconductor wafer was then etched with O 2 Ar and
  • Fig. 27 The resulting etched iridium layer of the test semiconductor wafer is shown in Fig. 27 wherein an iridium profile of about 80 degrees is shown.
  • Fig. 28 is a drawing representing the elevational view in the picmre of Fig. 27 with the respective parts identified by a reference numeral.
  • the remaining TiN mask layer could have been removed by any suitable means and/or in any suitable manner, such as by etching with Ar and Cl 2 as the etchant gases and in a DPSTM brand chamber of the Metal Etch DPS CenmraTM brand plasma processing apparams under the following reactor and process conditions: Reactor Conditions
  • the etched electrode layer 16 includes a plurality of etched electrode layers 16a, 16b, 16c and 16d having a profile where the angle c- of the sidewalls 16s with respect to a horizontal plane is equal to or greater than about 80 degrees.
  • the electrode layers 16a, 16b, 16c and 16d are separated by a distance or space having a dimension equal to or less than about 0.3 ⁇ m.
  • Each of the electrode layers 16a, 16b, 16c and 16d include a dimension having a value equal to or less than about 0.6 ⁇ m, preferably equal to or less than about 0.3 ⁇ m.
  • each of the electrode layers 16a, 16b, 16c and 16d has a width equal to or less than about 0.3 ⁇ m, a length equal to or less than about 0.6 ⁇ m, and a height equal to or less than about 0.6 ⁇ m. Because the produced etched electrode layers 16a, 16b, 16c and 16d are essentially a "veil-less" with no “fences” or "rabbit ears," they are ideally suited for receiving a dielectric (e.g. , a BST layer) in producing a semiconductor device.
  • the etchant gas in Example I consisted of about 40% by vol. Ar and about 60% by vol. Cl 2 , and produced an etched platinum layer with a platinum profile of about 87 degrees.
  • Example II the etchant gas consisted of 54.5% by vol. (about 55% by vol.) Cl 2 , 36.4% by vol. (about 36% by vol.) Ar, and 9.1 % by vol. (about 9% by vol.) BC1 3 , and the resulting etched platinum layer had a platinum profile of about 87 degrees.
  • the etchant gas consisted of about 9.1 % by vol. 0 2 , about 60.6% by vol. argon, and about 30.3 % by vol. Cl 2 , and produced an etched iridium layer with an iridium profile of about 85 degrees.
  • Example IV the etchant gas consisted of about 9.1 % by vol. O 2 , about 60.6% by vol. argon, and about 30.3% by vol. Cl 2 , and produced an etched iridium layer with an iridium profile of about 80 degrees.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

L'invention concerne un procédé de gravure d'une couche d'électrode (par exemple, une couche d'électrode en platine ou en iridium) disposée sur un substrat afin de produire un dispositif semi-conducteur, comprenant une pluralité d'électrodes séparées par une distance égale ou inférieure à environ 0,3 $η(ν)m, et présentant un profil égal ou supérieur à environ 85°. Ce procédé consiste à chauffer ledit substrat à une température supérieure à environ 150 °C, et à graver la couche d'électrode au moyen d'un plasma à densité élevée, couplé par induction, d'un gaz d'attaque comprenant de l'oxygène et/ou du chlore, de l'argon, et un gaz sélectionné dans le groupe constitué par BC13, HBr, HC1 et des mélanges de ceux-ci. L'invention concerne un dispositif semi-conducteur possédant un substrat et une pluralité d'électrodes supportées par ledit substrat. Les électrodes présentent une dimension (par exemple, une largeur) d'une valeur égale ou inférieure à environ 0,3 $η(ν)m et un profil égal ou supérieur à environ 85°.
PCT/US2000/004058 1999-02-17 2000-02-16 Procedes de gravure ameliores pour profil anisotrope WO2000049650A1 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020017010479A KR20010112271A (ko) 1999-02-17 2000-02-16 이방성 프로파일을 위한 이리듐 에칭 방법
JP2000600300A JP2002537653A (ja) 1999-02-17 2000-02-16 異方性プロファイルのためのイリジウムエッチング方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/251,633 1999-02-17
US09/251,633 US6265318B1 (en) 1998-01-13 1999-02-17 Iridium etchant methods for anisotropic profile

Publications (2)

Publication Number Publication Date
WO2000049650A1 true WO2000049650A1 (fr) 2000-08-24
WO2000049650A9 WO2000049650A9 (fr) 2001-09-20

Family

ID=22952790

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/004058 WO2000049650A1 (fr) 1999-02-17 2000-02-16 Procedes de gravure ameliores pour profil anisotrope

Country Status (5)

Country Link
US (1) US6265318B1 (fr)
JP (1) JP2002537653A (fr)
KR (1) KR20010112271A (fr)
TW (1) TW493231B (fr)
WO (1) WO2000049650A1 (fr)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541380B2 (en) 2001-07-24 2003-04-01 Applied Materials Inc. Plasma etching process for metals and metal oxides, including metals and metal oxides inert to oxidation
JP2003258203A (ja) * 2002-02-28 2003-09-12 Fujitsu Ltd 半導体装置の製造方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6018065A (en) * 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6362822B1 (en) * 1999-03-12 2002-03-26 Terminal Reality, Inc. Lighting and shadowing methods and arrangements for use in computer graphic simulations
US6436838B1 (en) * 2000-04-21 2002-08-20 Applied Materials, Inc. Method of patterning lead zirconium titanate and barium strontium titanate
FR2811316B1 (fr) * 2000-07-06 2003-01-10 Saint Gobain Substrat texture transparent et procedes pour l'obtenir
US6547979B1 (en) * 2000-08-31 2003-04-15 Micron Technology, Inc. Methods of enhancing selectivity of etching silicon dioxide relative to one or more organic substances; and plasma reaction chambers
KR100825130B1 (ko) * 2001-07-06 2008-04-24 어플라이드 머티어리얼스, 인코포레이티드 금속 에칭 공정 동안 플라즈마 에칭 챔버내에서 파티클을감소시키는 방법
US20030143853A1 (en) * 2002-01-31 2003-07-31 Celii Francis G. FeRAM capacitor stack etch
US20030176073A1 (en) * 2002-03-12 2003-09-18 Chentsau Ying Plasma etching of Ir and PZT using a hard mask and C12/N2/O2 and C12/CHF3/O2 chemistry
US20040053506A1 (en) * 2002-07-19 2004-03-18 Yao-Sheng Lee High temperature anisotropic etching of multi-layer structures
US6943039B2 (en) * 2003-02-11 2005-09-13 Applied Materials Inc. Method of etching ferroelectric layers
US7364665B2 (en) * 2004-10-21 2008-04-29 Sharp Laboratories Of America, Inc. Selective etching processes of SiO2 , Ti and In2 O3 thin films for FeRAM device applications
JP4598639B2 (ja) * 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
JP5590906B2 (ja) * 2010-02-09 2014-09-17 キヤノン株式会社 液体吐出ヘッド用基板の製造方法
JP5766027B2 (ja) * 2011-05-20 2015-08-19 富士フイルム株式会社 ドライエッチング方法及びデバイス製造方法
US20130043559A1 (en) * 2011-08-17 2013-02-21 International Business Machines Corporation Trench formation in substrate
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11322364B2 (en) * 2020-04-01 2022-05-03 Tokyo Electron Limited Method of patterning a metal film with improved sidewall roughness

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0865079A2 (fr) * 1997-03-13 1998-09-16 Applied Materials, Inc. Méthode d'enlèvement d'impuretés redéposées sur un surface de platine préalablement gravée
JPH10340893A (ja) * 1997-06-09 1998-12-22 Sony Corp 電子薄膜材料のエッチング方法
DE19728473A1 (de) * 1997-07-03 1999-01-07 Siemens Ag Strukturierungsverfahren
JPH1131682A (ja) * 1997-07-11 1999-02-02 Oki Electric Ind Co Ltd ドライエッチング方法および強誘電体メモリ素子の製造方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4351840A (en) 1981-09-18 1982-09-28 Pfizer Inc. Antibacterial esters of resorcinol with ampicillin and penicillanic acid 1,1-dioxide derivatives
JPS5916334A (ja) 1982-07-19 1984-01-27 Matsushita Electronics Corp ドライエツチング方法
JP2947818B2 (ja) 1988-07-27 1999-09-13 株式会社日立製作所 微細孔への金属穴埋め方法
US4889588A (en) 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
US4902377A (en) 1989-05-23 1990-02-20 Motorola, Inc. Sloped contact etch process
US5188704A (en) 1989-10-20 1993-02-23 International Business Machines Corporation Selective silicon nitride plasma etching
JPH0590224A (ja) 1991-01-22 1993-04-09 Toshiba Corp 半導体装置の製造方法
US5232747A (en) 1992-07-27 1993-08-03 Radiant Technologies Platinum-aluminum connection system
US5258093A (en) 1992-12-21 1993-11-02 Motorola, Inc. Procss for fabricating a ferroelectric capacitor in a semiconductor device
JPH07130702A (ja) 1993-11-08 1995-05-19 Fujitsu Ltd 白金又はパラジウムよりなる金属膜のパターニング方法
KR0171060B1 (ko) 1993-12-28 1999-03-30 스기야마 카즈히코 반도체장치의 제조방법
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
JP3460347B2 (ja) 1994-03-30 2003-10-27 松下電器産業株式会社 半導体装置の製造方法
JP3122579B2 (ja) 1994-07-27 2001-01-09 シャープ株式会社 Pt膜のエッチング方法
US5554564A (en) 1994-08-01 1996-09-10 Texas Instruments Incorporated Pre-oxidizing high-dielectric-constant material electrodes
US5622893A (en) 1994-08-01 1997-04-22 Texas Instruments Incorporated Method of forming conductive noble-metal-insulator-alloy barrier layer for high-dielectric-constant material electrodes
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP2953974B2 (ja) 1995-02-03 1999-09-27 松下電子工業株式会社 半導体装置の製造方法
KR100271111B1 (ko) * 1995-02-28 2000-12-01 로데릭 더블류 루이스 재피착을사용하여구조를형성하는방법
KR100322695B1 (ko) 1995-03-20 2002-05-13 윤종용 강유전성캐패시터의제조방법
US5705443A (en) * 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
KR100199094B1 (ko) 1995-10-18 1999-06-15 구본준 반도체 소자의 커패시터 제조방법
KR100413649B1 (ko) 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
JPH09251983A (ja) 1996-03-15 1997-09-22 Rohm Co Ltd ドライエッチング方法
US5907791A (en) 1996-04-25 1999-05-25 Lucent Technologies Inc. Method of making semiconductor devices by patterning a wafer having a non-planar surface
JP3388089B2 (ja) 1996-04-25 2003-03-17 シャープ株式会社 不揮発性半導体メモリ素子の製造方法
CN1148789C (zh) 1996-07-03 2004-05-05 泰格尔公司 腐蚀半导体晶片的方法和装置
TW365691B (en) 1997-02-05 1999-08-01 Samsung Electronics Co Ltd Method for etching Pt film of semiconductor device
JPH10301614A (ja) 1997-04-28 1998-11-13 Fanuc Ltd 数値制御装置
JPH11111695A (ja) 1997-10-07 1999-04-23 Fujitsu Ltd 白金薄膜パターンの形成方法及び半導体装置の製造方法
KR100252047B1 (ko) * 1997-11-13 2000-04-15 윤종용 하드마스크를 이용한 금속층 식각방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0865079A2 (fr) * 1997-03-13 1998-09-16 Applied Materials, Inc. Méthode d'enlèvement d'impuretés redéposées sur un surface de platine préalablement gravée
JPH10340893A (ja) * 1997-06-09 1998-12-22 Sony Corp 電子薄膜材料のエッチング方法
DE19728473A1 (de) * 1997-07-03 1999-01-07 Siemens Ag Strukturierungsverfahren
JPH1131682A (ja) * 1997-07-11 1999-02-02 Oki Electric Ind Co Ltd ドライエッチング方法および強誘電体メモリ素子の製造方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 1999, no. 03 31 March 1999 (1999-03-31) *
PATENT ABSTRACTS OF JAPAN vol. 1999, no. 05 31 May 1999 (1999-05-31) *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541380B2 (en) 2001-07-24 2003-04-01 Applied Materials Inc. Plasma etching process for metals and metal oxides, including metals and metal oxides inert to oxidation
JP2003258203A (ja) * 2002-02-28 2003-09-12 Fujitsu Ltd 半導体装置の製造方法
US7763545B2 (en) 2002-02-28 2010-07-27 Fujitsu Semiconductor Limited Semiconductor device manufacturing method

Also Published As

Publication number Publication date
US6265318B1 (en) 2001-07-24
TW493231B (en) 2002-07-01
KR20010112271A (ko) 2001-12-20
WO2000049650A9 (fr) 2001-09-20
JP2002537653A (ja) 2002-11-05

Similar Documents

Publication Publication Date Title
US6277762B1 (en) Method for removing redeposited veils from etched platinum
US6777342B2 (en) Method of plasma etching platinum
US6749770B2 (en) Method of etching an anisotropic profile in platinum
US6919168B2 (en) Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6265318B1 (en) Iridium etchant methods for anisotropic profile
KR19980080224A (ko) 에칭되는 백금으로부터 재증착된 베일을 제거하기 위한 방법
US6368517B1 (en) Method for preventing corrosion of a dielectric material
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US6037265A (en) Etchant gas and a method for etching transistor gates
US6492280B1 (en) Method and apparatus for etching a semiconductor wafer with features having vertical sidewalls
JP4451934B2 (ja) 導電層をエッチングする方法及び集積回路
US6127277A (en) Method and apparatus for etching a semiconductor wafer with features having vertical sidewalls
KR100756742B1 (ko) 고밀도 ram 커패시터의 전극을 패턴화하기 위한 개선된마스킹 방법 및 에칭 공정
Labelle et al. Metal stack etching using a helical resonator plasma
Shiau et al. Method for anisotropically etching tungsten using SF6 CHF3 and N2

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 600300

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1020017010479

Country of ref document: KR

AK Designated states

Kind code of ref document: C2

Designated state(s): JP KR

COP Corrected version of pamphlet

Free format text: PAGES 1-66, DESCRIPTION, REPLACED BY NEW PAGES 1-75; PAGES 67-73, CLAIMS, REPLACED BY NEW PAGES 76-82; PAGES 1/21-21/21, DRAWINGS, REPLACED BY NEW PAGES 1/17-17/17; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

WWP Wipo information: published in national office

Ref document number: 1020017010479

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1020017010479

Country of ref document: KR