KR20010112271A - 이방성 프로파일을 위한 이리듐 에칭 방법 - Google Patents

이방성 프로파일을 위한 이리듐 에칭 방법 Download PDF

Info

Publication number
KR20010112271A
KR20010112271A KR1020017010479A KR20017010479A KR20010112271A KR 20010112271 A KR20010112271 A KR 20010112271A KR 1020017010479 A KR1020017010479 A KR 1020017010479A KR 20017010479 A KR20017010479 A KR 20017010479A KR 20010112271 A KR20010112271 A KR 20010112271A
Authority
KR
South Korea
Prior art keywords
layer
iridium
etching
electrode layer
gas
Prior art date
Application number
KR1020017010479A
Other languages
English (en)
Inventor
젱 에이치. 황
첸차우 잉
광시앙 진
스티브 에스. 와이. 맥
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010112271A publication Critical patent/KR20010112271A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

약 0.3 ㎛ 이하의 간격으로 분리되고 약 85°이상의 프로파일을 가지는 다수의 전극들을 포함하는 반도체 소자를 제조하기 위해, 기판상에 배치된 전극층을 에칭하는 방법에 관한 것이다. 그 방법은, 기판을 약 150 ℃ 이상의 온도로 가열하는 것과, BCl3, HBr, HCl 및 그 혼합물로 이루어진 그룹에서 선택된 가스와 산소 및/또는 염소, 아르곤을 포함하는 에칭가스의 고밀도 유도 결합 플라즈마를 채용하여 전극층을 에칭하는 것을 포함한다. 반도체 소자는 기판과 그 기판에 의해 지지되는 다수의 전극을 포함한다. 그 전극들은 약 0.3 ㎛ 이하의 크기(예를 들어, 폭)를 가지고, 약 85°이상의 프로파일을 가진다.

Description

이방성 프로파일을 위한 이리듐 에칭 방법 {IRIDIUM ETCHING METHODS FOR ANISOTROPHIC PROFILE}
디지털 정보의 저장 및 재생을 실행하는 것은 현 디지털 전자장치의 공통 관심사이다. 메모리 크기와 억세스 시간은 컴퓨터 기술에 있어서의 진보를 측정하는 기준이다. 저장 콘덴서가 메모리 어레이 소자로서 아주 빈번하게 사용된다. 기술 수준이 향상되면서, 미세한 회로선 폭의 고밀도 다이나믹 랜덤 억세스 메모리(DRAM) 장치는 고 유전체 상수를 갖는 재료로 제조된 대 용량 저장 콘덴서를 필요로 한다. 고 유전체 상수를 갖는 재료 또는 강유전체 재료는 주로 소결 금속 산화물로 제조되며 상당한 양의 고 반응성 산소를 함유한다. 그러한 강유전체 재료 또는 박막을 갖는 콘덴서의 제조에 있어서, 전극들은 저장 콘덴서의 저장 용량을 감소시키는 전극의 산화를 방지하기 위해 최소 반응성을 갖는 재료로 제조되어야 한다. 그러므로, 플래티늄(Pt), 팔라듐(Pd), 이리듐(Ir) 등과 같은 귀금속들이 고밀도 DRAM용 콘덴서의 제조에 사용되는 바람직한 재료이다.
콘덴서 전극용으로 사용가능한 귀금속 중에서, 플래티늄과 이리듐은 산화에 대해 불활성이므로 흥미로운 후보 재료이며 또한, RuO2및 Pd와 같은 다른 전극 보다 낮은 누설 전류( < 10-9amp/㎠)를 갖는 것으로 공지되어 있다. 플래티늄과 이리듐은 양호한 전도성도 가진다.
종래 기술에 있어서, 플래티늄 및 이리듐 에칭은 왕수(aqua regia)에 의한 습식 에칭과 같은 등방성 에칭, 또는 아르곤 가스에 의한 이온 밀링과 같은 이방성 에칭, 또는 다른 수단들에 의해 수행되어 왔다. 등방성 에칭의 특성으로 인해, 왕수에 의한 습식 에칭은 공정 정밀도를 저하시키는 원인이 될 수 있다. 등방성 에칭에 있어서의 정밀도는 미세 패턴화 공정에 대해서는 충분히 높지 않다. 그러므로, 플래티늄과 이리듐 전극들의 등방성 특성으로 인해 이들을 서브미크론 크기의 패턴으로 형성하는 것이 어렵다. 게다가, 전극을 형성하기 위한 플래티늄과 이리듐에 대한 에칭속도가 대량 제조에는 너무 느리기 때문에 이온 밀링에 따른 문제점(즉, 이방성 에칭)이 발생한다.
플래티늄 및 이리듐의 에칭에 대한 공정 정밀도를 증가시키기 위해, 특히 에칭 가스(예를 들어, Cl2, HBr, O2, 등등)가 사용되는 건식 에칭공정에 의해 플래티늄과 이리듐을 에칭하는 분야에 있어서 연구와 발전이 아주 활발하다. 다음 종래 기술은 에칭 가스 플라즈마로 플래티늄을 에칭하는 것과 관련한 대표적인 기술이다.
마쯔모또 등에게 허여된 미국 특허 제 5, 492, 855 호에는 이미 완성된 회로 소자와 배선을 갖는 기판의 상부에 절연층, 바닥 전극 플래티늄층, 유전체 박막 및 상부 전극 플래티늄층을 제공하고, 상부 전극 플래티늄층과 유전체 박막을 선택적으로 건식 에칭한 후에 바닥 전극 플래티늄층을 선택적으로 건식 에칭함으로써 콘덴서를 형성하는 반도체 소자의 제조방법이 설명되어 있다. 상기 제조방법은 플래티늄 에칭용 에칭 가스로서 S 성분을 함유하는 가스, 또는 첨가 가스로서 S 성분을 함유하는 에칭 가스를 사용하며, S 및 Pt 성분을 조정하기 위한 이온 주입법에 의해 Pt 건식 에칭공정을 수행하기 이전에 S를 Pt 층 내측에 주입하며, 그리고 나서 이렇게 조정된 Pt 화합물을 건식 에칭한다.
마쯔모또 등에게 허여된 미국 특허 제 5, 527, 729 호에는 회로 소자와 배선 등이 이미 형성되어 있는 기판 상에 절연층, 제 1 금속층, 유전체 박막 및 제 2 금속층을 형성하는 공정이 설명되어 있다. 상부 전극 및 콘덴서형 박막은 제 2 금속층과 유전체 박막을 건식 에칭함으로써 형성된다. 바닥 전극은 제 1 금속층을 건식 에칭함으로써 형성된다. 제 2 층을 건식 에칭하기 위한 에칭 가스는 할로겐화 수소(예를 들어, HBr)와 산소를, 할로겐화 수소와 산소 전체에 대한 산소의 비율이 약 10 내지 35%로 되게 설정한 혼합 가스이다. 상기 에칭 가스로는 클로로포름과 같은 탄화수소를 함유하는 가스도 공지되어 있다. 상기 마쯔모또 등의 특허에서는기판 상의 절연층으로서 산화 규소층을, 제 1 및 제 2 금속층으로서 플래티늄층 또는 팔라듐층을 사용한다. 제 2 금속층과 유전체 박막의 건식 에칭은 약 5 Pa 이하의 저압 범위에서 고속으로 수행된다. 마쯔모또 등의 특허에는 할로겐화 수소 및 산소의 혼합 가스가 에칭 가스로서 사용되는 경우에, 산화 규소층에서의 에칭속도가 플래티늄층 또는 팔라듐층으로 제조된 제 2 금속층에서의 에칭속도에 비해 충분히 낮으며, 이러한 방법에 의해 제 1 금속층 하부의 산화 규소층에 대한 과에칭이 방지되고 산화 규소층 하부에 있는 회로 소자 및 배선에 대한 손상도 방지된다고 설명되어 있다. 또한, 마쯔모또 등의 특허에 따라서, 레지스트에 대한 플래티늄과 유전체 재료의 에칭속도의 비율은 레지스트 상의 에칭속도를 낮춤으로써 증가될 수 있다. 그러므로, 플래티늄과 유전체 재료의 에칭은 종래의 두께를 갖는 레지스트(약 3 ㎛ 이상)를 사용하는 대신에, 정상적인 레이 두께(lay-thickness)(일반적으로 말해, 약 1.2 내지 약 2.0㎛ 두께)를 갖는 레지스트로 제조된 마스크를 사용하여 수행될 수 있다.
쵸우 등의 "초단파 산소 플라즈마에서의 플래티늄 금속 에칭" (응용물리학 저어널, 68(5)호, 1990년 9월 1일, 2425~2423 페이지)이란 논문에는 플라즈마와 화학적 시스템에서의 금속 에칭을 이해하기 위한 연구가 게재되어 있다. 상기 논문에는 플래티늄 포일에 대한 에칭이 유동형 초단파 시스템에서 수행되었는데, 낮은 입력 전력(200watts)에서 매우 급속한 에칭( ~6Å/s)이 발생되었다고 설명되어 있다. 산소 원자 농도, 이온 농도, 및 전자 온도를 포함하는 기본적인 플라즈마 변수들이 초단파 커플러 하부의 거리에 대한 함수로서 쵸우 등에 의해 측정되었다.이들은 커플러로부터의 거리의 증가에 따라 감소되는 포일 에칭속도와 상관관계가 있다. 이들 상관관계를 기초로하여, 쵸우 등은 간단한 기계적 모델을 정형화했다. 쵸우 등의 연구에 의해 산소 플라즈마 제트에서의 플래티늄 에칭은 산소 원자와 고에너지를 갖는 원자와의 부수적인 작용에 의한 것도 알아냈다.
니시까와 등의 "RF 마그네트론 및 전자 싸이클론 공명 플라즈마에서의 플래티늄 에칭 및 플라즈마 특성"(일본 응용 물리학 저어널, 34호(1995년), 767 ~ 770 페이지)이란 논문에는 플라즈마 변수(중성자 농도, 플라즈마 밀도 등등)의 측정과 함께, RF 마그네트론 및 전자 싸이클론 공명(ECR) 플라즈마를 사용하여 조사한 플라즈마 에칭의 특성에 대한 연구가 게재되어 있다. 니시까와 등은 0.4 내지 50 mTorr 범위의 압력 전반에 걸쳐서 Cl2플라즈마에서 실험했다. RF 마그네트론 플라즈마에서, Pt의 에칭속도는 20 내지 160 ℃의 기판온도에서 일정했다. 에칭속도와 플라즈마 전자 밀도는 50 에서 5 mTorr로 가스 압력이 감소함에 따라 증가했다. 300watts의 RF 전력에 대한 ECR 플라즈마에서, 니시까와 등은 5 에서 0.4 mTorr로 가스 압력이 감소될 때 Pt의 에칭속도가 거의 일정( ~100nm/분)했으나, 가스 압력이 감소할 때 플라즈마 전자밀도는 점차적으로 증가됨을 알아냈다. 니사까와 등의 연구에 의해 에칭 수율과 기판 상으로 입사하는 이온 플럭스와 중성 Cl2플럭스의 비율 사이의 관계성에 대한 실험결과를 검토했다.
요꼬야마 등의 고밀도 ECR 플라즈마에 의한 PZT/Pt/TiN 구조물의 고온 에칭"(일본 응용 물리학 저어널, 34호(1995년), 767 ~ 770 페이지)이란 논문에는유리(SOG) 마스크상에 스핀을 갖는 PZT/Pt/TiN/Ti 구조물에 대한 미세 패턴화 기술에 대해 고밀도 전자 싸이클론 공명(ECR) 플라즈마와 300℃ 이상의 고기판 온도를 사용하여 입증하고자 하는 연구에 대해 게재되어 있다. 30%-Cl2/Aㅣ가스가 납 지르콘산염 티탄산염(PZT) 박막을 에칭하는데 사용되었다. 80°이상의 에칭 프로파일로 인한 증착물은 남아있지 않았다. 40%-O2/Cl2가스가 Pt 박막을 에칭하는데 사용되었다. 에칭은 Ti 층에서 완전히 멈추었다. 30 nm 두께의 증착물이 측벽상에 남아 있었으며, 이들은 염화 수소산내에서 침지된 후에 요꼬야마 등의 논문에 의한 방법으로 제거되었다. 플래티늄 박막의 에칭된 프로파일은 80°이상이었다. Ti/TiN/Ti 층은 순수 Cl2가스로 에칭되었다. SOG 마스크로부터의 크기 변화는 0.1㎛ 이하였다. 요꼬야마 등은 투사전자 현미경 및 에너지 분산 X선 분광기 분석에 의해 SOG와 PZT 사이의 어떤 상호확산을 검출해 내지 못했다.
유(Yoo) 등의 "Ar/Cl2/O2플라즈마에서의 Pt 에칭중에 에칭 곡선의 제어"(일본 응용물리학 저어널, 35호(1996년), 2501 내지 2504 페이지)라는 논문에서 기계적으로 향상된 반응성 이온 에칭기(MERIE)를 사용하여 20℃에서 0.25㎛의 설계 규정에 따라 플래티늄 패턴을 에칭하는 것에 대해 설명되어 있다. 유 등은 MERIE에 의한 에칭에 있어서의 주요 문제점은 에칭 생성물이 패턴화 측벽에 재증착되어서 패턴 크기를 감소시키는 것이 어렵다는 점을 발견했다. 포토레지스트 마스크와 산화물 마스크를 별도로 사용하는 두 경우에 있어서, 에칭 생성물이 측벽에 재증착되는 것은 에칭 곡선이 45°로 낮게 되더라도 Cl2을 Ar에 첨가함으로써 감소시킬 수 있다. 재증착물들은 HCl 세정공정에 의해 제거되었다.
고떼끼의 "DRAM 콘덴서용 고-K 유전체 재료"(세미콘덕터 인터내셔널, 1996년 11월 109 내지 116페이지)라는 논문에서, 다이나믹 랜덤 억세스 메모리(DRAM)의 저장 콘덴서에 고 유전체 상수를 결합시키는 잠재적인 장점이 설명되어 있으며 고 유전체 층을 기가바이트에 적합한 간단한 적층형 콘덴서 구조물에 사용했을 때의 필수요건이 검토되었다. 고떼끼는 고 유전체 재료를 적층형 콘덴서 구조물에 사용할 때 다음과 같은 점들, 즉 전극 패턴화, 고-유전체 재료/배리어의 상호작용, 전극/고-유전체 재료의 상호작용, 표면 거칠기[예를들어, 하이로킹(hilocking) 등등], 표면 도포율, 고-유전체 재료의 균일도(예를들어, 두께, 조성, 입자 크기/방위, 등등), 및 배리어(예를들어, O2및 Si의 확산, 전도율, 접촉 저항 및 상호작용 등등)을 고려해야할 필요성이 있음을 지적했다. 귀금속(즉, Pt, Ir, Pd)과 도전성 금속 산화물(즉, IrO2및 RuO2)을 포함하는 퍼로브스카이트 유전체(perovskite dielectrics)에 사용하기 위한 다수의 재료 및 이들의 조합물들이 연구되었다. 이들 재료의 일 함수, 건식 에칭에 의한 패턴화 능력, 표면 거칠기에 대한 표면 안정성, 및 반도체 제조자에게의 적합성이 고떼끼에 의해 다음 표 1로 요약되었다.
퍼로브스카이트 유전체에 사용하기 적합한 다수의 재료에 대한 특성 비교
재료 선택 일 함수 건식 에칭 표면 안정성 증착 방법
Pt 5.6~5.7 어려움 잠재적 문제점 스퍼터링
Ru 4.7 용이/위험 잠재적 문제점 스퍼터링
RuO2/Ru 용이/위험 양호 반응성 스퍼터링
Ir 5.0~5.8 어려움 양호 스퍼터링
IrO2/Ir 어려움 양호 반응성 스퍼터링
Pd 5.1~5.6 어려움 ? 스퍼터링
고떼끼는 "DRAM 콘덴서용 고-K 유전체 재료"라는 논문에서 콘덴서를 사용하는 DRAM 칩의 제조에 있어서 극복되어야 할 주요 문제점 중에 하나가 전극 패턴화에 대한 문제점이라고 설명하고 있다. Pt, Ru, Pd, 및 Ir과 같은 귀금속의 건식 에칭 중에는 최소한의 휘발성 종들이 생성된다. 에칭 메카니즘이 주로 물리적 스퍼터링이었으므로, 펜스(fences)가 통상적으로 포토레지스트의 측면에 형성되었으며, 심지어 RIE 공정중에도 펜스가 형성되었다. 상기 펜스의 문제점을 제거하기 위해, "세정" 금속 구조물, 측벽 각도의 기울기 및 임계 회로선폭에 대한 제어손실을 초래하는, 에칭 중의 포토레지스트에 대한 측벽의 부식 및 펜스층의 에칭이 가능하다. 회로선폭의 치수가 0.18 ㎛ 이하로 감소함에 따라, 측벽 각도에 대한 제한적인 템퍼링만이 허용되고 있다. 고떼끼는 DRAM 콘덴서에의 사용이 고려된 몇몇 고 유전체 재료, 박막을 형성하는데 사용될 수 있는 다수의 방법, 및 보고된 유전율 범위를 다음 표 2에 제시하고 있다.
다수의 고-유전체 재료와 제조 방법의 비교 및 유전체 상수
재료 증착 방법 εT(박막)
SrTiO3 MOCVD, ECR-CVD, 졸-겔, 스퍼터링, PLD 90 ~ 240
(Ba, Sr)TiO3 MOCVD, ECR-CVD, 졸-겔, 스퍼터링, PLD 160 ~ 600
PLT MOCVD, 졸-겔, 스퍼터링, PLD 400 ~900
PZT 및 PLZT MOCVD, 졸-겔, 스퍼터링, PLD > 1000
밀코베 등은 미국 펜실베니아주 필라델피아에서 1996년 10월에 열린 43차 심포지움에서 "무-펜스 패턴화 플래티늄 구조물의 반응성 이온 에칭에 대한 새로운 조사"라는 논제하에, 무-펜스 패턴화 구조물의 반응성 이온에칭(RIE) 중에 Pt 에칭공정을 시간의 경과에 따라 특징하기 위한 조사가 수행되었음을 보고했다. 밀코베 등에 의한 실험은 동일한 2500Å 두께의 Pt 필름층을 갖는 두 개의 산화 실리콘 웨이퍼를 상이한 두께의 포토레지스트 마스크로 동시에 처리하는 공정으로 이루어졌다. 에칭은 주사식 전자 현미경(SEM)에 의한 분석을 위해 웨이퍼를 작은 조각들로 분할시키기 위해 전체 공정의 20, 40, 60 및 80%에서 중지되었다. 2500Å 두께의 박막층에 대한 무-펜스 에칭을 수행하기 위해 공지된 Cl2-기초 RIE 조건을 사용하여, 밀코베 등은 에칭 공정 중의 처음의 20% 공정중에 여러 펜스들이 PR 마스크를 피복시켰음을 발견했다. 에칭이 계속되면서, 펜스 구조물들은 공정의 끝점 이전에 완전히 사라질 때까지 점진적인 리세스에 의해 최대 높이와 폭을 얻을 수 있었다. 밀코베 등의 자료는 에칭된 Pt 구조물의 최종 프로파일이 PR 마스크의 최초 두께 및 기울기뿐만 아니라 Pt 층의 최초 두께에 의존하는 함수를 갖고 있음을 보여준다. 밀코베 등은 일시적인 펜스의 행위를 관찰함으로써 할로겐계 플라즈마에서의 Pt 박막의 RIE와 관련된 화학 보조식 물리적 스퍼터링 성분의 존재를 지지하기 위한 데이타에 대한 강력한 증거를 제공하는 "무-펜스 패턴화 플래티늄 구조물의 반응성 이온 에칭에 있어서의 새로운 조사"라는 논문도 보고했다.
케일 등은 "PZT계 강유전체 장치용 플래티늄 전극의 에칭"(전기화학 협회보96-12호(1996년), 515 내지 520페이지)이라는 논문에서, 플래티늄 에칭을 사용하여 콘덴서를 제조하는데에 있어서의 기술적 어려움이 주로 스퍼터링 공정에 있음을 설명하고 있다. 산소 및/또는 다수의 가스 염화물 또는 불화물이 에칭 공정을 화학적으로 개선하기 위해 사용되고 있지만, 양 에칭 메카니즘의 생성물들은 보통 낮은 휘발성을 갖고 있으며 웨이퍼 상에 재증착되는 경향이 있다. 이러한 벽(wall) 형태의 구조물은 "베일(veils)" 또는 "펜스"나 "래빗 이어(rabbit ear)"로 종종 지칭되며 이들의 길이는 이들이 부착되는 플래티늄 박막 두께의 2 배 이상의 길이에 달한다. 상기 구조물의 존재는 PZT 층의 유용한 증착을 불가능하게 한다. 케일 등은 단지 작은 "노브(nub)"형 구조물들이 존재하는 지점에 대한 재증착을 감소시킬 수 있는 때에도 노브에서 형성될 고 전기장이 유전체 파손의 가능성을 개선한다고 하는 점도 설명하고 있다. 낮은 재증착 또는 재증착이 전혀 없는 공정 조건이 발견되더라도, 수용될 수 없게 경사진 플래티늄 프로파일 각도를 매우 자주 초래한다. 케일 등은 공정 조건이 수직 측벽을 더욱 야기하는 쪽으로 기울어짐에 따라 재증착 정도가 더욱 심해진다는 것을 발견했다. 용해욕 내에서의 후에칭 습식세정이 매우 빈번히 사용되지만, 수직 측벽의 형성에 기여하는 두터운 재증착은 이러한 접근 방식의 효과를 최소화시킨다.
전술한 종래기술은 일반적으로, 수직한 조밀 영역 프로파일과 에칭 프로파일에 대한 CD(임계 치수)의 제어가 플래티늄 전극을 갖는 1-기가비트(이상) DRAM 강유전체 장치의 성공적인 플라즈마 에칭에 중요한 요소라는 것을 입증하고 있다. 재증착 및 프로파일 제어는 매우 밀접한 관계가 있음이 판명되었다. 프로파일 각도와 재증착의 최적화는 이들 두 요소간의 절충을 필요로 한다. 강력한 후에칭 세정(예를들어, 산으로의 습식 세정, 기계적 연마 등등)에 의해 증착 무플라즈마 에칭을 달성하기 위한 몇몇 필요성을 경감시키는 경우에, 그러한 후 증착에칭 세정은 현재 공지된 후 에칭 세정방법에 의해 알루미늄 전극 자체가 통상적으로 부식 및/또는 열화될 때와 마찬가지로 바람직한 정밀도를 갖지 못한다.
본 발명은 1998년 1월 13일자로 "이방성 플래티늄 프로파일의 에칭방법"이란 명칭으로 출원되어 계류 중인 미국 특허 출원번호 09/006,092호의 일부 연속출원이다.
본 발명은 플래티늄 및 이리듐을 플라즈마 에칭시키는 것에 관한 것이다. 더 상세하게, 본 발명은 플래티늄 및 이리듐 전극을 포함하는 반도체 집적회로를 제조하기 위해 플래티늄 및 이리듐을 플라즈마 에칭하기 위한 방법에 관한 것이다.
도 1은 반도체 기판과, 그 위에 배치된 배리어층과, 그 위에 배치된 전극층(즉, 플래티늄층 또는 이리듐 전극층)과, 그 위에 배치된 마스크층 및 그 위에 배치된 패턴화된 레지스트를 가진 반도체 웨이퍼의 측방 입면도,
도 2는 마스크층과 상기 전극층 사이에서 전극층(즉, 플래티늄층 또는 이리듐 전극층) 상에 배치된 보호층을 추가적으로 포함한 도 1의 반도체 웨이퍼의 측방 입면도,
도 3은 플라즈마 강화를 위해 전자기 유니트를 가진 플라즈마 에칭 반응기를 포함하는 종래 플라즈마 프로세싱 장치의 수직 단면도,
도 4는 자기장에 의해 생성되는 플럭스가 중심 축선 주위를 회전하는 것을보인 다이아그램,
도 5는 전극층이 노출되도록 전극층(즉, 플래티늄층 또는 이리듐 전극층)의 표면으로부터 마스크층의 일부를 제거하는 에칭 이후의 도 1의 반도체 웨이퍼의 측방 입면도,
도 6은 보호층이 노출되도록 보호층의 표면으로부터 마스크층의 일부를 제거하는 에칭 이후의 도 2의 반도체 웨이퍼의 측방 입면도,
도 7은 도 5의 반도체 웨이퍼가 패턴화된 레지스트층이 마스크층의 일부로부터 제거된 이후에, 제거된 패턴화된 레지스트층을 절단선으로 표시한 측방 입면도,
도 8은 도 6의 반도체 웨이퍼가 에칭이후에 층(즉, 플래티늄 전극층 또는 이리듐 전극층)의 표면의 보호층의 일부가 떨어져 나가고, 패턴화된 레지스트층이 마스크층의 일부로부터 제거된 이후에 제거된 패턴화된 레지스트층을 절단선으로 표시한 측방 입면도,
도 9는 도 7의 반도체 웨이퍼가 전극층(즉, 플래티늄 전극층 또는 이리듐 전극층)이 에칭된 이후에 생성된 에칭 전극층을 보인 측방 입면도,
도 10은 도 8의 반도체 웨이퍼가 전극층(즉, 플래티늄 전극층 또는 이리듐 전극층)이 에칭된 이후에 생성된 에칭 전극층을 보인 측방 입면도,
도 11은 도 7의 반도체 웨이퍼가 전극층(즉, 플래티늄 전극층 또는 이리듐 전극층)이 에칭된 이후에 생성된 에칭 전극층이 상부에서 잔류 마스크층을 가진 것을 보인 측방 입면도,
도 12는 도 8의 반도체 웨이퍼가 전극층(즉, 플래티늄 전극층 또는 이리듐전극층)이 에칭된 이후에 생성된 에칭 전극층이 잔류 보호층의 상부에서 잔류 마스크층을 가진 것을 보인 측방 입면도,
도 13은 도 11의 반도체 웨이퍼가 에칭 전극층의 표면으로부터 잔류 마스크층이 제거된 것을 보인 측방 입면도,
도 14는 도 12의 반도체 웨이퍼가 에칭된 전극층(즉, 에칭된 플래티늄 전극층 또는 이리듐 에칭된 전극층)의 표면으로부터 잔류 마스크층과 잔류 보호층이 제거된 것을 보인 측방 입면도,
도 15는 도 11의 반도체 웨이퍼의 입방 측면도로서, 잔류 마스크층이 에칭된 전극층(즉, 에칭된 플래티늄 전극층 또는 에칭된 이리듐 전극층)의 표면으로부터 제거되고 배리어층이 에칭된 이후의 것을 보인 것,
도 16은 도 12의 반도체 웨이퍼의 입방 측면도로서, 잔류 마스크층과 잔류 보호층이 에칭된 전극층(즉, 에칭된 플래티늄 전극층 또는 에칭된 이리듐 전극층)의 표면으로부터 제거되고 배리어층이 에칭된 이후의 것을 보인 것,
도 17은 유도 결합 RF 플라즈마 반응기로서, 반도체 소자를 생산하도록 전극층(즉, 플래티늄 전극층 또는 이리듐 전극층)의 에칭을 사용하는 RF 플라즈마 반응기의 개략적인 절단도,
도 18은 유도 결합 RF 플라즈마 반응기로서, 반도체 소자를 생산하도록 전극층(즉, 플래티늄 전극층 또는 이리듐 전극층)의 에칭을 사용하는 다른 RF 플라즈마 반응기의 개략적인 절단도,
도 19는 실시예 Ⅰ에 리스트된 공정 조건에 따라 플래티늄 전극층이 에칭된이후에 실시예 Ⅰ에 대한 시험 반도체 웨이퍼의 입면을 보인 사진,
도 20은 도 19의 시험 반도체 웨이퍼의 산화 마스크가 제거된 이후의 입면을 보인 사진,
도 21은 입면을 보인 사진인 도 19이 도면 부호에 의해 확인되는 각 부분을 표시한 도면,
도 22는 입면을 보인 사진인 도 20이 도면 부호에 의해 확인되는 각 부분을 표시한 도면,
도 23은 실시예 Ⅱ에 리스트된 공정 조건에 따라 플래티늄 전극층이 에칭된 이후에 실시예 Ⅱ에 대한 시험 반도체 웨이퍼의 입면을 보인 사진,
도 24는 입면을 보인 사진인 도 23이 도면 부호에 의해 확인되는 각 부분을 표시한 도면,
도 25는 실시예 Ⅲ에 리스트된 공정 조건에 따라 이리듐 전극층이 에칭된 이후에 실시예 Ⅲ에 대한 시험 반도체 웨이퍼의 입면을 보인 사진,
도 26은 입면을 보인 사진인 도 25이 도면 부호에 의해 확인되는 각 부분을 표시한 도면,
도 27은 실시예 Ⅳ에 리스트된 공정 조건에 따라 이리듐 전극층이 에칭된 이후에 실시예 Ⅳ에 대한 시험 반도체 웨이퍼의 입면을 보인 사진이고,
도 28은 입면을 보인 사진인 도 27이 도면 부호에 의해 확인되는 각 부분을 표시한 도면이다.
그러므로, 종래기술에 있어서 필요로하고 또한 본 발명에서 발명하고자 하는 것은 고도한(즉, ≥85°) 프로파일 이방성의 플래티늄 전극 또는 이리듐 전극을 갖춘 고밀도 집적회로 반도체 소자를 제조하기 위한 플래티늄층 및 이리듐층을 에칭하는 방법이다. 더욱 필요로 하는 것은 약 0.3 ㎛ 이하의 임계 치수(예를들어, 폭)를 갖는 각각의 전극에 대해 약 0.3㎛ 이하의 거리만큼 이격되어 있고 약 85°이상의 플래티늄 또는 이리듐 프로파일을 각각 갖는 복수의 플래티늄 또는 이리듐 전극을 포함하고 있는 반도체 소자이다.
본 발명은, 넓게는, 다음과 같은 단계, 즉
a) 플래티늄층을 지지하는 기판을 제공하는 단계와,
b) 상기 a) 단계의 기판을 (기판을 지지하는 받침대에 의해)약 150°이상의 온도로 가열하는 단계, 및
c) 적어도 하나의 에칭된 플래티늄층을 지지하는 기판을 생성하도록 할로겐 함유가스(예를들어, 염화물과 같은 할로겐)와 불활성 가스(예를들어, 아르곤)를 포함하는 에칭 가스의 고밀도 플라즈마를 사용하여 상기 플래티늄층을 에칭하는 단계들을 포함하는, 기판 상에 배열된 플래티늄층을 에칭하는 방법을 제공한다.
본 발명의 다른 실시예에서, 본 발명은
a) 이리듐층을 지지하는 기판을 제공하는 단계와,
b) 상기 a) 단계의 기판을 약 150°이상의 온도로 가열하는 단계, 및
c) 적어도 하나의 에칭된 이리듐층을 지지하는 기판을 생성하도록 할로겐 함유가스와 불활성 가스를 포함하는 에칭 가스의 고밀도 플라즈마를 사용하여 상기 이리듐층을 에칭하는 단계들을 폭넓게 제공한다. 상기 에칭 가스는 O2와 BCl3로 이루어진 그룹으로부터 선택된 가스를 더 포함한다. 이와는 달리, 상기 에칭 가스는 O2, HCl HBr, 및 이들의 혼합물로 이루어진 그룹으로부터 선택된 가스를 더 포함한다. 상기 a) 단계의 기판은 기판이 약 150°이상의 온도를 갖기에 충분한 온도로 기판을 지지하는 받침대를 가열함으로써 가열될 수 있다.
전술한 방법에 있어서, 플래티늄층과 이리듐층은 바람직하게 각각, 플래티늄 전극층과 이리듐 전극층이다. 반응 가스의 고밀도 플라즈마는 약 109/㎤, 바람직하게 약 1011/㎤ 이상의 이온 밀도를 갖는 에칭 가스의 플라즈마이다. 상기 에칭 가스도 BCl3, HBr, 및 이들의 혼합물로 이루어진 그룹으로부터 선택된 가스도 포함한다. 플래티늄층과 이리듐층은 각각, 에칭 단계 중에 특정 개별 층을 선택적으로 보호하기 위해 특정 개별 층의 선택된 부분 상에 배열된 마스크층도 포함한다. 이리듐을 에칭하기 위한 본 발명의 실시예에서, 상기 마스크층이 Ti 및/또는 TiN을포함하는 경질 마스크층이라면, 고 산소 농도의 Ar/Cl2/O2화학물질을 갖는 에칭가스는 이리듐의 에칭 중에 약 8 이상(바람직하게 약 10 이상)의 Ti 및/또는 TiN 에 대한 이리듐의 감도 (selectivity)를 낳는다. 플래티늄층과 이리듐층도 각각 마스크층과 특정 개별층의 선택된 일부분에 배열된 보호층을 더 포함한다. 마스크층은 에칭 단계 중에 또는 후에 제거될 수 있다. 유사하게, 상기 보호층은 에칭 단계 중에, 또는 후에 제거될 수 있다.
플래티늄층은 플래티늄 웨이퍼의 일부분이거나 플래티늄 웨이퍼 내에 포함될 수 있으며, 플래티늄층을 에칭하는 방법은 코일 인덕터 및 웨이퍼 받침대를 갖춘 고밀도 플라즈마 챔버 내에 상기 플래티늄층을 포함하는 플래티늄 웨이퍼를 배열하는 단계, 및 다음 공정 조건하의 고밀도 플라즈마 챔버 내에서 상기 에칭단계(c)를 수행하는 단계를 더 포함한다.
공정 변수
에칭 가스 유동 50 내지 500sccm
할로겐 가스(예를들어, Cl2) 20 내지 95 부피 %
불활성 가스(예를들어, Ar) 5 내지 80 부피 %
압력, mTorr 0.1 내지 300 milliTorr
코일 인덕터의 RF 전력(watts) 100 내지 5000 watts
웨이퍼 받침대의 RF 전력(watts) 50 내지 3000 watts
플래티늄 웨이퍼의 온도(℃) 150 내지 500℃
플래티늄 에칭속도(Å/분) 200 내지 6000Å/분
코일 인덕터의 RF 주파수 100 K 내지 300 ㎒
웨이퍼 받침대의 RF 주파수 100 K 내지 300 ㎒
에칭된 플래티늄층은 약 85°이상, 바람직하게 87°이상, 가장 바람직하게 88.5°이상의 플래티늄 프로파일을 포함한다. 이와는 달리, 방금 위의 공정조건을 위한 에칭 가스는 약 10 내지 약 90 부피 %의 할로겐(예를들어, Cl2), 약 5 내지 약 80 부피 %의 불활성 가스(예를들어, 아르곤), 및 약 4 내지 약 25 부피 %의 HBr 및/또는 BCl3을 포함할 수도 있다.
이리듐층은 이리듐 웨이퍼의 일부분이거나 이리듐 웨이퍼 내에 포함될 수 있으며, 이리듐층을 에칭하는 방법은 코일 인덕터 및 웨이퍼 받침대를 갖춘 고밀도 플라즈마 챔버 내에 상기 이리듐층을 포함하는 이리듐 웨이퍼를 배열하는 단계, 및 다음 공정 조건하의 고밀도 플라즈마 챔버 내에서 상기 에칭단계(c)를 수행하는 단계를 더 포함한다.
공정 변수
에칭 가스 유동 50 내지 500sccm
할로겐 가스(예를들어, Cl2) 10 내지 60 부피%
불활성 가스(예를들어, Ar) 30 내지 약 80 부피%
산소 5 내지 40 부피%
압력, mTorr 0.1 내지 300 milliTorr
코일 인덕터의 RF 전력(watts) 100 내지 5000 watts
웨이퍼 받침대의 RF 전력(watts 50 내지 3000 watts
이리듐 에칭속도(Å/분) 200 내지 6000Å/분
코일 인덕터의 RF 주파수 100 K 내지 300 ㎒
웨이퍼 받침대의 RF 주파수 100 K 내지 300 ㎒
에칭된 이리듐층은 약 80°이상, 바람직하게 82°이상, 가장 바람직하게 85.0°이상의 이리듐 프로파일을 포함한다. 이와는 달리, 방금 위의 공정조건을 위한 에칭 가스는 약 5 내지 약 20 부피 %의 산소, 약 10 내지 약 60 부피 %의 할로겐(예를들어, Cl2), 약 30 내지 약 80 부피 %의 불활성 가스(예를들어, 아르곤), 및 약 5 내지 약 20 부피 %의 HBr 및/또는 HCl3을 포함할 수도 있다.
또한 본 발명은 전극(예: 플래티늄 전극 또는 이리듐 전극)을 포함하는 콘덴서 구조물을 생성하는 방법을 폭넓게 제공하는데, 이 방법은:
a) 하나의 층(예: 플래티늄 전극층 또는 이리듐 전극층), 및 상기 층의 소정 부분 위에 배열된 적어도 하나의 마스크층을 지지하는 기판을 제공하는 단계;
b) 단계(a)의 기판을 약 150℃ 이상의 온도까지 가열하는 단계;
c) 적어도 하나의 전극(예: 플래티늄 전극 또는 이리듐 전극)을 갖는 콘덴서 구조물을 생성하도록, 할로겐(예: 염소) 및 불활성 가스(예: 아르곤)를 포함하는 에칭 가스의 플라즈마를 이용하는 것을 포함하여 상기 층을 에칭하는 단계를 포함하여 이루어진다.
바로 위의 에칭 단계(c) 도중에 또는 이후에 적어도 하나의 마스크층이 제거된다. 바로 위의 단계(a)의 상기 층은 마스크층과 상기 층 사이에 상기 층의 소정 부분에 놓여지는 보호층을 더 포함할 수 있다. 바로 위의 에칭 단계(c)에 의해 생성된 에칭된 층(즉, 에칭된 플래티늄층 또는 에칭된 이리듐층)은 약 80°와 또는 그 보다 큰(특히 이리듐에 있어서), 바람직하게는 약 85°와 같거나 그 보다 큰, 보다 바람직하게는 약 87°와 같거나 그 보다 큰, 가장 바람직하게는 약 88.5°와 같거나 그 보다 큰(특히 플래티늄에 있어서) 프로파일(즉, 플래티늄 프로파일 또는 이리듐 프로파일)을 포함한다.
플래티늄을 에칭시키기 위한 본 발명의 일실시예에서, 단계(c)의 플라즈마의 에칭 가스가 보다 상세하게는 할로겐(예: 염소), 불활성 가스(예: 아르곤), 및 HBr, BCl3및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스를 포함한다. 플래티늄 전극은 플래티늄 전극 웨이퍼의 일부이거나 이에 포함되며, 플래티늄 전극층을 포함하는 콘덴서 구조물을 생성하는 방법은, 상기 에칭 단계(c)에 앞서서, 플래티늄 전극 웨이퍼를 코일 인덕터 및 웨이퍼 받침대를 갖는 고밀도 플라즈마 챔버내에 배치하는 단계; 및 상기 에칭 단계(c)를 전술된 하기의 공정 조건으로 고밀도 플라즈마 챔버내에서 수행하는 단계를 더 포함한다.
공정 변수
에칭 가스 유동 50 내지 500 sccm
할로겐 가스(예: Cl2) 약 10부피 % 내지 약 90부피 %
불활성가스(예: Ar) 약 5부피 % 내지 약 80부피 %
HBr 및/또는 BCl3약 4부피 % 내지 약 25부피 %
압력, mTorr 0.1 내지 300 milliTorr
코일 인덕터의 RF 전력(watts) 100 내지 5000 watts
웨이퍼 받침대의 RF 전력(watts) 50 내지 3000 watts
플래티늄 전극 웨이퍼의 온도(℃) 약 150℃ 내지 약 500℃
플래티늄 에칭속도(Å/min) 200 내지 6000 Å/min
코일 인덕터의 RF 주파수 100K 내지 300MHz
웨이퍼 받침대의 RF 주파수 100K 내지 300MHz
생성된 플래티늄 전극은 약 0.3㎛ 이하 크기의 간격 또는 공간만큼 분리된다. 플래티늄 전극 각각은 약 0.6㎛ 이하, 바람직하게는 약 0.3㎛ 이하의 크기를 포함한다. 보다 바람직하게는, 각 플래티늄 전극이 약 0.3㎛와 같거나 작은 폭을 가지며, 약 0.6㎛와 같거나 작은 길이를 가지며, 약 0.6㎛와 같거나 작은 높이를 갖는다. 플래티늄을 에칭하기 위한 에칭 가스의 플라즈마는 고밀도 유도결합 플라즈마를 포함한다. 에칭 가스가 바람직하게는, 헬륨, 네온, 아르곤, 크립톤, 크세논, 라돈, 및 이들의 혼합물로 이루어진 그룹으로부터 선택된 불활성 가스를 포함한다. 가장 바람직하게, 상기 불활성 가스는 아르곤이다. 전술한 바와 같이, 플래티늄을 에칭하기 위한 고밀도 유도결합 플라즈마의 에칭 가스가 염소, 아르곤, 및 BCl3, 및/또는 HBr을 포함하여 이루어지는 것이 가장 바람직하고, 바람직하게는이들로서 이루어지거나 이들을 필수성분으로 포함한다.
이리듐을 에칭하기 위하여 본 발명의 바람직한 실시예에서, 단계(c)의 플라즈마의 에칭 가스가 보다 상세하게는 산소, 할로겐(예: 염소), 불활성 가스(예: 아르곤), 및 HBr, HCl, 및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스를 포함한다. 이리듐 전극층은 이리듐 전극 웨이퍼의 일부이거나 이에 포함되며, 이리듐 전극을 포함하는 콘덴서 구조물을 생성하는 방법은, 상기 에칭 단계(c) 이전에, 이리듐 전극 웨이퍼를 코일 인덕터 및 웨이퍼 받침대를 갖는 고밀도 플라즈마 챔버 내에 배치하는 단계; 및 하기의 전술된 공정 조건으로 고밀도 플라즈마 챔버 내에서 수행하는 단계를 더 포함하여 이루어진다.
공정 변수
에칭 가스 유동 50 내지 500 sccm
산소 약 5 부피% 내지 약 20 부피%
할로겐 가스(예: Cl2) 약 10부피 % 내지 약 60 부피%
불활성 가스(예: Ar) 약 30 부피% 내지 약 80 부피%
HBr 및/또는 BCl 약 5 부피% 내지 약 20 부피%
압력, mTorr 0.1 내지 300 milliTorr
코일 인덕터의 RF 전력(watts) 100 내지 5000 watts
웨이퍼 받침대의 RF 전력(watts) 50 내지 3000 watts
이리듐 전극 웨이퍼의 온도(℃) 약 150℃ 내지 약 500℃
이리듐 에칭속도(Å/min) 200 내지 6000 Å/min
코일 인덕터의 RF 주파수 100K 내지 300MHz
웨이퍼 받침대의 RF 주파수 100K 내지 300MHz
이리듐을 에칭하기 위한 에칭 가스의 플라즈마가 고밀도 유도결합 플라즈마를 포함하여 이루어진다. 상기 에칭 가스가 바람직하게는 헬륨, 네온, 아르곤, 크립톤, 크세논, 라돈, 및 이들의 혼합물로 구성된 그룹으로부터 선택된 불활성 가스를 포함하여 이루어진다. 보다 바람직하게는, 상기 불활성 가스가 헬륨, 네온, 아르곤, 및 이들의 혼합물로 구성된 그룹으로부터 선택된다. 가장 바람직하게는, 불활성 가스가 아르곤이다. 전술한 바와 같이, 이리듐을 에칭하기 위한 고밀도 유도결합 플라즈마의 에칭 가스가 염소, 아르곤, 및 산소 또는 BCl3; 대안적으로는, 산소, 염소, 아르곤, 및 HCl 및/또는 HBr을 포함하는 것이 가장 바람직하고, 또는 이들로서 이루어지거나 이들을 필수성분으로 포함하는 것이 바람직하다.
본 발명은 반도체 소자를 제조하는 방법을 폭넓게 제공하는데, 이 방법은:
a) 상부에 회로 요소들이 형성된 기판 위에 패턴화된 레지스트층, 마스크층 및 전극층(예: 플래티늄 전극층 또는 이리듐 전극층)을 형성하는 단계;
b) 상기 마스크층의 일부를 에칭하는 방법으로서, 패턴화된 레지스트층, 잔류 마스크층, 및 전극층을 지지하는 기판을 생성하도록, 에칭 가스의 플라즈마를 이용하는 것을 포함하여, 상기 전극층으로부터 마스크층의 상기 부분을 침식 및 제거하는 단계;
c) 상기 잔류 마스크층 및 상기 전극층을 지지하는 상기 기판을 생성하도록, 단계(b)의 상기 레지스트층을 제거하는 단계;
d) 단계(c)의 상기 기판을 약 150℃ 이상의 온도까지 가열하는 단계;
e) 에칭 가스의 고밀도 플라즈마를 이용하는 것을 포함하여 단계(d)의 상기 전극층을 에칭하는 단계를 포함하여 이루어진다.
플래티늄층을 에칭하기 위한 본 발명의 실시예에서, 적어도 하나의 플래티늄 전극을 갖는 반도체 소자를 생성하도록, 에칭 가스가 할로겐 가스(예: 염소) 및 불활성 가스(예: 아르곤)를 포함하는 것이 바람직하다. 이리듐층을 에칭하기 위한 본 발명의 실시예에서, 적어도 하나의 이리듐 전극을 갖는 반도체 소자를 생성하도록, 상기 에칭 가스가 산소, 할로겐 가스(예: 염소) 및 불활성 가스(예: 아르곤)를 포함한다.
본 발명은 또한 기판 위에 배열된 전극층을 에칭하는 방법을 폭넓게 더 제공하는데, 이 방법은:
a) 전극층(즉, 플래티늄 전극층 또는 이리듐 전극층), 상기 전극층 위의 보호층, 상기 보호층 위의 마스크층, 및 상기 마스크층 위의 패턴화된 레지스트층을 지지하는 기판을 제공하는 단계;
b) 상기 마스크층의 일부를 에칭하는 단계로서, 상기 보호층의 부분을 노출시키고, 상기 전극층, 상기 전극층 위의 상기 보호층, 상기 보호층 위의 잔류 마스크층, 상기 잔류 마스크층 위의 상기 패턴화된 레지스트층을 지지하는 기판을 생성하도록, 에칭 가스를 이용하는 것을 포함하여 상기 보호층으로부터 상기 마스크층의 상기 부분을 침식 및 제거하는 단계;
c) 상기 전극층, 상기 전극층 위의 상기 보호층, 및 상기 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성하도록, 단계(b)의 상기 잔류 마스크층으로부터 상기 패턴화된 레지스트층을 제거하는 단계;
d) 단계(c)의 기판을 약 150℃ 이상의 온도까지 가열하는 단계;
e) 상기 전극층의 부분을 노출시키고, 상기 전극층, 상기 전극층 위의 잔류 보호층, 상기 잔류 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성하도록, 상기 보호층의 상기 노출 부분을 에칭하는 단계; 및
f) 에칭 가스의 고밀도 플라즈마를 이용하는 것을 포함하여 단계(e)의 상기 전극층의 상기 노출부분을 에칭하는 단계를 포함하여 이루어진다. 만일 에칭되는 전극층이 플래티늄을 포함하여 이루어진다면, 상기 에칭 가스는 할로겐 가스(예: 염소) 및 불활성 가스(예: 아르곤)를 포함하여, 에칭된 플래티늄 전극층, 상기 에칭된 플래티늄층 위의 잔류 보호층, 상기 잔류 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성한다. 만일 에칭되는 전극층이 이리듐을 포함하면, 에칭 가스는 산소, 할로겐 가스(예: 염소) 및 불활성 가스(예: 아르곤)를 포함하여, 에칭된 이리듐 전극, 상기 에칭된 이리듐 전극 위의 상기 잔류 보호층, 상기 잔류 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성한다.
상기 기판을 약 150℃ 이상의 온도까지 가열하기 전에 상기 패턴화된 레지스트층이 상기 잔류 마스크층으로부터 제거되는데, 그 이유는 이와 같은 고온은 레지스트층을 파손시킬 수 있기 때문이다. 상기 잔류 마스크층은, 상기 기판을 약 150℃ 이상의 온도까지 가열하기 전이나 후, 및 상기 에칭 단계 도중 또는 이후에 상기 전극층으로부터 제거될 수 있다. 상기 전극층(즉, 플래티늄 전극층 또는 이리듐 전극층)은 웨이퍼(즉, 플래티늄 전극 웨이퍼 또는 이리듐 전극 웨이퍼)의 일부이거나 이러한 웨이퍼에 포함된다. 상기 보호층의 목적은 마스크층과 플래티늄 및 이리듐층 사이의 접합을 보장하고, 또한 특히 본 발명의 에칭 공정 중에, 플래티늄 전극층과 이리듐 전극층의 플래티늄 프로파일과 이리듐 프로파일을 각각 유지하기 위한 것이다. 바람직하게는, 잔류 보호층들은 플래티늄 및 이리듐 에칭 단계 이후에 에칭된 플래티늄 및 이리듐 전극들로부터 각각 제거된다.
위에서 지적한 바와 같이, 본 발명의 플래티늄 전극을 생성하기 위한 플래티늄 전극층의 에칭은 고밀도 플라즈마 챔버에서 수행된다. 플래티늄 에칭 단계는 바람직하게, 할로겐 가스(예를들어, 염화물), 불활성 가스(즉, 아르곤), 및 HBr 및/또는 BCl3를 포함하는, 또는 필수적으로 포함하는 에칭가스 고밀도 플라즈마를 사용한다. 고밀도 플라즈마 챔버는 이온 플럭스와 이온 에너지를 별도로 제어한다. 위에서 지적한 바와 같이, 고밀도 플라즈마 챔버 내부에 있는 고밀도 플라즈마의 이온 밀도는 약 109/㎤ 이상이다.
반도체 소자의 제조방법 및 기판상에 배열된 플래티늄 전극층의 에칭방법을 위한 고밀도 플라즈마 챔버는 코일 인덕터 및 웨이퍼 받침대를 포함하며, 상기 두 방법에 있어서의 플래티늄 에칭 단계는 전술한 다음의 공정 조건하에서 고밀도 플라즈마 챔버에서 수행된다.
공정 변수
에칭 가스 유동 50 내지 500sccm
할로겐 가스(예를들어, Cl2) 약 10 내지 약 90 부피%
불활성 가스(예를들어, Ar) 약 5 내지 약 80 부피%
HBr 및/또는 BCl3약 4 내지 약 25 부피%
압력, mTorr 0.1 내지 300 milliTorr
코일 인덕터의 RF 전력(watts) 100 내지 5000 watts
웨이퍼 받침대의 RF 전력(watts) 50 내지 3000 watts
플래티늄 전극 웨이퍼의 온도(℃) 약 150 내지 약 500℃
플래티늄 에칭속도(Å/분) 200 내지 6000Å/분
코일 인덕터의 RF 주파수 100 K 내지 300 ㎒
웨이퍼 받침대의 RF 주파수 100 K 내지 300 ㎒
더 이전에 지적한 바와 같이, 본 발명의 이리듐 전극을 생성제조하기 위해 이리듐 전극층을 에칭하는 단계는 고밀도 플라즈마 챔버에서 수행된다. 이리듐 에칭 단계는 바람직하게, 할로겐 가스(예를들어, 염화물) 및 불활성 가스(즉, 아르곤), 더 바람직하게 할로겐 가스(예를들어, 염화물), 불활성 가스(즉, 아르곤) 및 산소 또는 BCl3, 또는 바람직하게 산소(O), 할로겐 가스(예를들어, 염화물), 불활성 가스(즉, 아르곤) 및 HCl 및/또는 HBr을 포함하는, 또는 필수적으로 포함하는 에칭 가스의 고밀도 또는 저밀도 플라즈마를 사용한다. 고밀도 플라즈마 챔버는 이온플럭스와 이온 에너지를 별도로 제어한다. 위에서 지적한 바와 같이, 고밀도 플라즈마 챔버 내부에 있는 고밀도 플라즈마의 이온 밀도는 약 109/㎤ 이상이다.
반도체 소자의 제조방법 및 기판상에 배열된 이리듐 전극층의 에칭방법을 위한 고밀도 플라즈마 챔버는 코일 인덕터 및 웨이퍼 받침대를 포함하며, 상기 두 방법에 있어서의 이리듐 에칭 단계는 전술한 다음의 공정 조건하에서 고밀도 플라즈마 챔버에서 수행된다.
공정 변수
에칭 가스 유동 50 내지 500sccm
산소 5 내지 20 부피%
할로겐 가스(예를들어, Cl2) 약 10 내지 약 60 부피%
불활성 가스(예를들어, Ar) 약 30 내지 약 80 부피%
HBr 및/또는 HCl 약 5 내지 약 20 부피%
압력, mTorr 0.1 내지 300 milliTorr
코일 인덕터의 RF 전력(watts) 100 내지 5000 watts
웨이퍼 받침대의 RF 전력(watts) 50 내지 3000 watts
이리듐 전극 웨이퍼의 온도(℃) 약 150 내지 약 500℃
이리듐 에칭속도(Å/분) 200 내지 6000Å/분
코일 인덕터의 RF 주파수 100 K 내지 300 ㎒
웨이퍼 받침대의 RF 주파수 100 K 내지 300 ㎒
본 발명은 또한 기판, 및 상기 기판에 의해 지지되는 적어도 두 개의 전극(즉, 플래티늄 전극 또는 이리듐 전극)을 포함하는 반도체 소자, 특히 콘덴서 구조물을 폭넓게 제공한다. 상기 전극은 약 85°이상, 바람직하게 약 87°이상, 더 바람직하게 88.5°이상의 프로파일을 가진다. 전극은 약 0.3 ㎛ 이하의 거리 또는 공간에 의해 분리되어 있다. 각각의 전극은 약 0.6 ㎛이하, 바람직하게 0.3 ㎛이하의 치수를 가진다. 더 바람직하게, 각각의 전극은 약 0.3 ㎛ 이하의 폭과, 약 0.6 ㎛이하의 길이 및 약 0.6 ㎛ 이하의 높이를 가진다.
전술한 특징들과 함께, 다음의 설명의 진행에 따라 본 기술분야의 숙련자들에게 분명해질 다수의 부수적인 특징들은 본 발명의 실시, 및 첨부 도면에 단지 예로서 도시한 양호한 실시예들에 의해 달성된다.
이후, 본 발명의 유사한 부분에 대하여 동일한 도면부호를 갖는 도면을 참조하여 보면, 도 1에서는 전체적으로 도면부호 10으로 표시된 웨이퍼가 전체적으로 도면부호 12로 표시된 반도체 기판을 갖는 것이 도시된다. 반도체 기판(12)이 도면에 도시되지 않은 회로 소자의 영역을 포함한다는 것은 본 기술분야의 당업자들에게는 주지된 것이다. 배리어층(14)은 반도체 기판(12) 위에서 배치되고, 전체적으로 도면부호 15로 도시된 층(예컨대, 플래티늄층 또는 이리듐층과 같은 전기적 전도성 층)은 배리어층(14) 상에 배치된다. 바람직하게는,상기 층(15)이 도 1에 도시된 바와 같은 전극층(16)이다. 전극층(16)이 바람직하게는 상기 층(15)이므로, 본 발명의 나머지 설명에서는 본 발명에서 설명된 "전극층(electrode layer: 16)"이라는 용어만 사용할 것이다. 그러나, 이하에서 "전극층(16)"이라고 설명된 어느 곳에서도, 이는 본 발명의 목적을 위하여 "층(layer: 15)"의 균등물을 가질 수 있다는 점이 이해되어야 할 것이다. 또한, 본 발명의 바람직한 실시예에서 "전극층(16)"은 달리 표시되지 않았다면 "플래티늄 전극층(platinum electrode layer: 16)" 또는 "이리듐 전극층(iridium electrode layer: 16)"이 될 수 있다는 점도 이해되어야 할 것이다. 그리하여, 이하에서 본 발명의 바람직한 실시예로서 "플래티늄 전극층(16)"이 설명되거나 언급된 경우라면, 상기 전극층(16)이 플래티늄(platinum: 백금)을 포함하고 그리고 본 발명의 바람직한 실시예는 이하에서 설명될 본 발명의 요구되는 특징을 생성하도록 플래티늄을 에칭하는 것에 관련된다는 점이 이해되어야 할 것이다. 이와 유사하게, 이하에서 본 발명의 바람직한 실시예로서 "이리듐 전극층(16)"이 설명되거나 언급된 경우라면, 상기 전극층(16)이 이리듐을 포함하고 그리고 본 발명의 바람직한 실시예는 이하에서 설명될 본 발명의 요구되는 특징을 생성하도록 이리듐을 에칭하는 것에 관련된다는 점이 이해되어야 할 것이다.
전극층(16)이 반도체 기판(12) 내부에서 어떤 요소(예컨대, 폴리-실리콘 플러그)와 쉽게 반응하거나 확산하기 때문에, 전극층(16)과 반도체 기판(12) 사이에 배리어층(14)이 필요하게 된다. 배리어층(14)은 반도체 기판(12)을 전극층(16)에 결합시키기 위한 접착제(adhesive)로서의 작용도 한다. 상기 전극층(16) 상에 마스크(18)가 배치되며, 그리고 도 1에 가장 잘 도시된 바와 같이, 전체적으로 도면부호 20으로 도시된 패턴화된 레지스트(즉, 포토 레지스트)가 마스크층(18) 위에 선택적으로 위치설정된다. 도 1에 가장 잘 도시된 바와 같이, 패턴화된 레지스트(20)는 다수의 레지스트 부재(20a, 20b, 20c, 20d)를 포함한다. 도 2에 도시된 바와 같은 본 발명의 다른 실시예에서는, 전극층(16)과 마스크층(18) 사이에 보호층(protective layer: 22)이 배치된다.
상기 배리어층(14)은 상기 전극층(16)으로의 접착제 및 확산 장벽으로서의 두가지 작용을 할 수 있는 임의의 적절한 층일 수 있다. 배리어층(14)은 임의의 적절한 두께를 가질 수 있다. 바람직하게는, 배리어층(14)은 티타늄 및/또는 TiN과 같은 티타늄 합금을 포함하며, 그리고 약 50 옹스트롬(Angstrom) 내지 약 600 옹스트롬의 두께 범위를 가지고, 더욱 바람직하기로는 약 200 옹스트롬 내지 약 400 옹스트롬의 두께 범위를 가지고, 가장 바람직하기로는 약 300 옹스트롬의 두께를 가진다. 배리어층(14)은 RF 마그네트론 스퍼터링 방법(RF magnetron sputteringmethod)에 의해 반도체 기판(12) 상에 배치되는 것이 바람직하다.
상기 전극층(16)은 바람직한 전극 재료로서 플래티늄 또는 이리듐을 포함하며, 왜냐하면 높은 유전상수의 강유전성 재료(ferroelectric materials)를 증착하는 후속하는 고온 공정에서 발생하는 경향이 있는 산화에 대해 플래티늄 또는 이리듐이 불활성이기 때문이다. 전극층(16)은 또한 바람직한 전극 재질로서 플래티늄과 이리듐을 포함하는데 이는 플래티늄과 이리듐이 양호한 전기적 전도체이기 때문이다. 전극층(16)의 두께는 전극층(16)을 포함하는 반도체 또는 캐피시턴스(capacitance) 소자의 최종 용도에 따라 정해져야 한다. 통상적으로 플래티늄 전극층(16)의 두께는 약 500 옹스트롬에서 약 4000 옹스트롬까지의 범위이며, 더욱 바람직하게는 약 1000 옹스트롬으로부터 약 3000 옹스트롬까지이고, 가장 바람직한 두께는 약 2000 옹스트롬이다. 전극층(16)은 바람직하기로 RF 마그네트론 스터퍼링 방법에 의해 배리어층(14)상에 배치된다.
상기 마스크층(18)은, 패턴화된 레지스트(20) 아래에 남아있는 마스크층(18)의 일부(아래에서 "18a", "18b", "18c", "18d"로 표시되는)를 제외한 마스크층(18)의 모든 트레이스(trace)가 표면 전극층(16)으로부터 반드시 제거되도록 하는 이하에서 설명된 절차에 따라 에칭될 수 있는 임의의 적절한 절연체 또는 금속성 재질이 될 수 있다. 마스크층(18)도 임의의 적절한 두께를 가질 수 있다. 바람직하게는, 마스크층(18)은 이산화 실리콘(SiO2) 및/또는 실리콘 나이트라이드(Si3N4) 또는 임의의 다른 적절한 유전성 재료를 포함한다.
본 발명의 다른 바람직한 실시예에서, 마스크층(18)은 티타늄(Ti) 및/또는 질화티타늄(TiN)을 포함하며, 바람직하게는 질화티타늄을 포함한다. 이하에서 보다 상세히 설명되는 바와 같이, 질화티타늄을 포함한 마스크층(18)으로 중첩된 이리듐 전극층(16)을 에칭하면, 그리고 산소, 할로겐가스(예컨대, Cl2) 및 불활성가스(예컨대, 아르곤)를 포함한 에칭 가스의 고밀도 플라즈마 내에서 에칭하면, 수평면에 대하여 연관된 측벽의 각도(α)가 약 80도 이상과 동일한 곳에서 이리듐 프로화일을 갖는 에칭된 이리듐 전극이 생성된다는 점이 발견되었다. 마스크층(18)의 제거 이후에 펜스(fence) 또는 베일(veil)이 형성되지 않고서 깨끗한 이리듐 표면이 생성된다. 또한 O2/할로겐가스(들)/불활성가스(들)의 가스 화학물질을 에칭 가스의 고밀도 플라즈마 내에서 이리듐 전극층(16)을 에칭하는 동안에, 이리듐 전극층(16)이 질화티타늄을 포함한 마스크층(18)을 지지하는 경우, 질화티타늄에 대한 이리듐의 에칭 선택도가 약 8.0보다 크며, 바람직하게는 약 10.0보다 크다는 것이 추가적으로 발견되었다. 본 발명의 기본사상과 범주가 TiN을 포함한 마스크층(18)을 지지하는 플래티늄 전극층(16)의 에칭을 포함하는데, 플래티늄 전극층(16)의 에칭이 산소(O2), 할로겐가스(예컨대, Cl2) 및 불활성 가스(예컨대, 아르곤)를 포함한 에칭 가스의 고밀도 플라즈마 내에서 수행되는 것으로 이해되어야 한다. 마스크층(18)의 바람직한 두께는 약 500 옹스트롬으로부터 약 9000 옹스트롬의 범위이며, 더욱 바람직하게는 약 2000 옹스트롬에서 약 7000 옹스트롬의 범위이며, 가장 바람직한 두께는 약 3000 옹스트롬이다. 바람직하기로는 마스크층(18)은 화학기상 증착에 의하여 전극층(16) 상에 배치된다.
패턴화된 레지스트(20) (즉, 레지스트 부재(20a, 20b, 20c, 20d)를 포함하는 포토 레지스트(20))는 임의의 하부층 재료(예컨대, 마스크층(18))가 본 발명의 에칭 공정 동안에 에칭되지 않게 보호할 수 있는 재료의 임의의 적절한 층일 수 있다. 패턴화된 레지스트(20)를 위한 적절한 재료는 노볼락 레진(novolac resin) 및 광활성 분해 억제제(photoactive dissolution inhibitor)로 구성되는 레지스트 시스템을 포함한다(모두 Suss의 발견에 기초하고 있음). 레지스트(20)에 대한 다른 적절한 재료들이 'Solid State Technology' 1996년 7월호에 게재된 Hiroshi Ito의 논문 "Deep-UV Resist: Evolution and Status"에 리스트되어 있다. 패턴화된 레지스트(20)는 임의의 적절한 두께를 가질 수 있으며, 바람직하기로 패턴화된 레지스트(20)의 두께는 약 0.3 ㎛로부터 약 1.40㎛까지의 범위이며, 더욱 바람직하게는 약 0.5㎛로부터 약 1.2㎛까지의 범위이고, 가장 바람직하기로는 약 0.8㎛이다. 바람직하기로 패턴화된 레지스트(20)는 스핀 코팅 방법(spin coating method)에 의하여 마스크층(18) 상에 배치된다.
도 2에 도시된 본 발명의 실시예에서 보호층(22)은 본 발명의 오버에칭 공정(overeching process) 동안에 에칭되는 전극층(이하에서 전체적으로 "16e"로서 표시되는)의 코너(이하에서 전체적으로 "16g"로서 표시되는)를 보호하기 위한 것이다. 보호층(22)의 다른 목적은 마스크층(18) 및 전극층(16)로의 양호한 접착력을 제공하는 것이다. 보호층(22)은 티타늄 및/또는 질화티타늄(titanium nitride) 등과 같은 임의의 적절한 재료 또는 화학물질을 포함할 수 있고, 통상적인 방법에 의해, 예컨대 RF 마그네트론 스퍼터링 방법에 의해 전극층(16)의 표면 상에 배치될 수 있다. 보호층(22)의 두께는 임의의 적절한 두께일 수 있으며, 바람직하게는 약 50 옹스트롬으로부터 약 1000 옹스트롬까지의 범위이며, 더욱 바람직하게는 약 100 옹스트롬으로부터 약 600 옹스트롬까지의 범위이며, 가장 바람직하기로는 약 300 옹스트롬이다.
도 1 또는 도 2의 다중층 구조로부터 반도체 또는 캐피시턴스 소자를 형성하거나 생산하기 위하여, 도 5에 가장 잘 나타낸 바와 같이, 또는 도 2에 도시된 본 발명의 실시예가 사용되어지는 경우에 도 6에서 가장 잘 나타낸 바와 같이, 레지스트 부재(20a, 20b, 20c, 20d)의 각각 아래에 있는 마스크층(18a, 18b, 18c, 18d)을 제외한 마스크층(18)을 전극층(16)의 표면으로부터 침식 및 제거하거나 또는 에칭하도록 하기 위하여, 다중층 구조는 적절한 플라즈마 프로세싱 장치 내에 우선적으로 위치된다.
종래 기술의 적절한 플라즈마 프로세싱 장치는 도 3에 도시되고, Babie 등에 허여된 미국특허 제 5,188,704에서 설명되어 있다. 도 3의 플라즈마 프로세싱 장치는 전체적으로 도면부호 30으로 도시된 플라즈마 반응기를 포함하고, 플라즈마 반응기(30)는 반응기 챔버(32)를 형성하며 하우징하기 위한 것으로 전체적으로 도면부호 31로 도시된 벽체를 포함하는데, 반응기 챔버(32) 내에서는 중성(n) 입자, 양성(+) 입자, 및 음성(-) 입자가 발견된다. 벽체(31)는 원통형 벽(54)과 커버(56)를 포함한다. 플라즈마 프로세싱 가스는 유입구(34)를 통해 반응기 챔버(32)로 도입된다. 플라즈마 에칭 가스는 유입구(34-34)를 통해 반응기 챔버(32)로 도입된다. 수냉식 음극(cathode: 36)은 13.56㎒의 RF 전원 공급기(38)에 접속된다. 양극(anode: 39)은 라인(40)에 의해 접지된 벽체(31)에 접속된다. 헬륨 가스는 음극(36)을 관통한 통로(50)을 통하여 립 시일(lip seal;52)에 의해 주변이 지지되는 웨이퍼(10)의 아래의 공간에 공급되고, 이 헬륨가스는 웨이퍼(10)를 냉각시킨다. 웨이퍼(10)는 그 주변에서 웨이퍼(10)의 상부 표면을 하방으로 잡고 있는 다수의 클램프(도시되지 않음)를 포함하는 웨이퍼 지지체(46)에 의해 지지되며, 이는 본 기술분야의 당업자에게 주지된 기술이다. 한 쌍의 헬름홀츠 방식의 전자기 코일(helmholtz configured electromagnetic coils;42,43)은 챔버(32) 내부에 북극(north pole)과 남극(south pole)을 제공하며 측방의 원통형 벽(54)과 벽체(31)의 대향 단부에 배치된다. 전자기 코일(42,43)은 횡단 자기장(transverse magnetic field)을 제공하며 북극과 남극은 좌측 및 우측에서 웨이퍼(10)의 표면과 평행한 수평 자기장 축을 제공한다. 횡단 자기장은 웨이퍼(10)를 향해 이동하면서 자기장에 의하여 방사상으로 가속되는 전자의 수직 속도를 늦추도록 인가된다. 따라서, 플라즈마(33) 내에서 전자의 양이 횡단 자기장에 의하여 증가되어 플라즈마(33)가 강화된다는 것은 본 기술분야의 당업자들에게는 주지된 사실이다.
자기장이 제공하는 전자기 코일(42,43)은 균일한 필드 강도 방향을 생성하도록 독립적으로 제어된다. 상기 필드는 활성화된 전자기 코일(42,43)의 회전에 의하여 연속적으로 웨이퍼(10) 주변에 각도상으로 스텝될 수 있다. 전자기 코일(42,43)에 의해 제공되는 횡단 자기장은 플라즈마(33)에 의해 처리되어질 웨이퍼(10)의 표면에 평행하게 향하게 되고, 플라즈마 반응기(30)의 음극(36)는 플라즈마(33)내의전자의 이온화를 효과적으로 증가시킨다. 이는 음극(36)의 시이쓰(sheath)에 걸쳐서잠재적인 드롭(drop)을 감소하는 능력과 웨이퍼(10)의 표면상에서 나타나는 이온 전류 플럭스를 증가하는 능력을 제공하므로써, 높은 이온 에너지의 요구없이 높은 에칭 속도가 결과적으로 달성된다.
본 발명에서 사용되는 자기적으로 강화된 반응성 이온 에칭(magnetically enhanced reactive ion ethching;MERIE)을 달성하기 위해 사용되는 바람직한 자기원(magnetic source)은 헬름홀쯔 구성으로 배열된 전자기 코일(42,43)에 의해 제공되는 가변적인 회전 장이다. 전자기 코일(42,43)은 3상 교류 전류에 의해 구동된다. 플럭스(B)를 가진 자기장은 웨이퍼에 평행하고, 도 4에 도시된 바와 같이 전기장에 직교한다. 도 4를 참조하면, 플럭스(B)를 생성하는 자기장(H)의 벡터는, 0.01로부터 1㎐까지, 특별하게는 0.5㎐인 통상의 회전 주파수에서 전자기 코일(42,43)을 통해 흐르는 다양한 전류의 위상에 의하여 전기장의 중심 축선 주위를 회전한다. 자기장 플럭스(B)의 강도는 통상적으로 "영(0)" 가우스로부터 약 150 가우스까지 가변되며 전자기 코일(42,43)에 인가되는 전류의 양에 의해 정해진다. 비록 도 3에서는 마스크층(18a, 18b, 18c, 18d)을 제외한 마스크층(18)을 제거하기에 적절한 단일한 플라즈마 공정 장치를 도시하였지만, 이는 전자 사이클로트론 공명기(electron cyclotron resonance;ECR), 헬리콘 공명기(helicon resonance) 또는 유도 결합 플라즈마(inductively coupled plasma: ICP), 트라이오드 에칭기(triode etchers) 등과 같은 다른 플라즈마 에칭기가 사용될 수 있다는 것으로 이해되어야 한다.
플라즈마(33)는, 도 5와 도 6에 가장 잘 도시된 바와 같이, 레지스트 부재(20a, 20b, 20c, 20d) 아래에 각각 있는 마스크층(18a, 18b, 18c, 18d)을 제외한 마스크층(18)을 침식(즉, 세정하며 에칭해 내는)하는 임의의 적절한 에칭 가스를 사용할 수 있다. 예를 들어, 마스크층(18)이 이산화 실리콘을 포함하는 경우, 적절한 에칭 가스(들)은 플루오르-함유 가스(즉, CHF3, SF6,C2F6,NF3등), 브롬-함유 가스(즉, HBr 등), 염소-함유 가스(즉, CHCl3등), 희귀 또는 불활성 가스(즉, 아르곤 등) 및 이들의 혼합물을 포함하는 그룹으로부터 선택되어질 수 있다. 바람직하게는 본 발명의 바람직한 일실시예로서, 에칭 가스는 산소와 같은 강 산화성 물질을 포함하지 않는데, 이는 이러한 단계의 목적이 레지스트 부재(20a, 20b, 20c, 20d)에 의해 각각 보호되는 마스크층(18a, 18b, 18c, 18d)을 제외한 마스크층(18)을 제거하면서 패턴화된 레지스트(20)를 제거하지 않는 것을 목적으로 하기 때문이다. 더욱 상세하게는, 에칭 가스는 약 20 부피%로부터 약 40 부피%까지의 CHF3와 약 60 부피%로부터 약 80 부피%까지의 아르곤을 포함한다. 마스크층(18)을 제거하는 적절한 플라즈마 공정 장치(도 3의 플라즈마 공정 장치와 같은)에 대한 바람직한 반응기 상태는 다음과 같다.
압력 10 - 150 mTorr
RF 전력 500 - 1500 watts
회전 자기장 25 - 70 Gauss
물의 온도 25 - 100 ℃
마스크층(18) 에칭속도 2000 - 10,000 옹스트롬/분
패턴화된 레지스트(20)에 대한 마스크층(18)의 감도(selectivity)는 1:3보다 좋으며, 마스크층(18)과 패턴화된 레지스트(20)에 사용되는 재질에 의존한다.
더욱 일반적으로, 적절한 플라즈마 프로세싱 장치(도 3의 플라즈마 공정 장치와 같은)에서 마스크층(18)을 제거하기 위한 프로세싱 변수는 이하의 표 Ⅲ에 기술된 범위로 떨어지며 CHF3와 아르곤 가스의 유량은 이하의 표 Ⅲ에 기술된 것을 기초로 한다.
공정 넓은 범위 바람직한 범위
가스 유동, sccm CHF310 - 50 (20-40 부피%) 20 - 40아르곤 50 - 90 (60-80 부피%) 60 - 80압력(mT) 10 - 250 10 - 15013.56 ㎒ RF전력(Watts) 500 - 2500 500 - 1500웨이퍼 온도(℃) 10 - 120 25 - 100자기장(Gauss) 10 - 120 25 - 70
본 발명의 다른 바람직한 실시예에서, 마스크층(18)이 티타늄 및/또는 질화티타늄(바람직하게는 질화 티타늄)을 포함할 때, 도 5와 도 6에 잘 도시된 바와 같이, 레지스트 부재(20a, 20b, 20c, 20d) 각각의 아래에 있는 마스크층(18a, 18b, 18c, 18d)을 제외한 티타늄/질화 티타늄-함유 마스크층(18)을 침식(즉, 세정하며 에칭)하는 적절한 에칭 가스(들)은 불활성 가스(즉, 아르곤), 할로겐(즉, Cl2) 및 HBr, BCl3와 그의 혼합물로 구성되는 그룹으로부터 선택된 가스들을 포함하는 그룹으로부터 선택될 수 있다. 바람직하게는, 에칭 가스는 약 10 부피%로부터 약 30 부피% 아르곤, 약 20 부피%로부터약 60 부피% 염소, 및 약 20 부피%로부터 약 60% 부피 HBr 및/또는 BCl3를 포함한다. 티타늄 및/또는 질화 티타늄을 포함하는 마스크층(18; 마스크층(18a, 18b, 18c, 18d)을 제외한)을 제거하는 적절한 플라즈마 프로세싱 장치(도 3의 플라즈마 프로세싱 장치와 같은)에 대한 바람직한 반응기 조건은 이하와 같다.
압력 10 - 150 mTorr
RF 전력 500 - 1500 watts
회전 자기장 25 - 70 Gauss
웨이퍼 온도 25 - 100 ℃
마스크층(18) 에칭속도 2000 - 10,000 옹스트롬/분
패턴화된 레지스트(20)에 대한 티타늄/질화 티타늄-함유 마스크층(18)의 감도는 1:3보다 좋으며, 패턴화된 레지스트(20)에 사용되는 재질에 의존한다.
더욱 일반적으로, 적절한 플라즈마 프로세싱 장치(도 3의 플라즈마 프로세싱 장치와 같은)에서 티타늄/질화 티타늄-함유 마스크층(18)을 제거하기 위한 프로세싱 변수는 이하의 표 Ⅳ에 기술된 범위로 떨어지며 아르곤, 염소, 그리고 HBr 및/또는 BCl3가스의 유량은 이하의 표Ⅲ에 기술된 것을 기초로 한다.
공정 넓은 범위 바람직한 범위
가스 유동, sccm 아르곤 10 - 50(10-30 부피%) 30 - 40염소 30 - 100(20-60 부피%) 60 - 80HBr및/또는 BCl330 - 100 (20-60 부피%) 50 - 70압력(mT) 10 - 250 10 - 15013.56 ㎒ RF전력(Watts) 500 - 2500 500 - 1500웨이퍼 온도(℃) 10 - 120 25 - 100자기장(Gauss) 10 - 120 25 - 70
도 2에 도시된 본 발명의 바람직한 실시예에서는 보호층(22)이 마스크층(18)과 전극층(16)사이의 전극층(16)상에 배치되고, 보호층(22)은 전극층(16)이 노출되도록 마스크층(18)의 제거후에 제거되거나 에칭되어진다. 보호층(22)은, 마스크층(18a, 18b, 18c, 18d) 각각의 바로 아래에 있는 보호층(22a,, 22b, 22c, 22d)을 제외한 보호층(22)을 침식하거나 에칭하기 위해 적절한 에칭 가스를 사용하는 플라즈마(33)가 포함된 적절한 플라즈마 프로세싱 장치(도 3의 플라즈마 프로세싱 장치와 같은)를 사용하여 및/또는 임의의 적절한 방식으로 에칭되며 제거될 것이다. 예를 들어, 보호층(22)으로서 질화 티타늄이 사용된 경우에는, 적절한 에칭 가스(들)은 Cl2, HBr, BCl3, 불활성 가스(예컨데, 아르곤) 및 그들의 혼합물을 포함하는 그룹으로부터 선택될 것이다. 바람직한 본 발명의 일실시예에서, 보호층(22a, 22b, 22c, 22d)을 제외한 보호층(22)을 침식하며 에칭하는 에칭 가스는 약 20 부피%로부터 약 60 부피%까지의 Cl2, 약 20 부피%로부터 약 60 부피%까지의 HBr 및/또는 BCl3, 그리고 약 10 부피%로부터 약 30 부피%까지의, 바람직하게는 아르곤인 불활성가스를 포함한다. 보호층(22a, 22b, 22c, 22d)을 제외한 보호층(22)를 제거하기 위한 적절한 플라즈마 공정 장치(도 3의 플라즈마 공정 장치와 같은)에 대한 적절한 반응기 조건은 마스크층(18; 마스크층(18a, 18b, 18c, 18d)을 제외한)을 제거하기 위한 전술된 반응기 조건과 동일할 것이다. 이는 ECR,ICP, 헬륨 공명기 등과 같은 다른 에칭기가 보호층(20)을 제거하는데 사용될 수 있는 것으로 이해되어야 한다. 계속되는 이후의 설명에 따라, 보호층(22a, 22b, 22c, 22d)은 본 발명의에칭 프로세싱동안 에칭된 전극층(16e라고 표기된 것 아래에)의 코너(16g라고 표기된 것 아래에)를 보호하기 위한 것이다. 이는 보호층(22a, 22b, 22c, 22d)이 에칭 프로세싱동안 에칭된 전극층(16)을 보호할뿐만 아니라, 현존하는 프로파일의 유지 및/또는 프로화일(즉, 에칭된 플래티늄 또는 이리듐 프로파일)의 개선에 도움이 될 것이다.
플래티늄 전극층(16)을 에칭하기 위한 본 발명의 다른 실시예에서, 보호층(22a, 22b, 22c, 22d)을 제외한 보호층(22)은 고온에서 본 발명의 플래티늄-에칭 프로세싱에 사용되는 에칭가스에 의하여 에칭될 것이다. 이후의 설명에 따라 좀 더 상세하게는, 플래티늄 전극층(16)이 바람직하게는 고밀도 유도 결합 플라즈마를 포함한 고밀도 플라즈마 챔버에서 아래의 고정 조건하에서 에칭되고, 보호층(22)도 이러한 조건하에서 에칭되며 제거될 것이다.
공정 변수
에칭 가스 유동 50 - 500 sccm
할로겐가스(예컨데, Cl2) 20 부피% - 95 부피%
불활성가스(예컨데, 아르곤) 5 부피% - 80 부피%
압력(mTorr) 0.1 - 300 milli Torr
코일 인덕터의 RF 전력(Watts) 100 - 5000 watts
웨이퍼 받침대의 RF 전력(Watts) 50 - 3000 watts
플래티늄 전극 웨이퍼의 온도(℃) 약 150 - 약 500℃
플래티늄 에칭 속도(Å/분) 200 - 6000 Å/분
코일 인덕터의 RF 주파수 100 K - 300 ㎒
웨이퍼 받침대의 RF 주파수 100 K - 300 ㎒
그리하여, 동일한 장치와 공정 조건이 플래티늄 전극층(16)을 에칭할 뿐만 아니라 보호층(22)의 선택적인 부분을 에칭하며 제거하는데 사용될 수 있다. 이후에 또 설명되는 본 발명의 다른 바람직한 실시예에서, 보호층(22)과 플래티늄 전극층(16)은 제거될 것이며 이하의 공정 조건하에서 고밀도 유도 결합 플라즈마가 포함된 고밀도 플라즈마 챔버에서 각각 에칭된다.
공정 변수
에칭 가스 유동 50 - 500 sccm
할로겐가스(예컨데, Cl2) 10 부피% - 90 부피%
불활성가스(예컨데, 아르곤) 5 부피% - 80 부피%
HBr 및/또는 BCl345 부피% - 25 부피%
압력(mTorr) 0.1 - 300 milli Torr
코일 인덕터의 RF 전력(Watts) 100 - 5000 watts
웨이퍼 받침대의 RF 전력(Watts) 50 - 3000 watts
플래티늄 전극 웨이퍼의 온도(℃) 약 150 - 약 500℃
플래티늄 에칭 속도(Å/분) 200 - 6000 Å/분
코일 인덕터의 RF 주파수 100 K - 300 ㎒
웨이퍼 받침대의 RF 주파수 100 K - 300 ㎒
이리듐 전극층(16)을 에칭하기 위한 본 발명의 다른 실시예에서, 보호층(22a, 22b, 22c, 22d)를 제외한 보호층(22)은 고온에서 본 발명의 이리듐 에칭 처리에 사용되는 에칭 가스에 의하여 에칭될 것이다. 이후의 설명에서 나타나는 바와 같이 보다 상세하게는, 고밀도 유도 결합 플라즈마가 포함된 고밀도 플라즈마 챔버내에서 아래의 조건에 따라 에칭됨이 바람직하며, 보호층(22)은 이 조건과 동일한 조건에서 에치될 것이다.
공정 변수
에칭 가스 유동 50 - 500 sccm
산소 5 부피% - 40 부피%
할로겐 가스(예컨데, Cl2) 10 부피% -60 부피%
불활성가스(예컨데, 아르곤) 30 부피% - 80 부피%
압력(mTorr) 0.1 - 300 milli Torr
코일 인덕터의 RF 전력(Watts) 100 - 5000 watts
웨이퍼 받침대의 RF 전력(Watts) 50 - 3000 watts
플래티늄 전극 웨이퍼의 온도(℃) 약 150 - 약 500℃
이리듐 에칭 속도(Å/분) 200 - 6000 Å/분
코일 인덕터의 RF 주파수 100 K - 300 ㎒
웨이퍼 받침대의 RF 주파수 100 K - 300 ㎒
그리하여, 동일한 장치와 공정 조건이 이리듐 전극층(16)을 에칭할 뿐만 아니라 보호층(22)의 선택적인 부분을 에칭하며 제거하는데 사용될 수 있다. 이후에 또 설명되는 본 발명의 다른 바람직한 실시예에서, 보호층(22)과 이리듐 전극층(16)은 제거될 것이며 이하의 공정 조건하에서 고밀도 유도 결합 플라즈마가 포함된 고밀도 플라즈마 챔버에서 각각 에칭된다.
공정 변수
에칭 가스 유동 50 - 500 sccm
산소 5 부피% - 20 부피%
할로겐 가스(예컨데, Cl2) 10 부피% -60 부피%
불활성가스(예컨데, 아르곤) 30 부피% - 80 부피%
HBr 및/또는 BCl35 부피% - 20 부피%
압력(mTorr) 0.1 - 300 milli Torr
코일 인덕터의 RF 전력(Watts) 100 - 5000 watts
웨이퍼 받침대의 RF 전력(Watts) 50 - 3000 watts
플래티늄 전극 웨이퍼의 온도(℃) 약 150 - 약 500℃
이리듐 에칭 속도(Å/분) 200 - 6000 Å/분
코일 인덕터의 RF 주파수 100 K - 300 ㎒
웨이퍼 받침대의 RF 주파수 100 K - 300 ㎒
전극층(16)이 노출되도록 하면서 마스크층(18)의 잔여물만이 레지스트부재(20a, 20b, 20c, 20d)의 바로 아래에 각각 위치되는 마스크층(18a, 18b, 18c, 18d)이 되는 방식으로 마스크층(18)의 선택적인 부분을 전극층(16)의 표면으로부터 에칭 제거한 이후에, 레지스트 부재(20a, 20b, 20c, 20d)가 제거된다. 레지스트 부재(20a, 20b, 20c, 20d)는 임의의 적절한 시간에 제거될 것이며, 바람직하게는 전극층(16)의 에칭 이전이고, 반도체 기판(12)이 약 150℃ 이상의 온도로 가열되기 전에 제거되는 것이 바람직하다. 이와 동일한 사실이 도 2, 도 6 및 도 8에 도시된 바람직한 실시예에서 유지되는데, 즉 전극층(16)이 노출되도록 하면서 보호층(18)의 잔여물만이 마스크층(18a, 18b, 18c, 18d)의 바로 아래에 각각 위치되는 보호층(22a, 22b, 22c, 22d)이 되는 방식으로 보호층(22)의 선택적인 부분을 전극층(16)의 표면으로부터 에칭 제거한 이후에, 레지스트 부재(20a, 20b, 20c, 20d)가 제거된다. 그러나, 본 발명의 이러한 실시예에 대하여, 레지스트 부재(20a, 20b, 20c, 20d)는 보호층(22)의 선택적인 부분이 에칭 제거되기 전에 제거될 것이다. 이와는 달리, 레지스트 부재(20a, 20b, 20c, 20d)는 보호층(22)의 선택적인 부분의 제거 이후(또는 제거중 동시)에 제거될 것이며 전극층(16)의 에칭을 목적으로 반도체 기판(12)이 약 150℃ 이상의 온도로 가열되기 전에 제거될 것이다. 통상적으로, 적어도 일부의 레지스트 부재(20a, 20b, 20c, 20d)는 보호층(22)의 선택적인 부분이 전극층(16)을 노출시키도록 하여 보호층에 의해 이중으로 놓여지지 않게 에칭 제거되는 동안 제거되어져야 한다.
레지스트 부재(20a, 20b, 20c, 20d)는 본 기술분야의 당업자들에게 주지된 산소 플라즈마 에싱(oxygen plasma ashing)을 이용하는 것과 같은 임의의 적절한방식으로 제거될 것이다. 레지스트 부재(20a, 20b, 20c, 20d)는, 산소를 포함하는 에칭 가스를 함유하는 플라즈마를 사용하여 도 3에 도시된 플라즈마 프로세싱 장치와 같은 임의의 적절한 플라즈마 프로세싱 장치에 의해 마스크층(18a, 18b, 18c, 18d)으로부터 각각 박리(stripped)될 것이다. 레지스트 부재(20a, 20b, 20c, 20d)는 어플라이드 머리어리얼즈사의 metal etch MxP Centura 상표로 시판되는 플라즈마 프로세싱 장치인 advanced strip passivation(ASP) 챔버내에서 마스크층(18a, 18b, 18c, 18d)으로부터 각각 제거된다. 마스크층(18a, 18b, 18c, 18d)으로부터 각각 레지스트 부재(20a, 20b, 20c, 20d)를 박리 할 때, 상기 ASP 챔버는 마이크로웨이브 다운스트림 O2/N2플라즈마를 사용하여 다음의 프로세싱 즉, 120초, 250℃, 1400W, 3000cc O2, 300cc N2및 2 Torr로서 된다.
전극층(16)은 도 7과 도 8에 나타난 바와 같이 노출되어진 이후에, 프로파일을 가진 미크론이하 패턴으로 전개되도록 에칭된다. 이후의 설명과 같이, 전극층(16)이 에칭되기 전에, 전극층(16)을 지지하는 반도체 기판(12)은 약 150℃보다 큰 온도로 가열되고, 바람직하게는 약 150℃보다 커서 약 500℃까지이며, 더욱 바람직하게는 약 200℃로부터 약 400℃까지이고, 최적의 온도는 약 250℃로부너 약 350℃까지이다. 반도체 기판(12)은 바람직하게는 에칭 공정 중에 웨이퍼(10)를 지지하는 받침대에 의해 가열된다.
전극층(16)은 모두 어플라이드 머티어리얼즈사의 상표를 가진, 즉 AME8100 EtchTM상표 또는 Precision Etch 5000TM또는 Precision Etch 8300TM로 시판되는 반응성 이온 에치(reactive ion etch) 플라즈마 프로세싱 장치와 같은 임의의 적절한 플라즈마 프로세싱 장치내에서 에칭될 것이다. 전극층(16)을 에칭하기 위한 다른 적절한 플라즈마 프로세싱 장치는 역시 어플라이드 머티어리얼즈사의 상표인 Metal Etch DPS CenturaTM로 시판되는 플라즈마 프로세싱 장치이다. 이 역시 ECR, ICP, 헬륨 공명기 등과 같은 다른 플라즈마 에칭기가 사용될 수 있는 것으로 이해되어야 한다.
전극층(16)을 에칭하기 위한 적절한 플라즈마 프로세싱 장치는 에칭 가스의 플라즈마를 사용하며, 이는 약 85도이거나 이보다 큰, 바람직하게는 약 87도이거나 이보다 큰, 더욱 바람직하게는 약 88.5도이거나 이보다 더 큰 양호한 프로파일(예컨데, 플래티늄 또는 이리듐 프로화일)을 생성할 수 있다. 에칭 가스는, 할로겐 가스(예컨데, 불소, 염소, 브롬, 요오드 및 아스타틴)와 같은 할로겐 함유 가스 및 헬륨, 네온, 아르곤, 크립톤, 제논 및 라돈과 같은 불활성 가스를 폭넓게 포함하거나, 또는 이로써 구성되거나, 또는 필수적으로 이로써 구성된다. 바람직하게는, 에칭 가스는 할로겐(바람직하게는 염소) 및 헬륨, 네온 및 아르곤으로 구성되는 그룹으로부터 선택된 불활성 가스를 포함하거나 또는 이로써 구성되거나 또는 필수적으로 이로써 구성된다. 불활성 가스는 바람직하게는 아르곤이다. 에칭 가스는 보다 상세하게는, 바람직하게 약 20 부피%로부터 약 95 부피%까지의 할로겐 가스(즉, 염소) 및 약 5 부피%로부터 약 80 부피%까지의 불활성 가스(즉, 아르곤), 보다 바람직하게는 약 40 부피%로부터 약 80 부피%까지의 할로겐 가스(즉, 염소) 및 약 20부피%로부터 약 60 부피%까지의 불활성 가스(즉, 아르곤), 최적으로 바람직하게는 약 55 부피%로부터 약 65 부피%까지의 할로겐 가스(즉, 염소) 및 약 35 부피%로부터 약 45 부피%까지의 불활성 가스(즉, 아르곤)를 포함하거나, 또는 이로써 구성되거나 또는 필수적으로 이로써 구성된다.
에칭 가스는 또한 산소, 할로겐 가스(예컨데, 플루오르, 염소, 브롬, 요오드 및 아스타틴)와 같은 할로겐 함유 가스 및 헬륨, 네온, 아르곤, 크립톤, 제논 및 라돈과 같은 불활성 가스를 폭넓게 포함한다. 바람직하게는, 에칭 가스는 할로겐(바람직하게는 염소) 및 헬륨, 네온 및 아르곤으로 구성되는 그룹으로부터 선택된 불활성 가스를 포함하거나 또는 이로써 구성되거나 또는 필수적으로 이로써 구성된다. 불활성 가스는 바람직하게는 아르곤이다. 에칭 가스는 보다 상세하게는, 바람직하게 약 5 부피%로부터 약 40 부피%까지의 산소, 약 10 부피%로부터 약 60 부피%까지의 할로겐 가스(즉, 염소) 및 약 30 부피%로부터 약 80 부피%까지의 불활성 가스(즉, 아르곤), 보다 바람직하게는 약 10 부피%로부터 약 30 부피%까지의 산소, 약 20 부피%로부터 약 50 부피%까지의 할로겐 가스(즉, 염소) 및 약 40 부피%로부터 약 70 부피%까지의 불활성 가스(즉, 아르곤), 최적으로 바람직하게는 약 10 부피%로부터 약 20 부피%까지의 산소, 약 20 부피%로부터 약 30 부피%까지의 할로겐 가스(즉, 염소) 및 약 50 부피%로부터 약 70 부피%까지의 불활성 가스(즉, 아르곤)를 포함하거나, 또는 이로써 구성되거나 또는 필수적으로 이로써 구성된다.
본 발명의 다른 바람직한 실시예에서, 에칭 가스는 할로겐(즉, 염소)과, 불활성 가스(즉, 아르곤)와, HBr, BCl3및 그의 혼합물로 구성되는 그룹으로부터 선택된 가스를 포함하거나, 바람직하게 이로써 구성되거나 또는 필수적으로 이로써 구성된다. 에칭 가스는 보다 상세하게는, 약 10 부피%로부터 약 90 부피%까지의 할로겐 가스(즉, 염소)와, 약 5 부피%로부터 약 80 부피%까지의 불활성 가스(즉, 아르곤), 약 4 부피%로부터 약 25 부피%까지의 HBr 및/또는 BCl3, 바람직하게는 약 40 부피%로부터 약 70 부피%까지의 할로겐 가스(즉, 염소)와, 약 25 부피%로부터 약 55 부피%까지의 불활성 가스(즉, 아르곤)와, 약 5 부피%로부터 약 20 부피%까지의 HBr 및/또는 BCl3, 보다 바람직하게는 약 50 부피%로부터 약 60 부피%까지의 할로겐 가스(즉, 염소)와, 약 35 부피%로부터 약 45 부피%까지의 불활성 가스(즉, 아르곤), 보다 바람직하게는 약 5 부피%로부터 약 15 부피%까지의 HBr 및/또는 BCl3를 포함하거나, 또는 이로써 구성되거나 또는 필수적으로 이로써 구성된다. 에칭 가스 유동 속도는 약 50 sccm으로부터 약 500 sccm이다. HBr 및/또는 BCl3은 전극층(16)의 에칭동안 잔여물(예컨데, 플래티늄 또는 이리듐 잔여물)을 제거하기 위한 것이다. 아르곤을 함유한 플라즈마는 고 에너지 이온 농도를 가지며 종종 물리적 스퍼터링에 사용되어 왔다는 것이 알려져 왔다. 이온에 의한 스퍼터링 효과는 플라즈마와 샘플 사이에 존재하는 가속되는 잠재성의 기능을 가진다.
본 발명의 또 다른 바람직한 실시예에서, 에칭 가스는 산소와, 할로겐(즉, 염소)과, 불활성 가스(즉, 아르곤)와, HBr, HCl 및 그의 혼합물로 구성되는 그룹으로부터 선택된 가스를 포함하거나, 바람직하게 이로써 구성되거나 또는 필수적으로 이로써 구성된다. 에칭 가스는 보다 상세하게는, 약 5 부피%로부터 약 20 부피%까지의 산소와, 약 10 부피%로부터 약 60 부피%까지의 할로겐 가스(즉, 염소)와, 약 30 부피%로부터 약 80 부피%까지의 불활성 가스(즉, 아르곤), 약 5 부피%로부터 약 20 부피%까지의 HBr 및/또는 HCl, 바람직하게는 약 5 부피%로부터 약 15 부피%까지의 산소와, 약 20 부피%로부터 약 50 부피%까지의 할로겐 가스(즉, 염소)와, 약 40 부피%로부터 약 70 부피%까지의 불활성 가스(즉, 아르곤), 약 5 부피%로부터 약 15 부피%까지의 HBr 및/또는 HCl, 보다 바람직하게는 약 5 부피%로부터 약 10 부피%까지의 산소와, 약 20 부피%로부터 약 35 부피%까지의 할로겐 가스(즉, 염소)와, 약 40 부피%로부터 약 60 부피%까지의 불활성 가스(즉, 아르곤)와, 약 5 부피%로부터 약 10 부피%까지의 HBr 및/또는 HCl를 포함하거나, 또는 이로써 구성되거나 또는 필수적으로 이로써 구성된다. 에칭 가스의 유동 속도는 약 50 sccm으로부터 약 500 sccm까지이다.
도 3의 플라즈마 프로세싱 장치와 같은 적절한 플라즈마 프로세싱 장치에서 전극층(16)을 에칭하기 위한 반응기 조건은 다음과 같다.
압력 0.1 - 300 mTorr
RF 전력 100 - 5000 watts
회전 자기장 20 - 100 Gauss
웨이퍼 온도 약 150 - 약 500 ℃
플래티늄층(18) 에칭속도 200 - 6,000 옹스트롬/분
마스크(18)에 대한 전극층(16)의 감도는 1:2보다 더 좋으며, 패턴화된 레지스트(18)가 사용되는 재질에 의존한다.
더욱 일반적으로, 도 3의 플라즈마 프로세싱 장치와 같은, 적절한 플라즈마 프로세싱 장치내에서의 전극층(16)을 에칭하기 위한 프로세싱 변수는 이하의 표Ⅴ에 기술되어진 것처럼 그 범위가 떨어지며 에칭 가스의 속도도 아래의 표Ⅴ에 기술된 것에 기초한다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm 에칭 가스 50 - 500 75 - 250 100 - 200압력(mT) 20 - 2000 30 - 300 50 - 15013.56 ㎒ RF전력(Watts) 50 - 3000 500 - 2000 700 - 1200물의 온도(℃) 150 - 500 200 - 400 250 - 350자기장(Gauss) 0 - 140 20 - 100 60 -80
전술한 바와 같이, 플래티늄 전극층(16)을 에칭하는데 바람직한 에칭 가스는 염소 및 아르곤의 혼합물 또는, 염소, 아르곤 및 HBr 및/또는 BCl3의 혼합물이다. 전극층(16)을 에칭하는데 바람직한 다른 에칭 가스는 산호, 염소 및 아르곤의 혼합물 또는, 산소, 염소, 아르곤 및 HBr 및/또는 HCl 의 혼합물이다. 만약 에칭 가스가 염소 및 아르곤의 혼합물(즉, 약 20 내지 95 부피 % 의 염소 및 약 5 내지 80 부피 % 의 아르곤) 또는, 산소, 염소 및 아르곤의 혼합물(즉, 약 5 내지 40 부피 % 의 산소, 약 10 내지 60 부피 % 의 염소 및 약 30 내지 80 부피 % 의 아르곤) 또는, 염소, 아르곤 및 HBr 및/또는 BCl3의 혼합물(즉, 약 10 내지 90 부피 % 의 염소, 약 5 내지 80 부피 % 의 아르곤 및, 약 4 내지 25 부피 % 의 HBr 및/또는 BCl3) 또는, 산소, 염소, 아르곤 및 HBr 및/또는 HCl 의 혼합물(즉, 약 5 내지 20 부피 % 의 산소, 약 10 내지 60 부피 % 의 염소, 약 30 내지 80 부피 % 의 아르곤 및, 약 5 내지 20 부피 % 의 HBr 및/또는 HCl) 이고, 만약 반도체 기판(12)이 약 150 ℃ 이상의 온도로, 바람직하게는 약 150 ℃ 내지 약 500 ℃ 의 온도범위까지 가열된다면, 전극층(16)(즉, 플래티늄 전극층(16) 또는 이리듐 전극층(16)) 에칭을 위한 플라즈마 공정 장치는 에칭 가스의 고밀도 플라즈마내에서 전극층(16)을 높은 에칭속도(즉, 이리듐에 대해서는 700 Å/분 이상의 에칭속도, 그리고 플래티늄에 대해서는 1000 Å/분 이상의 에칭속도)로 에칭하고, 전체적으로 16e(도 9 및 10 에 가장 잘 도시되어 있슴)로 나타낸 에칭된 전극을 만든다. 에칭된 플래티늄 전극층(16e)(즉, 에칭된 플래티늄 전극층(16e) 또는 에칭된 이리듐 전극층(16e))은 모서리(16g)와 측벽(16s) 및 우수한 프로파일(즉, 우수한 플래티늄 또는 이리듐 프로파일)을 가지는 에칭된 전극층(16a, 16b, 16c 및 16d)(즉, 에칭된 플래티늄 또는 이리듐 전극층(16a, 16b, 16c 및 16d))을 포함하며; 그 프로파일은 다시 말해, 수평면에 대한 측벽(16s)(도 9 및 10 에 가장 잘 도시됨)의 각도(α)가, 이리듐의 경우에 약 80 도 또는 그 이상이고, 플래티늄의 경우에는 약 85 도 또는 그 이상, 바람직하게는 약 87° 또는 그 이상, 보다 바람직하게는 약 88.5° 또는 그 이상인 프로파일이다. 생산된 전극(즉, 생산된 플래티늄 전극)은 약 0.3 ㎛ 또는 그 미만의 거리 또는 간격 만큼 이격된다. 각 전극은 약 0.6 ㎛ 또는 그 미만, 바람직하게는 약 0.3 ㎛ 또는 그 미만의 크기를 가진다. 보다 바람직하게는, 각 전극은 약 0.6 ㎛ 또는 그 미만의 폭과 약 0.6 ㎛ 또는 그 미만의 높이를 가진다.
에칭된 전극층(16e)(즉, 에칭된 전극층(16a, 16b, 16c 및 16d))은 전극영역(즉, 플래티늄 영역 또는 이리듐 영역)의 연부(edge)로부터 연장하는 벽모양 구조부를 본질적으로 구비하지 않는다. 이러한 벽모양 구조물은 주로 "베일(veils)" 또는 "펜스(fences)" 또는 "래빗 이어(rabit ear)" 라 칭한다. 따라서, 본 발명의 방법은 본질적으로 무-베일(veil-less) 에칭된 전극층(16a, 16b, 16c 및 16d)을 생산한다. 생산된 에칭된 전극층(16a, 16b, 16c, 16d)은 기본적으로 무-베일(veil-less)이고 "펜스" 또는 "래빗 이어" 가 없기 때문에, 그 전극층들은 유전체(誘電體) BST 또는 PZT 층을 수용하고 그리고 반도체 소자(즉, 커패시턴스 구조)에서 전극으로서의 역할을 하는데 이상적으로 적합하다.
본 발명의 고밀도 플라즈마는 약 109/㎤, 바람직하게는 약 1011/㎤ 이상의 이온 농도를 가지는 본 발명의 에칭 가스의 플라즈마로서 정의할 수 있다. 고밀도 플라즈마의 공급원은, 전자 싸이클로트론 공명(ECR), 헬리콘 공명(helicon resonance) 또는 유도 결합 플라즈마(ICP)-형 공급원과 같은 어떠한 적절한 고밀도 공급원도 될 수 있다. 상기 3 가지 공급원 모두는 오늘날 생산장비로서 사용되고 있다. 가장 큰 차이점은, ECR 및 헬리콘 공급원은 플라즈마를 형성하고 유지하는데 외부 자장을 이용하는 반면, ICP 공급원은 그렇지 않다는 것이다.
본 발명을 위한 고밀도 플라즈마는, 웨이퍼(10)로의 이온 플럭스와 이온 가속에너지를 분리 또는 분할하는 어플라이드 머즈리얼즈 인코포레이티드에서 상표명 DPSTM으로 판매하는 것과 같은 분리 프라스마 공급원 에칭 챔버내에서 플라즈마를 유도 결합하여 생산 또는 공급하는 것이 보다 바람직하다. 에칭 챔버는 확대된 공정 윈도(window)의 이온 밀도를 완전히 독립적으로 제어할 수 있게 설계된다. 이는 유도 공급원을 통해 플라즈마를 생산함으로써 달성된다. 이온 가속 에너지 결정을 위해 에칭 챔버내의 음극은 rf 전기장에 대해 편향되어 있으며, 제 2 rf 공급원(즉, 유도 공급원)은 이온 플럭스를 결정한다. 큰 시이쓰(sheath) 전압이 발생되어 음극 편향을 방해하고 이온 에너지 및 이온 플럭스를 효과적으로 결합시키기 때문에, 이 제 2 rf 공급원은 용량성(capacitive)이 아니다(즉, 음극과 같은 전기장을 이용하지 않는다).
유도 플라즈마 공급원은 전극 보다 유전체 윈도를 통해 rf 전력을 결합한다. 전력은 코일내의 rf 전류로 부터 rf 자기장(전기장이 아니다)을 통해 결합된다. 이러한 rf 자장은 플라즈마에 침투하여, 플라즈마를 이온화하고 유지하는 rf 전기장을 유도(따라서, "유도 공급원"이라 한다)한다. 유도 전기장은 용량성 전극과 같이 큰 시이쓰 전압을 발생시키지 않고, 그에 따라 유도 공급원이 이온 플럭스에 지배적으로 영향을 미친다. rf 전력(통상적으로 공급원 전력 보다 적은 크기)의 대부분이 이온을 가속하는데 사용되기 때문에, 음극 편향 전력은 이온 플럭스를 결정하는데 작은 역할을 한다. 유도 플라즈마 공급원과 용량성 웨이퍼 편향의 조합에 의해, 상표명 DPSTM의 에칭 챔버와 같은 에칭 챔버내에서 웨이퍼에 도달하는 이온 에너지 및 이온 플럭스를 독립적으로 제어할 수 있다.
에칭 전극층(16a, 16b, 16c 및 16)을 생산하기 위해 전극층(16)을 에칭하는 본 발명의 고밀도 플라즈마 생산을 위한 상표명 DPSTM의 에칭 챔버는, 이하에서 간략히 설명하는 바와 같이 본 명세서에서 참고로 기재하고, 본 발명의 양도인에게 양도된, 1998년 5 월 19 일자로 발행된 "RF PLASMA REACTOR WITH HYBRID CONDUCTOR AND MULTI-RADIUS DOME CEILING" 라는 명칭의 미국 특허 제 5,753,044 호에 기재된 유도 결합 플라즈마 반응기의 상표명 DPSTM의 에칭 챔버들 중 어느 하나 일 수 있다. 미국 특허 제 5,753,044 호의 유도 결합 플라즈마 반응기의 두(2) 실시예의 설명을 위해 도 17 및 도 18 을 참조하면, 전체적으로 도면부호 90 으로 나타낸 유도 결합 RF 플라즈마 반응기가 도시되어 있으며, 그 반응기는 도면부호 92 로 나타낸 반응 챔버를 포함하고, 그 반응기에서는 중성(n) 입자, 양성(+) 입자 및, 음성(-)입자들의 고밀도 플라즈마(94)가 있다. 반응 챔버(92)는 접지된 전도성 원통형 측벽(60) 및 유전체(誘電體) 천정(62)을 구비한다. 유도 결합된 RF 플라즈마 반응기(90)는 웨이퍼(10)를 챔버(92)의 중앙에 지지하기 위한 웨이퍼 받침대(64)와, 그 웨이퍼 받침대(64) 또는 웨이퍼(10)의 상단 평면 근방에서 시작하여 챔버(92)의 상단을 향해 상방으로 연장하며 챔버(92)의 상부를 둘러싸는 원통형 인덕터 코일(68)과, 챔버(92) 내부로 에칭 가스를 공급하기 위한 에칭 가스 공급원(72) 및 가스 유입구(74)와, 챔버(92)내의 압력을 조절하기 위한 펌프(76)를 추가로 포함한다. 코일 인덕터(68)에는, 통상적인 액티브 RF 매치 네트워크(80)를통해, 플라즈마 공급원 전력 공급부 또는 RF 발생기(78)에 의해 전압이 공급되며, 그 코일 인덕터(68)의 상부 권선은 "전류가 흐르는 상태(hot)" 이고 그 하부 권선은 접지된다. 웨이퍼 받침대(64)는 편향 RF 전력 공급부 또는 발전기(84)에 연결된 내부 전도부(82)와 외부의 접지된 전도부(86)(내부 전도부(82)와 절연된)를 포함한다. 따라서, RF 발전기(78)에 의해 코일 인덕터(68)로 공급되는 플라즈마 공급원 전력 및 발전기(84)에 의해 웨이퍼 받침대(64)에 공급되는 DC 편향 RF 전력은 독립적으로 제어되는 RF 공급부이다. 편향 및 공급원 전력 공급부를 분리하는 것은, 공지된 기술에 따라, 이온 밀도 및 이온 에너지를 독립적으로 제어하는 것을 용이하게 한다. 유도 결합된 플라즈마로서의 고밀도 플라즈마(94)를 생성하기 위해, 코일 인덕터(68)가 챔버(92)에 인접하고 RF 공급원 전력 공급부 또는 RF 발전기(78)에 연결된다. 코일 인덕터(68)는, 고밀도 플라즈마(94)의 높은 이온 밀도를 유발 및 유지하는 RF 전력을 제공한다. 코일 인덕터(68)의 형상은, 반응 챔버(92)내에서 고밀도 플라즈마(94)의 플라즈마 이온 밀도의 나선형 분포의 상당 부분을 결정할 수 있다.
웨이퍼(10)를 가로지르는 방향으로의 고밀도 플라즈마(94)의 플라즈마 밀도 나선형 분포의 균일성은, 다중-반경 돔(dome)에서 천정(62)의 형상을 조정하고 그 천정(62)의 다수의 반경 중 하나를 각각 조정 또는 결정함으로써 (원추형 또는 반구형 지붕과 관련하여)개선될 수 있다. 도 17 의 특정 실시예에서, 다중-반경 돔 형상은 천정(62) 중심부 주위의 천정(62)의 곡면부가 어느정도 평탄하며, 그 천정(62)의 주변부는 급격한 곡면을 가진다.
도 18 에 도시한 바와 같이, 코일 인덕터(68)는, 당업계에 공지된 미러(mirror) 코일 형태로, RF 전력 공급원(78, 80)에 연결될 수 있다. 도 18 의 미러 코일 형태에서, RF 전력 공급원(78, 80)은 코일 인덕터(68)의 중심 권선에 연결되는데 대해, 코일 인덕터(68)의 상단 및 하단은 모두 접지된다. 미러 코일 형태는 코일 인덕터(68)에서의 최대 퍼텐셜을 줄이는 이점을 가진다.
전극층(16)(즉, 플래티늄 전극층(16) 또는 이리듐 전극층(16))을 에칭하는데 도 17 및 도 18 에 도시한 고밀도 플라즈마(94)와 같은 고밀도 플라즈마를 채용함으로써, 그리고 이하에서 설명할 공정 변수 하에서 에칭작업을 실행하기 전에 약 150 ℃ 이상의 온도로 반도체 기판(12)을 가열함으로써, 이리듐의 경우에 약 80 도 또는 그 이상이고, 플래티늄의 경우에는 약 85 도 또는 그 이상, 보다 바람직하게는 약 87° 또는 그 이상, 가장 바람직하게는 약 88.5° 또는 그 이상인 프로파일을 가지는 전극(즉, 플래티늄 전극 또는 이리듐 전극)을 구비한 반도체 소자가 생산된다. 전극들은 본질적으로 무-베일 즉, "펜스" 또는 "래빗 이어" 가 없다. 전극들은 약 0.3 ㎛ 또는 그 미만의 거리 또는 간격 만큼 이격된다. 각 전극은 약 0.6 ㎛ 또는 그 미만, 바람직하게는 약 0.3 ㎛ 또는 그 미만의 크기를 가진다. 보다 바람직하게는, 각 전극은 약 0.3 ㎛ 또는 그 미만의 폭과 약 0.6 ㎛ 또는 그 미만의 길이 및 약 0.6 ㎛ 또는 그 미만의 높이를 가진다.
전극층(16) 에칭에서, 도 17 및 도 18 의 유도 결합 RF 플라즈마 반응기(90)와 같은 적절한 유도 결합 RF 플라즈마 반응기에 바람직한 반응기 조건은 다음과 같다:
압력 0.1 내지 300 mTorr
코일 인덕터로의 RF 전력 100 내지 5000 watts
웨이퍼 받침대로의 RF 전력 50 내지 3000 watts
코일 인덕터에서의 RF 주파수 100 K 내지 300 MHz
웨이퍼 받침대에서 RF 주파수 100 K 내지 300 MHz
웨이퍼의 온도 150 내지 500 ℃
플래티늄 에칭속도 200 내지 6000 Å/분
보다 일반적으로, 도 17 및 18 의 유도 결합 플라즈마 반응기(90)와 같은 적절한 유도 결합 플라즈마 반응기에서 전극층(16)을 에칭하기 위한 공정 변수는, 표 6 에 기재한 바와 같이, 할로겐 가스(들)(즉, Cl2) 및 불활성가스(즉, 아르곤)를 포함한 가스들의 유량을 기초로 기재한 범위내에 놓인다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm Cl2Ar압력, mT코일 인덕터의RF 전력(Watts)웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)에칭속도(Å/분)코일 인덕터의RF 주파수웨이퍼 받침대의RF 주파수 30 내지 40020 내지 3000.1 내지 300100 내지 500050 내지 3000약 150 내지 500200 내지 6000100 K 내지 300 MHz100 K 내지 300 MHz 50 내지 25030 내지 20010 내지 100650 내지 2000100 내지 1000200 내지 400500 내지 3000400 K 내지 20 MHz400 K 내지 20 MHz 60 내지 15040 내지 10010 내지 40900 내지 1500150 내지 400250 내지 3501000 내지 20002 내지 13.5 MHz400 K 내지 13.5 MHz
또한 일반적으로, 도 17 및 18 의 유도 결합 플라즈마 반응기(90)와 같은 적절한 유도 결합 플라즈마 반응기에서 전극층(16)을 에칭하기 위한 공정 변수는, 표 7 에 기재한 바와 같이, 산소, 할로겐 가스(들)(즉, Cl2) 및 불활성가스(즉, 아르곤)를 포함한 가스들의 유량을 기초로 기재한 범위내에 놓인다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm O2Cl2Ar압력, mT코일 인덕터의RF 전력(Watts)웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)에칭속도(Å/분)코일 인덕터의RF 주파수웨이퍼 받침대의RF 주파수 10 내지 6030 내지 10050 내지 2500.1 내지 300100 내지 500050 내지 3000약 150 내지 500200 내지 6000100 K 내지 300 MHz100 K 내지 300 MHz 10 내지 4030 내지 70100 내지 20010 내지 100650 내지 2000100 내지 1000200 내지 400500 내지 3000400 K 내지 20 MHz400 K 내지 20 MHz 15 내지 3050 내지 70100 내지 15010 내지 40900 내지 1500150 내지 600250 내지 350500 내지 20002 내지 13.5 MHz400 K 내지 13.5 MHz
보다 일반적으로, 에칭 가스가 할로겐 가스(들)(즉, 염소), 불활성가스(들)(즉, 아르곤) 및 HBr 및/또는 BCl3의 혼합물인 경우, 도 17 및 18 의 유도 결합 플라즈마 반응기(90)와 같은 적절한 유도 결합 플라즈마 반응기에서 전극층(16)을 에칭하기 위한 공정 변수는, 표 8 에 기재한 바와 같이, 할로겐 가스(들)(즉, Cl2), 불활성가스(들)(즉, Ar) 및 HBr 및/또는 BCl3를 포함한 가스들의 유량을 기초로 기재한 범위내에 놓인다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm Cl2ArHBr 및/또는 BCl3압력, mT코일 인덕터의RF 전력(Watts)웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)에칭속도(Å/분)코일 인덕터의RF 주파수웨이퍼 받침대의RF 주파수 30 내지 40020 내지 3005 내지 700.1 내지 300100 내지 500050 내지 3000약 150 내지 500200 내지 6000100 K 내지 300 MHz100 K 내지 300 MHz 50 내지 25030 내지 2005 내지 4010 내지 100650 내지 2000100 내지 1000200 내지 400500 내지 3000400 K 내지 20 MHz400 K 내지 20 MHz 60 내지 15040 내지 1005 내지 2010 내지 40750 내지 1000150 내지 400250 내지 3501000 내지 20002 내지 13.5 MHz400 K 내지 13.5 MHz
또한 보다 일반적으로, 에칭 가스가 산소, 할로겐 가스(들)(즉, 염소), 불활성가스(들)(즉, 아르곤) 및 HBr 및/또는 BCl3의 혼합물인 경우, 도 17 및 18 의 유도 결합 플라즈마 반응기(90)와 같은 적절한 유도 결합 플라즈마 반응기에서 전극층(16)을 에칭하기 위한 공정 변수는, 표 9 에 기재한 바와 같이, 산소, 할로겐 가스(들)(즉, Cl2), 불활성가스(들)(즉, Ar) 및 HBr 및/또는 HCl 을 포함한 가스들의 속도를 기초로 기재한 범위내에 놓인다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm O2Cl2ArHBr 및/또는 HCl3압력, mT코일 인덕터의RF 전력(Watts)웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)에칭속도(Å/분)코일 인덕터의RF 주파수웨이퍼 받침대의RF 주파수 10 내지 6030 내지 10050 내지 25010 내지 600.1 내지 300100 내지 500050 내지 3000약 150 내지 500200 내지 6000100 K 내지 300 MHz100 K 내지 300 MHz 10 내지 4030 내지 70100 내지 20010 내지 4010 내지 100650 내지 2000100 내지 1000200 내지 400500 내지 3000400 K 내지 20 MHz400 K 내지 20 MHz 15 내지 3050 내지 70100 내지 15015 내지 3010 내지 40750 내지 1000150 내지 600250 내지 350500 내지 20002 내지 13.5 MHz400 K 내지 13.5 MHz
따라서, 바람직하게, 전술한 공정 조건들은 약 5 내지 500 sccm 범위의 유량을 가지는 에칭 가스의 유량을 기초로 한다. 소위 당업자는, 여기서 설명한 다른 공정 변수 뿐만 아니라, 표 5 및 6 의 공정 변수는 웨이퍼(10)의 크기에 따라 달라질 수 있다는 것을 이해할 것이다. 전술한 바와 같이, 에칭 가스는 할로겐(바람직하게는 염소)과 헬륨, 네온 및 아르곤으로 이루어진 그룹에서 선택된 불활성가스로 본질적으로 이루어지거나, 그 가스들로 이루어지며, 또는 그 가스들을 포함한다. 본 발명의 다른 바람직한 실시예에서, 에칭 가스는 산소와, 할로겐 (바람직하게는 염소)과, 헬륨, 네온 및 아르곤으로 이루어진 그룹에서 선택된 불활성가스로 본질적으로 이루어지거나, 그 가스들로 이루어지며, 또는 그 가스들을 포함한다. 바람직한 불활성가스는 아르곤이다. 마찬가지로 전술한 바와 같이, 에칭 가스는, 약 20 내지 95 부피 % 의 할로겐 가스(즉, 염소) 및 약 5 내지 80 부피 % 의 불활성가스(즉, 아르곤); 바람직하게는 약 40 내지 80 부피 % 의 할로겐 가스(즉, 염소) 및 약 20 내지 60 부피 % 의 불활성가스(즉, 아르곤); 보다 바람직하게는 약 55 내지 65 부피 % 의 할로겐 가스(즉, 염소) 및 약 35 내지 45 부피 % 의 불활성가스(즉, 아르곤)로 본질적으로 이루어지거나, 그 가스들로 이루어지며, 또는 그 가스들을 포함한다. 또한 전술한 바와 같이, 에칭 가스는, 약 5 내지 40 부피 % 의 산소, 약 10 내지 60 부피 % 의 할로겐 가스(즉, 염소) 및 약 30 내지 80 부피 % 의 불활성가스(즉, 아르곤); 바람직하게는 약 10 내지 30 부피 % 의 산소, 약 20 내지 50 부피 % 의 할로겐 가스(즉, 염소) 및 약 40 내지 70 부피 % 의 불활성가스(즉, 아르곤); 보다 바람직하게는 약 10 내지 20 부피 % 의 산소, 약 20 내지 30 부피 % 의 할로겐 가스(즉, 염소) 및 약 50 내지 70 부피 % 의 불활성가스(즉, 아르곤)로 본질적으로 이루어지거나, 그 가스들로 이루어지며, 또는 그 가스들을 포함한다. 본 발명의 또 다른 바람직한 실시예에서, 전술한 바와 같이, 에칭 가스는, 할로겐(바람직하게는 염소)과, 불활성가스와, HBr, BCl3및 그 혼합물로 이루어진 그룹에서 선택된 가스로 본질적으로 이루어지거나, 그 가스들로 이루어지며, 또는 그 가스들을 포함한다. 본 발명의 다른 바람직한 실시예에서, 전술한 바와 같이, 에칭 가스는, 산소와, 할로겐(바람직하게는 염소)과, 불활성가스와, HBr, BCl3및 그 혼합물로 이루어진 그룹에서 선택된 가스로 본질적으로 이루어지거나, 그 가스들로 이루어지며, 또는 그 가스들을 포함한다. 에칭 가스는, 약 10 내지 90 부피 % 의 할로겐 가스(즉, 염소), 약 5 내지 80 부피 % 의 불활성가스(즉, 아르곤), 약 10 내지 90 부피 % 의 HBr 및/또는 BCl3; 바람직하게는 약 40 내지 70 부피 % 의 할로겐 가스(즉, 염소), 약 25 내지 55 부피 % 의 불활성가스(즉, 아르곤), 약 5 내지 20 부피 % 의 HBr 및/또는 BCl3; 보다 바람직하게는 약 50 내지 60 부피 % 의 할로겐 가스(즉, 염소), 약 35 내지 45 부피 % 의 불활성가스(즉, 아르곤), 약 5 내지 15 부피 % 의 HBr 및/또는 BCl3로 본질적으로 이루어지거나, 그 가스들로 이루어지며, 또는 그 가스들을 포함한다. 또한 전술한 바와 같이, 에칭 가스는, 약 5 내지 20 부피 % 의 산소, 약 10 내지 60 부피 % 의 할로겐 가스(즉, 염소), 약 30 내지 80 부피 % 의 불활성가스(즉, 아르곤), 약 5 내지 20 부피 % 의 HBr 및/또는 HCl; 바람직하게는 약 5 내지 15 부피 % 의 산소, 약 20 내지 50 부피 % 의 할로겐 가스(즉, 염소), 약 40 내지 70 부피 % 의 불활성가스(즉, 아르곤), 약 5 내지 15 부피 % 의 HBr 및/또는 HCl; 보다 바람직하게는 약 5 내지 10 부피 % 의 산소, 약 20 내지 35 부피 % 의 할로겐 가스(즉, 염소), 약 40 내지 60 부피 % 의 불활성가스(즉, 아르곤), 약 5 내지 10 부피 % 의 HBr 및/또는 HCl로 본질적으로 이루어지거나, 그 가스들로 이루어지며, 또는 그 가스들을 포함한다. 따라서, 표 6 내지 9 에 기재된 각각의 공정 조건들은 에칭 가스 구성 및 부피 % 를 기초로 하고 있다.
본 발명의 바람직한 실시예에서, 마스크층(18a, 18b, 18c, 18d)은 Ti 및/또는 TiN, 바람직하게는 TiN1을 포함하며, 전극(16)은 이리듐 전극층(16)이고, 적절한 유도 결합 플라즈마 반응기에서 이리듐 전극층(16)을 에칭하기 위한 공정 변수는 표 10 에 개시한 바와 같이 산소, 할로겐 가스(들)(즉, Cl2), 불활성가스(들)(즉, 아르곤)를 포함한 가스들의 유량을 기초로 기재한 범위내에 놓인다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm O2Cl2Ar압력, mT코일 인덕터의RF 전력(Watts)웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)이리듐(Ir)에칭속도(Å/분)마스크층의 TiN 또는Ti 에 대한 Ir의감도(selectivity)코일 인덕터의RF 주파수웨이퍼 받침대의RF 주파수 10 내지 6030 내지 10050 내지 2500.1 내지 300100 내지 500050 내지 3000약 150 내지 500200 내지 60000.2 내지 50100 K 내지 300 MHz100 K 내지 300 MHz 10 내지 4030 내지 70100 내지 20010 내지 100650 내지 2000100 내지 1000200 내지 400500 내지 30001 내지 20400 K 내지 20 MHz400 K 내지 20 MHz 15 내지 3050 내지 70100 내지 15010 내지 40750 내지 1000150 내지 600250 내지 350500 내지 20006 내지 102 내지 13.5 MHz400 K 내지 13.5 MHz
에칭 가스가 산소, 할로겐 가스(들)(즉, 염소), 불활성가스(들)(즉, 아르곤), HBr 및/또는 HCl 의 혼합물일 때, 적절한 유도 결합 플라즈마 반응기에서Ti/TiN 마스크층(18)을 지지하는 이리듐 전극층(16)을 에칭하기 위한 공정 변수는 표 11 에 개시한 바와 같이 산소, 할로겐 가스(들)(즉, Cl2), 불활성가스(들)(즉, Ar), HBr 및/또는 HCl 를 포함한 가스들의 속도를 기초로 기재한 범위내에 놓인다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm O2Cl2ArHBr 및/또는 HCl압력, mT코일 인덕터의RF 전력(Watts)웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)이리듐(Ir)에칭속도(Å/분)마스크층의 TiN 또는Ti 에 대한 Ir의감도(selectivity)코일 인덕터의RF 주파수웨이퍼 받침대의RF 주파수 10 내지 6030 내지 10050 내지 25010 내지 600.1 내지 300100 내지 500050 내지 3000약 150 내지 500200 내지 60000.2 내지 50100 K 내지 300 MHz100 K 내지 300 MHz 10 내지 4030 내지 70100 내지 20010 내지 4010 내지 100650 내지 2000100 내지 1000200 내지 400500 내지 30001 내지 20400 K 내지 20 MHz400 K 내지 20 MHz 15 내지 3050 내지 70100 내지 15015 내지 3010 내지 40750 내지 1000150 내지 600250 내지 350500 내지 20006 내지 102 내지 13.5 MHz400 K 내지 13.5 MHz
도 2, 6, 8 및 10 에 도시된 본 발명의 실시예에서, 보호층(22a, 22b, 22c, 22d)은 에칭된 전극층(16a, 16b, 16c, 16d)의 모서리(16g)를 에칭 공정중에 보호한다. 도 11 및 12 에 도시된 바와 같이, 통상적으로, 마스크층(18a, 18b, 18c,18d)의 일부는 에칭공정중에 에칭되어, 보호층(22a, 22b, 22c, 22d)의 상단 또는 에칭된 전극층(16a, 16b, 16c, 16d)의 상단에 잔류 마스크층(18r)을 남긴다. 보호층(22a, 22b, 22c, 22d) 각각은, 특히 에칭공정에서 실질적으로 마스크층(18a, 18b, 18c, 18d) 전부를 제거하는 경우에, 에칭된 전극층(16a, 16b, 16c, 16d)의 모서리(16g)가 에칭중에 보호될 수 있게 한다. 에칭된 전극층(16a, 16b, 16c, 16d)의 모서리(16g)를 유지하는 것은, 에칭된 전극층(16a, 16b, 16c, 16d)을 생성하기 위한 전극층(16)의 에칭중에 형성되는 프로파일의 품질을 보호한다.
전극층(16a, 16b, 16c, 16d)을 생성하기 위해 전극층(16)을 에칭한 후에, (에칭 공정중에 완전히 제거되지 않았다면)잔류 마스크층(18r)은, 도 11 및 12 에 잘 도시된 바와 같이, 통상적으로 무-베일의 에칭된 전극층(16a, 16b, 16c, 16d)의 상단에 또는 실질적으로 무-베일의 에칭된 전극층(16a, 16b, 16c, 16d)에 의해 각각 지지되는 보호층(22a, 22b, 22c, 22d)의 상단에 잔류한다. 잔류 마스크층(18r)은 CHF3/Ar 플라즈마와 같은 적절한 방법 또는 수단으로 제거될 수 있다. 만약 잔류 마스크층(18r)이 Ti 및/또는 TiN 을 포함한다면, 그 잔류 마스크층(18r)은 상기 표 9 에 기재된 조건과 같은 적절한 방법 또는 수단에 의해 제거될 수 있다. 도 12 에 기재된 본 발명이 실시예에서도 이와 유사하게, 보호층(22a, 22b, 22c, 22d)은 그 보호층(22a, 22b, 22c, 22d)으로부터 잔류 마스크층(18r)을 제거한 후에 제거된다. 보호층(22a, 22b, 22c, 22d)은 어떠한 적절한 수단 및 방법에 의해서도 제거될 수 있다. 예를 들어, 보호층(22a, 22b, 22c, 22d)이 TiN 을 포함하는 경우, 표 12 에 기재된 공정 조건 및 이하의 장치에서, Metal Etch DPS CenturaTM라는 상표명의 공정장치의 DPSTM상표명의 챔버내에서 Ar/Cl2플라즈마로 제거할 수 있다.
공정 넓은 범위 바람직한 범위 최적의 조건
가스 유동, sccm Cl2Ar압력, mT코일 인덕터의RF 전력(Watts)웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)TiN 에칭속도(Å/분)코일 인덕터의RF 주파수웨이퍼 받침대의RF 주파수 20 내지 15020 내지 1000.5 내지 40500 내지 300050 내지 50020 내지 500500 내지 5000100 K 내지 300 MHz100 K 내지 300 MHz 30 내지 12030 내지 804 내지 30500 내지 200050 내지 30020 내지 1501000 내지 3500400 K 내지 20 MHz400 K 내지 20 MHz 40 내지 10040 내지 607 내지 14800 내지 120050 내지 15080 내지 1301500 내지 25002 내지 13.5 MHz400 K 내지 13.5 MHz
잔류 마스크층(18r)의 제거 후에, 또는 도 12 에 도시된 본 발명의 실시예를 위한 잔류 마스크층(18r) 및 보호층(22a, 22b, 22c, 22d)의 제거 후에, 도 13 및 14 의 무-베일의 에칭된 전극층 구조물이 남는다. 도 15 및 16 에 각각 도시된 바와 같이, 배리어층(14)은, 잔류 마스크층(18r)의 제거 중이나 그 후에(도 15 참조), 또는 보호층(22a, 22b, 22c, 22d) 및 잔류 마스크층(18r)의 제거 중이나 그후에(도 16 참조), 제거될 수 있다는 것을 주지하여야 한다.
도 1 에 도시된 본 발명의 실시예를 위한 패턴화된 레지스트(20)(즉, 레지스트 부재(20a, 20b, 20c, 20d)), 또는 도 2 에 도시된 본 발명의 실시예를 위한 패턴화된 레지스트(20)(즉, 레지스트 부재(20a, 20b, 20c, 20d)) 및/또는 마스크층(18a, 18b, 18c, 18d)은 어느 때라도 바람직하게는, 전극층(16)의 에칭 전에 제거될 수 있다는 것을 이해할 것이다.
이하에서는, 지금 까지 알려진 최적의 모드로서 본 발명을 한정하기 위한 것이 아니라 설명을 하기 위한 실험예를 이용하여 본 발명을 설명한다. 그러한 실험예에 기재된 농도, 혼합 비율, 온도, 압력, 비율, 합성물 등과 같은 모든 변수는 본 발명의 범위를 제한하기 위한 것이 아니다.
예 Ⅰ
테스트 반도체 웨이퍼 이하의 막 적층으로 형성되었다:
0.8㎛의 패턴화된 PR(photoresist)/5000Å산화물/100ÅTi/1000ÅPt/300ÅTiN
패턴화된 PR 테스트 반도체 웨이퍼의 최소 배선폭(feature size)은 0.3 ㎛ 블록(block) 및 0.25 ㎛ 간격이다. 산화물 마스크(즉, 마스크층)는, 미국 캘리포니아 95054-3299, 산타 클라라, 3050 보워스 에비뉴에 소재하는 Applied Material Inc 가 상표명 Oxide Etch MxP CenturaTM으로 판매하는 플라즈마 공정 장치의 산화물 에칭 챔버내에 개방(open)된다. 산화물 마스크를 개방하기 위한 에칭 가스는 약 68 부피 % 의 Ar 및 약 32 부피 % 의 CHF3를 포함한다. 반응기 조건 및 공정조건은 다음과 같다:
반응기 조건
압력 60 mTorr
RF 전력 850 watts
회전 자장 40 Gauss
테스트 웨이퍼의 온도 100 ℃
산화물 마스크 에칭속도 3000 Å/분
Ar 및 CHF 3 유량을 기초로한 공정 조건
CHF350 sccm
Ar 100 sccm
압력 mTorr 60 mTorr
RF 전력 밀도 850 watts
테스트 웨이퍼의 온도(℃) 100 ℃
산화물 마스크 에칭속도 3000 Å/분
자장(Gauss) 40 Gauss
상표명 Metal Etch MxP CenturaTM의 플라즈마 공정 장치의 ASP 챔버내에서 다음의 조건 및 극초단파 하향 O2/N2플라즈마를 이용하여 산화물 마스크로부터 포토레지스트를 박리하였다: 120 초, 250 ℃, 1400 W, 3000 sccm O2, 300 sccm N2, 2 Torr.
Ti 보호층은, 에칭 가스로서 Ar, Cl2, BCl3를 이용하고 상표명 Metal Etch DPS CenturaTM의 플라즈마 공정 장치의 DPSTM챔버내에서 다음의 반응기 조건 및 공정 조건으로 에칭되었다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭속도 2000 Å/분
Ar, Cl 2 , BCl 3 의 유량을 기초로한 공정 조건
Ar40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 밀도 900 watts
웨이퍼 받침대로의 RF 전력 밀도 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭속도 2000 Å/분
테스트 반도체 웨이퍼의 플래티늄층은, 에칭 가스로서 Ar, Cl2를 이용하고 상표명 Metal Etch DPS CenturaTM의 플라즈마 공정 장치의 DPSTM챔버내에서 다음의 반응기 조건 및 공정 조건으로 에칭되었다:
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 150 watts
테스트 웨이퍼의 온도 260 ℃
플래티늄 에칭속도 1500 Å/분
Ar, Cl 2 의 유량을 기초로한 공정 조건
Ar40 sccm
Cl260 sccm
압력 mTorr 12 mTorr
코일 인덕터로의 RF 전력 밀도 900 watts
웨이퍼 받침대로의 RF 전력 밀도 150 watts
테스트 웨이퍼의 온도(℃) 260 ℃
Pt 에칭속도(Å/분) 2000 Å/분
Pt/산화물 마스크의 감도(selectivity) 1:1
테스트 반도체 웨이퍼의 결과적으로 에칭된 플래티늄층을 도 19 에 도시하였으며, 그 도 19 에는 약 87 도의 플래티늄 프로파일이 도시되어 있다.
그 후, 6:1 HF 용액에서 산화물 마스크를 제거하여 도 20 에 도시된 무-베일 테스트 반도체 웨이퍼를 생산하였다. 남아있는 Ti 보호층은, 에칭 가스로서 Ar, BCl3, Cl2를 이용하고 상표명 Metal Etch DPS CenturaTM의 플라즈마 공정 장치의 DPSTM챔버내에서 다음의 반응기 조건 및 공정 조건으로 에칭되었다:
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭속도 2000 Å/분
Ar, Cl 2 , BCl 3 의 유량을 기초로한 공정 조건
Ar40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 밀도 900 watts
웨이퍼 받침대로의 RF 전력 밀도 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭속도 2000 Å/분
예 Ⅱ
테스트 반도체 웨이퍼 이하의 막 적층으로 형성되었다:
0.8㎛의 패턴화된 PR(photoresist)/5000Å 산화물/600Å TiN/2000Å Pt/300Å TiN
패턴화된 PR 테스트 반도체 웨이퍼의 최소 배선폭(feature size)은 0.25 ㎛ 블록 및 0.2 ㎛ 간격이다. 산화물 마스크(즉, 마스크층)는, 미국 캘리포니아 95054-3299, 산타 클라라, 3050 보워스 에비뉴에 소재하는 Applied Material Inc 가 상표명 Oxide Etch MxP CenturaTM으로 판매하는 플라즈마 공정 장치의 산화물 에칭 챔버내에 개방(open)된다. 산화물 마스크를 개방하기 위한 에칭 가스는 약 68 부피 % 의 Ar 및 약 32 부피 % 의 CHF3를 포함한다. 반응기 조건 및 공정 조건은 다음과 같다:
반응기 조건
압력 60 mTorr
RF 전력 850 watts
회전 자장 40 Gauss
테스트 웨이퍼의 온도 100 ℃
산화물 마스크 에칭속도 3000 Å/분
Ar 및 CHF 3 유량을 기초로한 공정 조건
CHF350 sccm
Ar 100 sccm
압력 mTorr 60 mTorr
RF 전력 밀도 850 watts
테스트 웨이퍼의 온도(℃) 100 ℃
산화물 마스크 에칭속도 3000 Å/분
자장(Gauss) 40 Gauss
상표명 Metal Etch MxP CenturaTM의 플라즈마 공정 장치의 ASP 챔버내에서 다음의 조건 및 극초단파 하향 O2/N2플라즈마를 이용하여 산화물 마스크로부터 포토레지스트를 박리하였다: 120 초, 250 ℃, 1400 W, 3000 sccm O2, 300 sccm N2, 2 Torr.
TiN 보호층은, 에칭 가스로서 Ar, Cl2, BCl3를 이용하고 상표명 Metal Etch DPS CenturaTM의 플라즈마 공정 장치의 DPSTM챔버내에서 다음의 반응기 조건 및 공정 조건으로 에칭되었다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
TiN 에칭속도 2000 Å/분
Ar, Cl 2 , BCl 3 의 유량을 기초로한 공정 조건
Ar40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 밀도 900 watts
웨이퍼 받침대로의 RF 전력 밀도 100 watts
테스트 웨이퍼의 온도 110 ℃
TiN 에칭속도 2000 Å/분
테스트 반도체 웨이퍼의 플래티늄층은, 에칭 가스로서 Ar, Cl2BCl3를 이용하고 상표명 Metal Etch DPS CenturaTM의 플라즈마 공정 장치의 DPSTM챔버내에서 다음의 반응기 조건 및 공정 조건으로 에칭되었다:
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 150 watts
테스트 웨이퍼의 온도 260 ℃
플래티늄 에칭속도 1500 Å/분
Ar, Cl 2 , BCl 3 의 유량을 기초로한 공정 조건
Ar40 sccm
Cl260 sccm
BCl310 sccm
압력 mTorr 12 mTorr
코일 인덕터로의 RF 전력 밀도 900 watts
웨이퍼 받침대로의 RF 전력 밀도 150 watts
테스트 웨이퍼의 온도(℃) 260 ℃
Pt 에칭속도(Å/분) 1500 Å/분
Pt/산화물 마스크의 감도(selectivity) 1:1
테스트 반도체 웨이퍼의 결과적으로 에칭된 플래티늄층을 도 23 에 도시하였으며, 그 도 23 에는 약 87 도의 플래티늄 프로파일이 도시되어 있다. 도 24 는 도 23 의 사진의 고저(高低)를 나타내는 도면으로서, 각 부분을 참조부호로서 나타냈다.
6:1 HF 용액에서 산화물 마스크를 제거하여 도 20 에 도시된 무-베일 테스트 반도체 웨이퍼를 생산하였다. 남아있는 Ti 보호층은, 에칭 가스로서 Ar, BCl3, Cl2를 이용하고 상표명 Metal Etch DPS CenturaTM의 플라즈마 공정 장치의 DPSTM챔버내에서 다음의 반응기 조건 및 공정 조건으로 에칭되었다:
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
TiN 에칭속도 2000 Å/분
Ar, Cl 2 , BCl 3 의 유량을 기초로한 공정 조건
Ar40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 밀도 900 watts
웨이퍼 받침대로의 RF 전력 밀도 100 watts
테스트 웨이퍼의 온도 110 ℃
TiN 에칭속도 2000 Å/분
예 Ⅲ
테스트 반도체 웨이퍼 이하의 막 적층으로 형성되었다:
1.2㎛ 패턴화된 PR(photoresist)/4000Å산화물/100ÅTi/2000ÅIr/1000ÅTiN
패턴화된 PR 테스트 반도체 웨이퍼의 최소 배선폭(feature size)은 2.5 ㎛ 블록(block) 및 4.0 ㎛ 간격이다. 산화물 마스크(즉, 마스크층)는, 미국 캘리포니아 95054-3299, 산타 클라라, 3050 보워스 에비뉴에 소재하는 Applied Material Inc 가 상표명 Oxide Etch MxP CenturaTM으로 판매하는 플라즈마 공정 장치의 산화물 에칭 챔버내에 개방된다. 산화물 마스크를 개방하기 위한 에칭 가스는 약 68부피 % 의 Ar 및 약 32 부피 % 의 CHF3를 포함한다. 반응기 조건 및 공정 조건은 다음과 같다:
반응기 조건
압력 60 mTorr
RF 전력 850 watts
회전 자장 40 Gauss
테스트 웨이퍼의 온도 100 ℃
산화물 마스크 에칭속도 3000 Å/분
Ar 및 CHF 3 유량을 기초로한 공정 조건
CHF350 sccm
Ar 100 sccm
압력 mTorr 60 mTorr
RF 전력 밀도 850 watts
테스트 웨이퍼의 온도(℃) 100 ℃
산화물 마스크 에칭속도 3000 Å/분
자장(Gauss) 40 Gauss
상표명 Metal Etch MxP CenturaTM의 플라즈마 공정 장치의 ASP 챔버내에서다음의 조건 및 극초단파 하향 O2/N2플라즈마를 이용하여 산화물 마스크로부터 포토레지스트를 박리하였다: 120 초, 250 ℃, 1400 W, 3000 sccm O2, 300 sccm N2, 2 Torr.
Ti 보호층은, 에칭 가스로서 Ar, Cl2, BCl3를 이용하고 상표명 Metal Etch DPS CenturaTM의 플라즈마 공정 장치의 DPSTM챔버내에서 다음의 반응기 조건 및 공정 조건으로 에칭되었다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭속도 2000 Å/분
Ar, Cl 2 , BCl 3 의 유량을 기초로한 공정 조건
Ar40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 밀도 900 watts
웨이퍼 받침대로의 RF 전력 밀도 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭속도 2000 Å/분
테스트 반도체 웨이퍼의 이리듐층은, 에칭 가스로서 O2, Ar, Cl2를 이용하고 상표명 Metal Etch DPS CenturaTM의 플라즈마 공정 장치의 DPSTM챔버내에서 다음의 반응기 조건 및 공정 조건으로 에칭되었다:
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 450 watts
테스트 웨이퍼의 온도 300 ℃
이리듐(Ir) 에칭속도 600 Å/분
O 2 , Ar, Cl 2 의 유량을 기초로한 공정 조건
O215 sccm
Ar100 sccm
Cl250 sccm
압력 mTorr 12 mTorr
코일 인덕터로의 RF 전력 밀도 900 watts
웨이퍼 받침대로의 RF 전력 밀도 450 watts
테스트 웨이퍼의 온도(℃) 300 ℃
Ir 에칭속도(Å/분) 600 Å/분
Ir/산화물 마스크의 감도(selectivity) 2:1
테스트 반도체 웨이퍼의 결과적으로 에칭된 이리듐층을 도 25 에 도시하였으며, 그 도 25 에는 약 85 도의 플래티늄 이리듐이 도시되어 있다. 도 26 는 도 25 의 사진의 고저를 나타내는 도면으로서, 각 부분을 참조부호로서 나타냈다.
그 후, 6:1 HF 용액에서 산화물 마스크를 제거하여 무-베일 테스트 반도체 웨이퍼를 생산하였다. 남아있는 Ti 보호층은, 에칭 가스로서 Ar, BCl3, Cl2를 이용하고 상표명 Metal Etch DPS CenturaTM의 플라즈마 공정 장치의 DPSTM챔버내에서 다음의 반응기 조건 및 공정 조건으로 에칭되었다:
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭속도 2000 Å/분
Ar, Cl 2 , BCl 3 의 유량을 기초로한 공정 조건
Ar40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 밀도 900 watts
웨이퍼 받침대로의 RF 전력 밀도 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭속도 2000 Å/분
예 Ⅳ
테스트 반도체 웨이퍼 이하의 막 적층으로 형성되었다:
1.2 ㎛의 패턴화된 PR(photoresist)/1000Å TiN/2000Å Ir/1000Å TiN
패턴화된 PR 테스트 반도체 웨이퍼의 최소 배선폭(feature size)은 2.5 ㎛ 블록(block) 및 4.0 ㎛ 간격이다. TiN 마스크(즉, 마스크층)는, 미국 캘리포니아 95054-3299, 산타 클라라, 3050 보워스 에비뉴에 소재하는 Applied Material Inc 가 상표명 Metal Etch DPS CenturaTM으로 판매하는 플라즈마 공정 장치의 금속 에칭 챔버내에 개방(open)된다. TiN 마스크를 개방하기 위한 에칭 가스는 약 68 부피 % 의 Ar 및 약 32 부피 % 의 Cl2를 포함한다. 반응기 조건 및 공정 조건은 다음과 같다:
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 1200 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
TiN 에칭속도 2000 Å/분
Ar 및 Cㅣ 2 유량을 기초로한 공정 조건
Ar 100 sccm
Cl250 sccm
압력 mTorr 12 mTorr
코일 인덕터로의 RF 전력 1200 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도(℃) 110 ℃
TiN 에칭속도 2000 Å/분
상표명 Metal Etch MxP CenturaTM의 플라즈마 공정 장치의 ASP 챔버내에서다음의 조건 및 극초단파 하향 O2/N2플라즈마를 이용하여 산화물 마스크로부터 포토레지스트를 박리하였다: 120 초, 250 ℃, 1400 W, 3000 sccm O2, 300 sccm N2, 2 Torr.
테스트 반도체 웨이퍼의 이리듐층은, 에칭 가스로서 O2, Ar, Cl2를 이용하고 상표명 Metal Etch DPS CenturaTM의 플라즈마 공정 장치의 DPSTM챔버내에서 다음의 반응기 조건 및 공정 조건으로 에칭되었다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 450 watts
테스트 웨이퍼의 온도 320 ℃
이리듐 에칭속도 600 Å/분
O 2 , Ar, Cl 2 의 유량을 기초로한 공정 조건
O215 sccm
Ar100 sccm
Cl250 sccm
압력, mTorr 12 mTorr
코일 인덕터로의 RF 전력 밀도 900 watts
웨이퍼 받침대로의 RF 전력 밀도 150 watts
테스트 웨이퍼의 온도(℃) 320 ℃
이리듐 에칭속도(Å/분) 1500 Å/분
Ir/TiN 마스크의 감도(selectivity) 10:1
테스트 반도체 웨이퍼의 결과적으로 에칭된 이리듐층을 도 27 에 도시하였으며, 그 도 27 에는 약 80 도의 이리듐 프로파일이 도시되어 있다. 도 28 는 도 27 의 사진의 고저를 나타내는 도면으로서, 각 부분을 참조부호로서 나타냈다.
남아있는 TiN 보호층은, 에칭 가스로서 Ar, Cl2를 이용하고 상표명 Metal Etch DPS CenturaTM의 플라즈마 공정 장치의 DPSTM챔버내에서 다음의 반응기 조건 및 공정 조건으로 에칭하는 것과 같은 적절한 방법 및/또는 제거될 수 있다:
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 1200 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
TiN 에칭속도 2000 Å/분
Ar, Cl 2 의 유량을 기초로한 공정 조건
Ar100 sccm
Cl250 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 밀도 1200 watts
웨이퍼 받침대로의 RF 전력 밀도 100 watts
테스트 웨이퍼의 온도 110 ℃
TiN 에칭속도 2000 Å/분
결론
따라서, 본 발명의 실시에 의해, 전극층(16) 에칭 방법이 제공된다. 에칭된 전극층(16)은, 수평면에 대한 측벽의 각도(α)가 약 80 도 또는 그 이상인 에칭된 전극층(16a, 16b, 16c, 16d)을 포함한다. 전극층(16a, 16b, 16c, 16d)들은 약 0.3 ㎛ 또는 그 미만의 거리 또는 간격만큼 이격된다. 각 전극층(16a, 16b, 16c, 16d)은 약 0.6 ㎛ 또는 그 미만, 바람직하게는 약 0.3 ㎛ 또는 그 미만의 크기를 가진다. 보다 바람직하게는 각 전극층(16a, 16b, 16c, 16d)이, 약 0.3 ㎛ 또는 그 미만의 폭과, 약 0.6 ㎛ 또는 그 미만의 길이와, 약 0.6 ㎛ 또는 그 미만의 높이를 가진다. 그렇게 만들어진 전극층(16a, 16b, 16c, 16d)은 실질적으로 "펜스(fence)"또는 "래빗 이어(rabbit ear)"도 없고 "무-베일(veil-less)" 이기 때문에, 반도체 소자 제조중에 유전체(예를 들어, BST 층)를 수용하는데 이상적으로 적합하다. 예 Ⅰ 의 에칭가스는 약 40 부피 % 의 Ar 및 약 60 부피 %의 Cl2로 이루어지고, 만들어진 에칭 플래티늄층은 약 87 도의 프로파일을 갖는다. 예 Ⅱ 에서, 에칭가스는 54.5 부피 %(약 55 부피 %) 의 Cl236.4 부피 %(약 36 부피 %)의 Ar 및 9.1 부피 % (약 9 부피 %)의 BCl3로 이루어지고, 결과적인 에칭 플래티늄층은 약 87 도의 플래티늄 프로파일을 갖는다. 예 Ⅲ 에서, 에칭가스는 약 9.1 부피 % 의 O2, 약 60.6 부피 % 의 아르곤, 약 30.3 부피 % 의 Cl2로 이루어지고, 만들어진 에칭 이리듐층의 이리듐 프로파일은 약 85 도가 된다. 예 Ⅳ 에서, 에칭가스는 약 9.1 부피 % 의 O2, 약 60.6 부피 % 의 아르곤, 약 30.3 부피 % 의 Cl2로 이루어지고, 만들어진 에칭 이리듐층의 이리듐 프로파일은 약 80 도가 된다.
따라서, 본 명세서에서 특정 실시예를 참조하여 본 발명을 설명하였지만, 이상의 설명은 소정 범위의 개량, 변경 및 대체를 고려한 것이며, 몇몇 경우에, 전술한 바와 같은 본 발명의 범주 및 사상내에서 다른 특징들을 이용하지 않고도 본원 발명의 특징들을 실현할 수 있다는 것을 알 수 있을 것이다. 그에 따라, 본원 발명의 본질적인 범위 및 사상을 벗어나지 않고도, 본원 발명의 내용에 맞게 특정 상황이나 재료를 적용하기위해 많은 개량을 할 수 있다. 본원 발명은, 본원 발명의 실시를 위한 최적의 모드로서 개시한 특정 실시예로 한정되는 것이 아니며, 첨부한 청구범위의 범위내의 모든 실시예 및 균등 발명은 모두 포함한다.

Claims (25)

  1. 기판상에 배치된 이리듐층 에칭 방법으로서:
    a) 이리듐층을 지지하는 기판을 마련하는 단계와;
    b) 상기 단계(a)의 기판을 약 150 ℃ 이상의 온도로 가열하는 단계와;
    c) 하나 이상의 에칭된 이리듐층을 지지하는 상기 기판을 제조하기 위해, 할로겐 함유 가스 및 불활성가스를 포함하는 에칭가스의 고밀도 플라즈마를 채용하여 상기 이리듐층을 에칭하는 단계를 포함하는 이리듐층 에칭 방법.
  2. 제 1 항에 있어서, 상기 에칭가스는 O2및 BCl3로 이루어진 그룹에서 선택된 가스를 추가로 포함하는 이리듐층 에칭 방법.
  3. 제 1 항에 있어서, 상기 에칭가스는 O2, HCl, HBr 및 그 혼합물 로 이루어진 그룹에서 선택된 가스를 추가로 포함하는 이리듐층 에칭 방법.
  4. 제 1 항에 있어서, 상기 에칭된 이리듐층은 약 80°이상의 이리듐 프로파일을 포함하는 이리듐층 에칭 방법.
  5. 제 1 항에 있어서, 상기 할로겐 함유 가스는 본질적으로 염소를 포함하고,상기 불활성가스는 본질적으로 아르곤을 포함하는 이리듐층 에칭 방법.
  6. 제 5 항에 있어서, 상기 에칭 가스는 본질적으로 염소, 아르곤 및 O2로 이루어진 이리듐층 에칭 방법.
  7. 제 1 항에 있어서, 상기 단계(a)의 이리듐층은, 상기 이리듐층의 선택된 부분상에 배치되어 상기 에칭 단계(c) 중에 이리듐층을 선택적으로 보호하는 마스크층을 추가적으로 포함하는 이리듐층 에칭 방법.
  8. 제 1 항에 있어서, 상기 단계(a)의 이리듐층은, 상기 이리듐층의 선택된 부분상에 배치되어 상기 에칭 단계(c) 중에 이리듐층을 선택적으로 보호하는 TiN 마스크층을 추가적으로 포함하는 이리듐층 에칭 방법.
  9. 제 1 항에 있어서, 상기 단계(a)의 이리듐층은, 상기 이리듐층의 선택된 부분상에 배치되어 상기 에칭 단계(c) 중에 이리듐층을 선택적으로 보호하는 Ti 마스크층을 추가적으로 포함하는 이리듐층 에칭 방법.
  10. 제 7 항에 있어서, 상기 단계(a)의 이리듐층은, 상기 마스크층과 상기 이리듐층 사이에서 상기 이리듐층의 선택된 부분상에 배치된 보호층을 추가적으로 포함하는 이리듐층 에칭 방법.
  11. 제 8 항에 있어서, 상기 단계(a)의 이리듐층은, 상기 TiN 마스크층과 상기 이리듐층 사이에서 상기 이리듐층의 선택된 부분상에 배치된 보호층을 추가적으로 포함하는 이리듐층 에칭 방법.
  12. 제 9 항에 있어서, 상기 단계(a)의 이리듐층은, 상기 Ti 마스크층과 상기 이리듐층 사이에서 상기 이리듐층의 선택된 부분상에 배치된 보호층을 추가적으로 포함하는 이리듐층 에칭 방법.
  13. 제 7 항에 있어서, 상기 에칭 단계(c) 후에 상기 마스크층을 제거하는 단계를 추가적으로 포함하는 이리듐층 에칭 방법.
  14. 제 1 항에 있어서, 상기 고밀도 플라즈마는 고밀도 유도 결합 플라즈마를 포함하는 이리듐층 에칭 방법.
  15. 제 14 항에 있어서, 상기 단계(a)의 이리듐층을 구비한 기판을 코일 인덕터 및 웨이퍼 받침대를 포함하는 고밀도 플라즈마 챔버내에 배치하는 단계와; 상기 고밀도 플라즈마 챔버내에서 이하의 공정 조건에 따라 상기 에칭 단계(c)를 실시하는 단계를 추가로 포함하는 이리듐층 에칭 방법.
    공정 변수
    에칭 가스 유동 50 내지 500 sccm
    압력, mTorr 0.1 내지 300 milliTorr
    코일 인덕터의 RF 전력(watts) 100 내지 5000 watts
    웨이퍼 받침대의 RF 전력(watts) 50 내지 3000 watts
    이리듐 에칭속도(Å/분) 200 내지 6000 Å/분
    코일 인덕터의 RF 주파수 100 K 내지 300 MHz
    웨이퍼 받침대의 RF 주파수 100 K 내지 300 MHz
  16. 제 8 항에 있어서, 상기 고밀도 플라즈마는 고밀도 유도 결합 플라즈마를 포함하고, 상기 에칭 가스는 O2를 추가적으로 포함하는 이리듐층 에칭 방법.
  17. 제 16 항에 있어서, 상기 단계(a)의 이리듐층을 구비한 기판을 코일 인덕터 및 웨이퍼 받침대를 포함하는 고밀도 플라즈마 챔버내에 배치하는 단계와; 상기 고밀도 플라즈마 챔버내에서 이하의 공정 조건에 따라 상기 에칭 단계(c)를 실시하는 단계를 추가로 포함하는 이리듐층 에칭 방법.
    공정 변수
    O25 내지 40 부피 %
    Cl210 내지 60 부피 %
    Ar 30 내지 80 부피 %
    압력, mTorr 0.1 내지 300 milliTorr
    코일 인덕터의 RF 전력(watts) 100 내지 5000 watts
    웨이퍼 받침대의 RF 전력(watts) 50 내지 3000 watts
    이리듐 에칭속도(Å/분) 200 내지 6000 Å/분
    코일 인덕터의 RF 주파수 100 K 내지 300 MHz
    웨이퍼 받침대의 RF 주파수 100 K 내지 300 MHz
  18. 이리듐 전극을 포함하는 커패시턴스 구조를 제조하는 방법으로서:
    a) 이리듐 전극층 및 상기 이리듐 전극층의 선택된 부분상에 배치된 하나 이상의 마스크층을 지지하는 기판을 마련하는 단계와;
    b) 상기 단계(a)의 기판을 약 150 ℃ 이상의 온도로 가열하는 단계와;
    c) 하나 이상의 이리듐 전극을 구비하는 커패시턴스 구조를 제조하기 위해, 염소 및 불활성가스를 포함하는 에칭가스의 플라즈마를 채용하여 상기 이리듐 전극층을 에칭하는 단계를 포함하는 제조 방법.
  19. 제 18 항의 방법에 따라 제조된 커패시턴스 구조.
  20. 반도체 소자 제조 방법으로서:
    a) 회로 요소가 형성된 기판상에 패턴화된 레지스트층과, TiN 마스크층 및이리듐 전극층을 형성하는 단계와;
    b) 상기 패턴화된 레지스트층과, 잔류 TiN 마스크층 및 이리듐 전극층을 지지하는 기판을 제조하기 위해, 에칭가스의 플라즈마를 채용하여 상기 이리듐 전극층으로부터 TiN 마스크층의 부분을 침투 및 제거하는 TiN 마스크층 부분 에칭 단계와;
    c) 상기 잔류 TiN 마스크층 및 이리듐 전극층을 지지하는 기판을 제조하기 위해, 상기 단계(b)의 패턴화된 레지스트층을 제거하는 단계와;
    d) 상기 단계(c)의 기판을 약 150 ℃ 이상의 온도로 가열하는 단계와;
    e) 하나 이상의 이리듐 전극을 구비하는 반도체 소자를 제조하기 위해, 염소 및 아르곤을 포함하는 에칭가스의 고밀도 플라즈마를 채용하여 상기 단계(d)의 이리듐 전극층을 에칭하는 단계를 포함하는 제조 방법.
  21. 제 20 항에 있어서, 상기 에칭 단계(e) 후에 잔류 TiN 마스크층을 제거하는 단계를 추가로 포함하는 제조 방법.
  22. 제 20 항에 있어서, 상기 단계(e)의 고밀도 플라즈마 에칭가스는 산소, 염소 및 아르곤을 필수적으로 포함하는 제조 방법.
  23. 기판상에 배치된 이리듐 전극층을 에칭하는 방법으로서:
    a) 이리듐 전극층과, 그 이리듐 전극층상의 보호층과, 그 보호층상의 Ti 마스크층과, 그 Ti 마스크층상의 패턴화된 레지스트층을 지지하는 기판을 마련하는 단계와;
    b) 상기 보호층의 일부를 노출시키기 위해, 그리고 이리듐 전극층과, 그 이리듐 전극층상의 보호층과, 그 보호층상의 잔류 Ti 마스크층과, 그 잔류 Ti 마스크층상의 패턴화된 레지스트층을 지지하는 기판을 제조하기 위해, 에칭가스의 플라즈마를 채용하여 상기 이리듐 전극층으로부터 Ti 마스크층의 부분을 침투 및 제거하는 Ti 마스크층 부분 에칭 단계와;
    c) 이리듐 전극층과, 그 이리듐 전극층상의 보호층과, 그 보호층상의 잔류 마스크층을 지지하는 기판을 제조하기 위해, 상기 단계(b)의 잔류 Ti 마스크층으로부터 상기 패턴화된 레지스트층을 제거하는 단계와;
    d) 상기 단계(c)의 기판을 약 150 ℃ 이상의 온도로 가열하는 단계와;
    e) 상기 이리듐 전극층의 일부를 노출시키기 위해, 그리고 이리듐 전극층과, 그 이리듐 전극층상의 잔류 보호층과, 그 잔류 보호층상의 잔류 마스크층을 지지하는 기판을 제조하기 위해, 상기 보호층의 노출 부분을 에칭하는 단계와;
    f) 에칭된 이리듐 전극층과, 그 에칭된 이리듐 전극층상의 잔류 보호층과, 그 잔류 보호층상의 잔류 Ti 마스크층을 지지하는 기판을 제조하기 위해, 산소, 염소 및 아르곤을 포함하는 에칭가스의 고밀도 플라즈마를 채용하여 상기 단계(e)의 이리듐 전극층의 노출된 부분을 에칭하는 단계를 포함하는 제조 방법.
  24. 기판상에 배치된 이리듐 전극층을 에칭하는 방법으로서:
    a) 이리듐 전극층과, 그 이리듐 전극층상의 보호층과, 그 보호층상의 마스크층과, 그 마스크층상의 패턴화된 레지스트층을 지지하는 기판을 마련하는 단계와;
    b) 상기 보호층의 일부를 노출시키기 위해, 그리고 이리듐 전극층과, 그 이리듐 전극층상의 보호층과, 그 보호층상의 잔류 마스크층과, 그 잔류 마스크층상의 패턴화된 레지스트층을 지지하는 기판을 제조하기 위해, 에칭가스의 플라즈마를 채용하여 상기 이리듐 전극층으로부터 마스크층의 부분을 침투 및 제거하는 마스크층 부분 에칭 단계와;
    c) 상기 이리듐 전극층의 일부를 노출시키기 위해, 그리고 이리듐 전극층과, 그 이리듐 전극층상의 잔류 보호층과, 그 잔류 보호층상의 잔류 마스크층과, 그 잔류 마스크층상의 패턴화된 레지스트층을 지지하는 기판을 제조하기 위해, 상기 보호층의 노출 부분을 에칭하는 단계와;
    d) 이리듐 전극층과, 그 이리듐 전극층상의 잔류 보호층과, 그 잔류 보호층상의 잔류 마스크층을 지지하는 기판을 제조하기 위해, 상기 단계(c)의 잔류 마스크층으로부터 상기 패턴화된 레지스트층을 제거하는 단계와;
    e) 상기 단계(d)의 기판을 약 150 ℃ 이상의 온도로 가열하는 단계와;
    f) 에칭된 이리듐 전극층과, 그 에칭된 이리듐 전극층상의 잔류 보호층과, 그 잔류 보호층상의 잔류 마스크층을 지지하는 기판을 제조하기 위해, 염소 및 불활성가스를 포함하는 에칭가스의 고밀도 플라즈마를 채용하여 상기 단계(d)의 이리듐 전극층의 노출된 부분을 에칭하는 단계를 포함하는 제조 방법.
  25. 제 24 항에 있어서, 상기 단계(f)의 에칭가스는 산소, HCl, HBr 및 그 혼합물로 이루어진 그룹에서 선택된 가스를 추가로 포함하는 제조방법.
KR1020017010479A 1999-02-17 2000-02-16 이방성 프로파일을 위한 이리듐 에칭 방법 KR20010112271A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/251,633 1999-02-17
US09/251,633 US6265318B1 (en) 1998-01-13 1999-02-17 Iridium etchant methods for anisotropic profile
PCT/US2000/004058 WO2000049650A1 (en) 1999-02-17 2000-02-16 Iridium etching methods for anisotrophic profile

Publications (1)

Publication Number Publication Date
KR20010112271A true KR20010112271A (ko) 2001-12-20

Family

ID=22952790

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017010479A KR20010112271A (ko) 1999-02-17 2000-02-16 이방성 프로파일을 위한 이리듐 에칭 방법

Country Status (5)

Country Link
US (1) US6265318B1 (ko)
JP (1) JP2002537653A (ko)
KR (1) KR20010112271A (ko)
TW (1) TW493231B (ko)
WO (1) WO2000049650A1 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6018065A (en) * 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6362822B1 (en) * 1999-03-12 2002-03-26 Terminal Reality, Inc. Lighting and shadowing methods and arrangements for use in computer graphic simulations
US6436838B1 (en) * 2000-04-21 2002-08-20 Applied Materials, Inc. Method of patterning lead zirconium titanate and barium strontium titanate
FR2811316B1 (fr) * 2000-07-06 2003-01-10 Saint Gobain Substrat texture transparent et procedes pour l'obtenir
US6547979B1 (en) * 2000-08-31 2003-04-15 Micron Technology, Inc. Methods of enhancing selectivity of etching silicon dioxide relative to one or more organic substances; and plasma reaction chambers
KR100825130B1 (ko) * 2001-07-06 2008-04-24 어플라이드 머티어리얼스, 인코포레이티드 금속 에칭 공정 동안 플라즈마 에칭 챔버내에서 파티클을감소시키는 방법
US6541380B2 (en) 2001-07-24 2003-04-01 Applied Materials Inc. Plasma etching process for metals and metal oxides, including metals and metal oxides inert to oxidation
US20030143853A1 (en) * 2002-01-31 2003-07-31 Celii Francis G. FeRAM capacitor stack etch
JP4865978B2 (ja) * 2002-02-28 2012-02-01 富士通セミコンダクター株式会社 半導体装置の製造方法
US20030176073A1 (en) * 2002-03-12 2003-09-18 Chentsau Ying Plasma etching of Ir and PZT using a hard mask and C12/N2/O2 and C12/CHF3/O2 chemistry
US20040053506A1 (en) * 2002-07-19 2004-03-18 Yao-Sheng Lee High temperature anisotropic etching of multi-layer structures
US6943039B2 (en) * 2003-02-11 2005-09-13 Applied Materials Inc. Method of etching ferroelectric layers
US7364665B2 (en) * 2004-10-21 2008-04-29 Sharp Laboratories Of America, Inc. Selective etching processes of SiO2 , Ti and In2 O3 thin films for FeRAM device applications
JP4598639B2 (ja) * 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
JP5590906B2 (ja) * 2010-02-09 2014-09-17 キヤノン株式会社 液体吐出ヘッド用基板の製造方法
JP5766027B2 (ja) * 2011-05-20 2015-08-19 富士フイルム株式会社 ドライエッチング方法及びデバイス製造方法
US20130043559A1 (en) * 2011-08-17 2013-02-21 International Business Machines Corporation Trench formation in substrate
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11322364B2 (en) * 2020-04-01 2022-05-03 Tokyo Electron Limited Method of patterning a metal film with improved sidewall roughness

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4351840A (en) 1981-09-18 1982-09-28 Pfizer Inc. Antibacterial esters of resorcinol with ampicillin and penicillanic acid 1,1-dioxide derivatives
JPS5916334A (ja) 1982-07-19 1984-01-27 Matsushita Electronics Corp ドライエツチング方法
JP2947818B2 (ja) 1988-07-27 1999-09-13 株式会社日立製作所 微細孔への金属穴埋め方法
US4889588A (en) 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
US4902377A (en) 1989-05-23 1990-02-20 Motorola, Inc. Sloped contact etch process
US5188704A (en) 1989-10-20 1993-02-23 International Business Machines Corporation Selective silicon nitride plasma etching
JPH0590224A (ja) 1991-01-22 1993-04-09 Toshiba Corp 半導体装置の製造方法
US5232747A (en) 1992-07-27 1993-08-03 Radiant Technologies Platinum-aluminum connection system
US5258093A (en) 1992-12-21 1993-11-02 Motorola, Inc. Procss for fabricating a ferroelectric capacitor in a semiconductor device
JPH07130702A (ja) 1993-11-08 1995-05-19 Fujitsu Ltd 白金又はパラジウムよりなる金属膜のパターニング方法
KR0171060B1 (ko) 1993-12-28 1999-03-30 스기야마 카즈히코 반도체장치의 제조방법
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
JP3460347B2 (ja) 1994-03-30 2003-10-27 松下電器産業株式会社 半導体装置の製造方法
JP3122579B2 (ja) 1994-07-27 2001-01-09 シャープ株式会社 Pt膜のエッチング方法
US5622893A (en) 1994-08-01 1997-04-22 Texas Instruments Incorporated Method of forming conductive noble-metal-insulator-alloy barrier layer for high-dielectric-constant material electrodes
US5554564A (en) 1994-08-01 1996-09-10 Texas Instruments Incorporated Pre-oxidizing high-dielectric-constant material electrodes
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP2953974B2 (ja) 1995-02-03 1999-09-27 松下電子工業株式会社 半導体装置の製造方法
WO1996027208A1 (en) * 1995-02-28 1996-09-06 Micron Technology, Inc. Method for forming a structure using redeposition
KR100322695B1 (ko) 1995-03-20 2002-05-13 윤종용 강유전성캐패시터의제조방법
US5705443A (en) * 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
KR100199094B1 (ko) 1995-10-18 1999-06-15 구본준 반도체 소자의 커패시터 제조방법
KR100413649B1 (ko) 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
JPH09251983A (ja) 1996-03-15 1997-09-22 Rohm Co Ltd ドライエッチング方法
US5907791A (en) 1996-04-25 1999-05-25 Lucent Technologies Inc. Method of making semiconductor devices by patterning a wafer having a non-planar surface
JP3388089B2 (ja) 1996-04-25 2003-03-17 シャープ株式会社 不揮発性半導体メモリ素子の製造方法
WO1998000859A1 (en) 1996-07-03 1998-01-08 Tegal Corporation Method and apparatus for etching a semiconductor wafer
TW365691B (en) 1997-02-05 1999-08-01 Samsung Electronics Co Ltd Method for etching Pt film of semiconductor device
EP0865079A3 (en) 1997-03-13 1999-10-20 Applied Materials, Inc. A method for removing redeposited veils from etched platinum surfaces
JPH10301614A (ja) 1997-04-28 1998-11-13 Fanuc Ltd 数値制御装置
JPH10340893A (ja) 1997-06-09 1998-12-22 Sony Corp 電子薄膜材料のエッチング方法
DE19728473A1 (de) 1997-07-03 1999-01-07 Siemens Ag Strukturierungsverfahren
JPH1131682A (ja) 1997-07-11 1999-02-02 Oki Electric Ind Co Ltd ドライエッチング方法および強誘電体メモリ素子の製造方法
JPH11111695A (ja) 1997-10-07 1999-04-23 Fujitsu Ltd 白金薄膜パターンの形成方法及び半導体装置の製造方法
KR100252047B1 (ko) * 1997-11-13 2000-04-15 윤종용 하드마스크를 이용한 금속층 식각방법

Also Published As

Publication number Publication date
US6265318B1 (en) 2001-07-24
WO2000049650A1 (en) 2000-08-24
JP2002537653A (ja) 2002-11-05
WO2000049650A9 (en) 2001-09-20
TW493231B (en) 2002-07-01

Similar Documents

Publication Publication Date Title
US6087265A (en) Method for removing redeposited veils from etched platinum
US6777342B2 (en) Method of plasma etching platinum
US6749770B2 (en) Method of etching an anisotropic profile in platinum
US6919168B2 (en) Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
KR19980080224A (ko) 에칭되는 백금으로부터 재증착된 베일을 제거하기 위한 방법
KR20010112271A (ko) 이방성 프로파일을 위한 이리듐 에칭 방법
US6368517B1 (en) Method for preventing corrosion of a dielectric material
US6492280B1 (en) Method and apparatus for etching a semiconductor wafer with features having vertical sidewalls
JP4451934B2 (ja) 導電層をエッチングする方法及び集積回路
US6127277A (en) Method and apparatus for etching a semiconductor wafer with features having vertical sidewalls
US7709343B2 (en) Use of a plasma source to form a layer during the formation of a semiconductor device
KR100756742B1 (ko) 고밀도 ram 커패시터의 전극을 패턴화하기 위한 개선된마스킹 방법 및 에칭 공정
US6716769B1 (en) Use of a plasma source to form a layer during the formation of a semiconductor device
KR20000022428A (ko) 반도체 웨이퍼를 식각하는 방법 및 장치

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid