WO1998021745A1 - Procede de fabrication d'un dispositif semi-conducteur - Google Patents

Procede de fabrication d'un dispositif semi-conducteur Download PDF

Info

Publication number
WO1998021745A1
WO1998021745A1 PCT/JP1997/004099 JP9704099W WO9821745A1 WO 1998021745 A1 WO1998021745 A1 WO 1998021745A1 JP 9704099 W JP9704099 W JP 9704099W WO 9821745 A1 WO9821745 A1 WO 9821745A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
etching
fluorine
plasma
forming
Prior art date
Application number
PCT/JP1997/004099
Other languages
English (en)
French (fr)
Inventor
Takashi Akahori
Shuichi Ishizuka
Shunichi Endo
Takeshi Aoki
Tadashi Hirata
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to EP97911513A priority Critical patent/EP0933802B1/en
Priority to DE69712080T priority patent/DE69712080T2/de
Priority to US09/101,308 priority patent/US6727182B2/en
Publication of WO1998021745A1 publication Critical patent/WO1998021745A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a method for manufacturing a semiconductor device using a fluorine-added carbon film.
  • a typical example of this interlayer insulating film is the strength of the SiO 2 film.
  • S I_ ⁇ 2 is 4 relative dielectric constant of approximately, which are forces poured into discovering less material than.
  • the realization power of the Si OF having a relative dielectric constant of 3.5 is being advanced, and the present inventor is focusing on a fluorine-added carbon film having a lower relative dielectric constant. .
  • the present invention has been made in view of the problems relating to the etching process, among which the etching of a fluorine-added carbon film can be performed, and the practical use of an interlayer insulating film using a fluorine-added carbon film has been made. Its primary purpose.
  • an insulating film made of a fluorine-added carbon film is formed on an object to be processed: E and
  • the present invention specifically includes, for example, the following steps.
  • the resist film remains on the insulating film at the time when a predetermined concave force is formed in the insulating film by etching.
  • the etching rate of the fluorine-added carbon film by oxygen plasma and the etching rate of the resist film are well aligned.
  • the second invention of the present application includes a step of forming an insulating film made of a fluorine-added carbon film on the object to be processed,
  • a step of forming a pattern on the insulating film by a resist film and thereafter, a process gas containing an oxygen plasma generation gas and a silane-based compound gas is formed into a plasma, and the plasma is used to etch the fluorine-added carbon film to form a resist film. And a step of removing.
  • the third invention of the present application includes a step of forming an insulating film made of a fluorine-added carbon film on the object to be processed,
  • the protective film may be, for example, an insulating film or a conductive film.
  • the fourth invention of the present application is a step of forming an insulating film made of a fluorine-added carbon film on the object to be processed,
  • FIG. 1 is an explanatory view showing a part of a semiconductor device manufactured by the method of the present invention.
  • FIG. 2 is an explanatory diagram showing an embodiment of the method of the present invention.
  • FIG. 3 is an explanatory view showing another embodiment of the method of the present invention.
  • FIG. 4 is an explanatory diagram of a method compared with the method of the present invention.
  • FIG. 5 is a vertical side view showing an example of a plasma processing apparatus for carrying out the method of the present invention.
  • FIG. 6 is an explanatory diagram showing still another embodiment of the present invention.
  • FIG. 7 is an explanatory diagram showing still another embodiment of the present invention.
  • FIG. 8 is a characteristic diagram showing the etching characteristics of the CF film.
  • the embodiment of the present invention is characterized by a step of etching a fluorine-added carbon film (hereinafter referred to as a “CF film”).
  • CF film fluorine-added carbon film
  • IS ⁇ l5 made of, for example, aluminum is formed in multiple layers, and upper and lower 12 ⁇ 15, 15 (not shown) are embedded in via holes formed in the interlayer insulating film 16. For example, they are connected to each other by a conductive layer 17 made of W.
  • FIG. 2 (a) shows a state in which, for example, an n-th layer aluminum wiring is formed.
  • a first-layer interlayer insulating film made of a CF film is formed on the insulating film 14.
  • the membrane is formed with 16 forces.
  • the CF film is formed by plasma CVD (Chemical
  • Vapor deposition method can be used to form a film.
  • a film is formed to a thickness of, for example, 0.7 zm by using an ECR (Electron Cyclotron Resonance) plasma processing apparatus 3 which will be described later as an etching apparatus.
  • ECR Electro Cyclotron Resonance
  • a resist film 18 is formed on the surface of the CF film (interlayer insulating film 16) with a predetermined pattern.
  • the resist film 18 is, for example, a spin coater. It is formed by applying a resist solution to the wafer surface by the coating method, exposing and developing. Organic materials such as azide compounds, polyvinylphenols, methacrylic acid compounds, novolak resins, and polystyrene resins are used as resist materials.
  • the wafer surface is irradiated with O 2 plasma (oxygen plasma) to etch the CF film.
  • O 2 plasma oxygen plasma
  • 0 9 active species with scattered becomes C one F bonds and C one C bond cleavage to CO or C_ ⁇ 2, is also such as F 2 for F Scatter. It will be chemically etched CF film by 0 2 plasma thus.
  • resist film 1 8 would be also removed by I ⁇ etched by O n plasma for an organic material. Therefore, the etching of the CF film and the removal of the resist film 18 by etching proceed simultaneously, but before the entire resist film 18 is removed by etching, the etching from the CF film surface to the aluminum wiring 15 is completed.
  • a planned via hole 19 is formed as shown in FIG. 2 (d).
  • the thickness of the resist film 18 may be set by previously grasping the removal speed of the resist film 18 by etching and the etching speed of the CF film.
  • the removal speed of the resist film 18 by etching is the same as the etching speed of the CF film, the surface strength of the CF film is flattened. Generally, after the resist film 18 is removed, the surface of the interlayer insulating film is flattened by CMP or the like; a ftt-like polishing process is performed. There is an advantage that it becomes. If the etching speed of the resist film is different from the etching speed of the CF film, make sure that the resist film 18 is not completely removed before the intended hole force is formed (before the aluminum surface is etched). Power is desirable.
  • the resist film 18 remains when the hole is formed, then the resist film 18
  • the etching end point for example, by detecting based on the change in CO and C_ ⁇ 2 emission amount, it can be removed and the formation of holes in the resist film without varying the thickness of the CF film.
  • FIG. Figure 3 is a diagram showing a state of such etching, the side wall of the hole 1 9 at the same time as etching, S I_ ⁇ by reaction with S i H 4 and ⁇ 2.
  • a protective film 19a is formed, and etching of the side wall is suppressed.
  • the method of the present invention can be carried out, for example, by a plasma processing apparatus shown in FIG.
  • This apparatus has a vacuum vessel 2 made of aluminum or the like, and this vacuum vessel 2 is connected to a cylindrical plasma chamber 21 located above and generating plasma, and to a lower part thereof. And a cylindrical processing chamber 22 having a larger diameter than the plasma chamber 21.
  • the vacuum vessel 2 is grounded and is at a zero-potential.
  • the upper end of the vacuum vessel 2 is provided with a transparent window 23 made of a material such as quartz, which is opened and allows microwaves to pass therethrough. Is to be maintained.
  • a high-frequency power supply section 24 as a high-frequency supply means for generating plasma of 2.45 GHz is connected.
  • the microwave M generated in the high-frequency power supply 24 is guided by the waveguide 25 and introduced into the plasma chamber 21 from the transmission window 23. It has become.
  • a plasma gas nozzle 26 is arranged on the side wall of the plasma chamber 21 evenly along the circumferential direction thereof.
  • a ring-shaped main electromagnetic coil 27 is arranged as a magnetic field forming means in close proximity to the outer periphery of the side wall that partitions the plasma chamber 21, and at the lower side of the film forming chamber 22.
  • a ring-shaped auxiliary electromagnetic coil 28 is arranged to form a magnetic field B extending from the top to the bottom, for example, 875 gauss, from the plasma chamber 21 to the processing chamber 22. ECR plasma conditions are satisfied. Note that a permanent magnet may be used instead of the electromagnetic coil.
  • this device constitutes an electron cyclotron resonance (ECR) plasma treatment.
  • the mounting table 3 includes a ceramic body 33 having a built-in heater 32 provided on a main body 31 made of, for example, aluminum, and the mounting surface is configured as an electrostatic chuck. Further, for example, a high-frequency power supply unit 34 is connected to the main body 31 of the mounting table 3 so as to apply a bias voltage for drawing ions into the wafer W. The bias voltage electrode is also used, for example, as the electrode of the electrostatic chuck. An exhaust pipe 35 is connected to the bottom of the vacuum vessel 2.
  • Reference numeral 30 denotes a ring-shaped film-forming gas supply unit used for performing processing.
  • a gate valve (not shown) provided on the side wall of the vacuum vessel 2 is opened, and the wafer 10 is loaded by a load arm (not shown) by a transfer arm (not shown). It is carried from the work room and placed on the mounting table 3.
  • the 0 2 gas from the plasma gas nozzles 26 into the bra Zuma chamber 21 introduced
  • a silane-based gas such as SiH 4 gas is introduced from the gas supply unit 30.
  • the inside of the vacuum vessel 2 is maintained at a predetermined process pressure, and a 13.56 MHz bias voltage is applied to the mounting table 3 by the high frequency power supply unit 34.
  • the 2.45 GHz high frequency (microwave) from the high frequency power supply 24 for plasma generation is transported through the waveguide 25 and reaches the ceiling of the vacuum vessel 2, passes through the transmission window 23 here, and passes through the microwave.
  • M is introduced into the plasma chamber 21.
  • a magnetic field B generated by the electromagnetic coils 27 and 28 is applied from above to below with a strength of, for example, 875 gauss.
  • the interaction between the magnetic field B and the microphone mouth wave M causes occurs electron cyclotron resonance to induce E (electric field) XB (magnetic field), ⁇ 2 gas by this resonance is plasma, is and densified.
  • the plasma flow that has flowed into the processing chamber 22 from the plasma generation chamber 21 is drawn into the wafer 10 by the bias voltage, and the etching of the surface of the wafer 10 is performed.
  • C 4 F 8 gas and C are used as a film forming gas
  • an Ar gas is used as a plasma gas to form a CF film on the wafer 10
  • an azide compound-based resist film is used to form a CF film on the CF film.
  • a pattern formed was prepared.
  • ⁇ 2 gas was supplied at a flow rate of l OO sc cm from the plasma gas nozzles 26, the width 0. 3 m, ho Asupeku Ratio 1 one
  • the resist film could be etched at the same time.
  • the process pressure was set to 0.2 Pa
  • the microwave power to 2500 W
  • the bias power to 1500 W
  • the surface temperature of the mounting table 3 to 270 ° C.
  • the film 41 is formed.
  • the TiN film 41 has, for example, Ti as an overnight get, and Ar gas and N. Monkey in be formed by reactive sputtering using a gas 0
  • a mask is formed on the surface of the TiN film 41 with a resist film 42 (FIG. 6B).
  • the swelling of the CF film is omitted.
  • Etchingu to T i N film 4 1 to the surface of FIG. 6 BC for example, as shown in (c) 1 eta CF film Due to the 4 plasma gas after it. This etching can be performed by, for example, a plasma processing apparatus. Thereafter, when the wafer surface is irradiated with 2 plasma, the CF film 4 is etched, and the resist film 42 is also removed by etching (FIG. 6 (d)).
  • the TiN film 41 serves as a mask. Only the area of the CF film corresponding to the turn is etched, and the expected via hole and through hole can be formed (Fig. 7 (a)).
  • CF film ends rather etching power of Aruminiumu E ⁇ surface exposed 0 2 instead switch to A r gas from the gas, by sputtering evening etching with A r ions to remove oxides of aluminum 13 ⁇ 4 Izumi surface (FIG. 7 (b)).
  • the holes are filled with a metal 43 such as tungsten (W) to form a connection layer and, for example, a second layer ⁇ 3 ⁇ 4 is formed (FIG. 7 (c)).
  • the filling of holes and the formation of hot springs may be performed by sputtering using aluminum.
  • the TiN film 41 functions as a so-called hard mask. Because, even if both of the resist film and the CF film is not resistant against 0 2 plasma, it is possible to perform the etching of the CF film. Since the resist film 4 2 is removed during the etching of the CF film, the resist film 4 2 removing process is not required by the 0 2 Atsushingu enough 3 ⁇ 4 ⁇ . In forming a tungsten layer or an aluminum layer on the CF film, the TiN film 41 plays a role of an adhesion layer for directly adhering the metal layer and the CF film. There is no need to remove it. Unnecessary portions of the TiN film 41 can be removed at the same time when 1 ⁇ is formed, that is, when the metal layer is etched.
  • an adhesion layer is originally required, and since it has been conventionally used with a high TiN strength, the method of using iN as a hard mask simultaneously includes the adhesion layer. This is an effective method because it is formed. Further, since TiN is a conductive layer, it can be regarded as a part of the interlayer insulating film instead of being included, so that an increase in the relative dielectric constant of the interlayer film can be suppressed. Furthermore, even if the aluminum is disconnected, a conductive path is secured by the TiN film underlying the wiring, so that a malfunction of the device can be prevented. As the conductive film, other than TiN, A1, W, Ti, TiW, TiWN, polysilicon, or the like can be used.
  • an insulating film may be used instead of the conductive film as the hard mask.
  • the material of the insulating film for example, Si ⁇ ⁇ Q , SiOF, or Si can be used.
  • the step of etching the film is, for example, as follows. The insulating film is etched by fluorine radicals using CF 4 gas.
  • the hard mask Since the hard mask becomes " ⁇ " of the interlayer insulating film, the hard mask may be left as it is and subjected to the next step, for example, a step of embedding aluminum and tungsten. However, for example, the entire insulating film is etched by an HF solution by wet etching.
  • an insulating film When an insulating film is used as a hard mask, its thickness is, for example, 100 ⁇ or more. If it is left in the device, it will function as a hard mask, but if it is too thick, the total relative dielectric constant of the interlayer insulating film, including this insulating film, will increase. It is considered that the thickness of the film is preferably about 13 or less.
  • pattern etching of a CF film can be performed, and for example, an interlayer insulating film using a CF film can be put to practical use.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

明 細 書 半導体素子の製造方法
技術分野
本発明はフッ素添加力一ボン膜を用 ^、た半導体素子の製造方法に関する。 背景技術
半導体デバイスの高集積化を図るために、 パターンの微細化、 回路の多層化と いった工夫が進められており、 そのうちの一つとして を多層化する技術があ る。 多層!^構造をとるためには、 n層目の E ^層と (n + 1 ) 番目の配線層の 間を導 ¾ϋで接続すると共に、 導電層以外の領域は層間絶縁膜と呼ばれる薄膜が 形成される。
この層間絶縁膜の代表的なものとして S i 02膜がある力、 近年デバイスの動 作についてより一層の高速化を図るために層間絶縁膜の比誘電率を低くすること 力要求されており、 層間絶縁膜の材質についての検討がなされている。 即ち S i〇2は比誘電率がおよそ 4であり、 これよりも小さい材質の発掘に力が注が れている。 そのうちの一つとして比誘電率が 3. 5である S i O Fの実現化力く進 められている力、 本発明者は比誘電率が更に小さいフッ素添加力一ボン膜に注目 している。
ところでフッ素添加力一ボン膜につ 、ては未知の部分が多く、 フッ素添加力一 ボン膜自体の製法も模索している段階であり、 例えばエッチング工程について見 ると、 S i〇2膜のエッチングガスとして用いられていた C F4などの C F系のガ スでは、 エッチングすべき膜自体が C F系のものであるためエッチングを行うこ とができず、 従来の工程をそのまま適用できない。 従ってフッ素添加カーボン膜 が層間絶縁膜として好適であるといっても、 ^化を達成するには多くの課題を かかえている。 発明の開示
本発明は、 その中でもエツチング工程に関する課題を取り上げてなされたもの であり、 フッ素添加力一ボン膜のエッチングを可能にすることができ、 フッ素添 加カーボン膜を用いた層間絶縁膜の実用化を主たる目的とするものである。 本願の第 1の発明は、 フッ素添加力一ボン膜よりなる絶縁膜を被処理体上に成 膜ずる: E と、
次いで前記絶縁膜上にレジスト膜によりパターンを形成する工程と、 その後酸素ブラズマにより前記 膜をェッチングしながらレジスト膜を除去 する工程と、 を含むことを特徴とする。 この発明は、 具体的には例えば次ぎのよ うな工程となる。 エッチングにより絶縁膜に予定とする凹部力形成された時点で は、 絶縁膜上にレジスト膜が残存している。 酸素プラズマによるフッ素添加カー ボン膜のエッチング速度とレジスト膜のエッチング速度と力く揃っている。
本願の第 2の発明は、 フッ素添加力一ボン膜よりなる絶縁膜を被処理体上に成 膜する工程と、
次いで前記絶縁膜上にレジスト膜によりパターンを形成する工程と、 その後酸素ブラズマ生成用ガス及びシラン系化合物ガスを含む処理ガスをブラ ズマ化してそのプラズマによりフッ素添加カーボン膜をエッチングしながらレジ スト膜を除去する工程と、 を含むことを特徴とする。
本願の第 3の発明は、 フッ素添加力一ボン膜よりなる絶縁膜を被処理体上に成 膜する工程と、
次いで前言 縁膜上に酸素プラズマに耐性のある保,を成膜する工程と、 その後この保護膜の上にレジスト膜によりパターンを形成する工程と、 次にレジスト膜のパターンに対応して露出している保護膜をエッチングして除 去する工程と、
その後酸素ブラズマによりフッ素添加力一ボン膜をェツチングしながらレジス ト膜を除去する工程と、 を含むことを特徴とする。 この場合保護膜は、 例えば絶 縁膜であってもよいし、 導電膜であってもよい。
本願の第 4の発明は、 フッ素添加力一ボン膜よりなる絶縁膜を被処理体上に成 膜する工程と、
次いで前言 縁膜上にチタンナイトライド膜を成膜する工程と、
その後このチタンナイ トライ ド膜の上にレジスト膜によりパターンを形成する 工程と、
次にレジスト膜のパターンに対応して露出している前記チタンナイトライド膜 をエツチングして除去する工程と、
その後酸素ブラズマによりフッ素添加力一ボン膜をェッチングしながらレジス ト膜を除去する工程と、 を含むことを特徵とする。
以上において、 フッ素添加カーボン膜の下方側に金属層力形成されている場合 には、 フッ 加力一ボン膜がェッチングされて前記金属層力く露出した後は、 ァ ルゴンプラズマにより金属層表面の酸化物を除去すること力く好ましい。 図面の簡単な説明
図 1は、 本発明方法によって製造される半導体素子の一部を示す説明図である。 図 2は、 本発明方法の実施の形態を示す説明図である。
図 3は、 本発明方法の他の実施の形態を示す説明図である。
図 4は、 本発明方法と比較した方法の説明図である。
図 5は、 本発明方法を実施するためのプラズマ処理装置の一例を示す縦断側面 図である。 図 6は、 本発明の更に他の実施の形態を示す説明図である。
図 7は、 本発明の更に他の実施の形態を示す説明図である。
図 8は、 CF膜のエッチング特性を示す特性図である。 発明を実施するための最良の形態
本発明の の形態では、 フッ素添加カーボン膜 (以下「CF膜」 という) を エツチングする工程に特徴があるが、本発明方法を利用して製造される半導体素 子の構造の一例を図 1 (a)、 (b) に示しておく。 1はシリコン基板、 11は BPSG膜 (S i 02に 及び Bがド一プされた膜) 、 12は n型半導体領域、 13は、 B P S G膜 11のスルーホールに埋め込まれた例えば W (タングステン) よりなる電極であり、 これらは回路主要部の一部に相当する。 この回路主要部の 上には、 例えばアルミニウムよりなる IS^l 5が多層に形成され、 上下の 12^1 5、 15 (図示せず) は、 層間絶縁膜 16に形成されたビアホールに埋め込まれ た例えば Wよりなる導電層 17により互に接続されている。
このような半導体素子を製造するにあたつて層間絶縁膜をェッチングする工程 に関して以下に述べていく。 図 2 (a) は例えば n層目のアルミニウム配線が形 成された状態を示しており、 絶縁膜 14の上に図 2 (b) に示すように CF膜よ りなる 1層目の層間絶縁膜 16力形成される。 CF膜は、 例えば CF系のガス及 び CH系のガスを成膜ガスとして、 プラズマ CVD (Chemi ca l
Vapo r Depo s i t i on) 法、 により成膜することができる。 例えば C4F8ガス及び C2H4ガスを用い、 エッチング装置でもある後述の ECR (電子 サイクロトロン共鳴) プラズマ処3¾置を用いて例えば厚さ 0. 7 zmに成膜さ れる。
次いで図 2 (c) に示すように CF膜 (層間絶縁膜 16) の表面に所定のバタ ーンでレジスト膜 18力く形成される。 このレジスト膜 18は例えばスピンコーテ ィング法によりウェハ表面にレジスト液を塗布し、 露光、 現像工程を経て形成さ れる。 レジストの材料としては、 アジド化合物、 ポリビニルフヱノール、 メタク リル酸化合物、 ノボラック樹脂、 ポリスチレン系樹脂といった有機材料が用いら れる。
その後ウェハ表面に 02プラズマ (酸素プラズマ) を照射して C F膜をエッチ ングする。 C F膜に 02プラズマが当たると、 09の活性種が C一 F結合及び C一 C結合を切断して C Oあるいは C〇2となって飛散すると共に、 Fについても F 2 などとなって飛散する。 こうして C F膜が 02プラズマによって化学エッチング されていく。
ところでレジスト膜 1 8は有機系材料であるため Onプラズマによってやはり ィ匕学的にエッチングにより除去されてしまう。 従って C F膜のエッチングとレジ スト膜 1 8のエッチングによる除去とが同時に進行するが、 レジスト膜 1 8が全 てエッチングにより除去される前に、 C F膜の表面からアルミニウム配線 1 5ま でのエッチングが終了すれば、 図 2 ( d) に示すように予定とするビアホール 1 9が形成される。 このためにはレジスト膜 1 8のエッチングによる除去の速度と C F膜のエッチングの速度を予め把握してレジスト膜 1 8の膜厚を設定すればよ い。
レジスト膜 1 8のエッチングによる除去の速度と C F膜のエッチングの速度が 同じであれば C F膜の表面力平坦化される。 一般的にはレジスト膜 1 8を除去し た後に層間絶縁膜の表面を平坦ィ匕するために C M Pなどと呼ばれる; f tt的研磨工 程が行われるが、 この場合には C M P工程力不要になるという利点がある。 レジ スト膜のエッチングによる除去の と C F膜のエツチングの速度が異なる場合 には、 予定とするホール力形成される前に (アルミニウム表面までエッチングさ れる前に) レジスト膜 1 8が全部除去されないようにすること力望ましい。 ホー ルが形成されたときにレジスト膜 1 8力残っていれば、 その後レジスト膜 1 8の エッチング終了時点を、 例えば C Oや c〇2の発光量の変化に基づいて検出する ことにより、 C F膜の膜厚を変動させることなくレジスト膜の除去及びホールの 形成を行うことができる。
本発明の他の実施の形態では、 図 3に示すように 09ガスとシラン系のガス例 えば S i H4、 S i nH6ガスなどとを用いて C F膜のエッチングを行う。 図 3は このようなエッチングの様子を示す図であり、 エッチングと同時にホール 1 9の 側壁に、 S i H4と〇2との反応によって S i〇。よりなる保護膜 1 9 aが形成さ れ、 側壁のエッチングが抑制される。
02ガスのみによってエッチングを行う場合、 図 4に示すように凹部 1 9の側 壁もエッチングされて横に膨らむボーイングと呼ばれる状態になるため、 高ァス ぺク ト比の凹部 1 9をエッチングすることが困難であると考えられる。 従ってこ の手法によればァスぺク ト比の高いビアホールやスルーホールのエッチングを行 うことができる。 この場合 0„ガスに対するシラン系ガスの比率が大きいと保護 膜が成長し過ぎて凹部の形状が悪くなるため、 エツチング条件等に応じてシラン 系ガスの混合比を設定することが必要である。 この方法は、 後述する絶縁膜や導 電膜を C F膜の表面に形成する方法と組み合わせて実施してもよい。
本発明方法は例えば図 5に示すプラズマ処理装置により実施することができる。 この装置はアルミ二ゥム等により形成された真空容器 2を有しており、 この真空 容器 2は上方に位置してプラズマを発生させる筒状のプラズマ室 2 1と、 この下 方に連通させて連結され、 プラズマ室 2 1よりは口径の大きい筒状の処理室 2 2 とからなる。 なおこの真空容器 2は接地されてゼ口電位になっている。
この真空容器 2の上端は、 開口されてこの部分にマイクロ波を透過する部材例 えば石英等の材料で形成された透過窓 2 3カヾ気密に設けられており、 真空容器 2 内の真空状態を維持するようになっている。 この透過窓 2 3の外側には、 例えば 2. 4 5 G H zのプラズマ発生用高周波供給手段としての高周波電源部 2 4に接 続された導波管 2 5力設けられており、 高周波電源部 2 4に発生したマイクロ波 Mを導波管 2 5で案内して透過窓 2 3からプラズマ室 2 1内へ導入し得るように なっている。 プラズマ室 2 1を区画する側壁には例えばその周方向に沿って均等 に配置したプラズマガスノズル 2 6力設けられている。
また、 プラズマ室 2 1を区画する側壁の外周には、 これに接近させて磁界形成 手段として例えばリング状の主電磁コイル 2 7力く配置されると共に、 成膜室 2 2 の下方側にはリング状の補助電磁コイル 2 8が配置され、 プラズマ室 2 1から処 理室 2 2に亘つて上から下に向かう磁界例えば 8 7 5ガウスの磁界 Bを形成し得 るようになっており、 E C Rプラズマ条件が満たされている。 なお電磁コイルに 代えて永久磁石を用いてもよい。
このようにプラズマ室 2 1内に周波数の制御されたマイクロ波 Mと磁界 Bとを 形成することにより、 これらの相互作用により上記 E C Rブラズマが発生する。 この時、 前記周波数にて前記導入ガスに共鳴作用が生じてブラズマか 、密度で 形成されることになる。 すなわちこの装置は、 電子サイクロトロン共鳴 (E C R) ブラズマ処 置を構成することになる。
前記載置台 3は、 例えばアルミニウム製の本体 3 1の上に、 ヒータ 3 2を内蔵 したセラミックス体 3 3を設けてなり、 載置面は静電チャックとして構成されて いる。 更に載置台 3の本体 3 1には、 ウェハ Wにイオンを引き込むためのバイァ ス電圧を印加するように例えば高周波電源部 3 4が接続されている。 バイアス電 圧の電極は例えば静電チャックの電極と兼用している。 そしてまた真空容器 2の 底部には排気管 3 5が接続されている。 なお 3 0は成 ,理を行うときに用いら れるリング状の成膜ガス供給部である。
次に上述の装置を用 、て被処理体であるウェハ 1 0上に対してエツチングを行 う方法について説明する。 先ず、 真空容器 2の側壁に設けた図示しないゲートバ ルブを開いて図示しない搬送アームにより、 ウェハ 1 0を図示しないロードロッ ク室から搬入して載置台 3上に載置する。
続いて、 このゲートバルブを閉じて内部を密閉した後、 排気管 35より内部雰 囲気を排出して所定の真空度まで真空引きし、 プラズマガスノズル 26からブラ ズマ室 21内へ 02ガスを導入すると共に ガス供給部 30からシラン系ガス 例えば S i H4ガスを導入する。 そして真空容器 2内を所定のプロセス圧に維持 し、 かつ高周波電源部 34により載置台 3に 13. 56MHzのバイアス電圧を 印加する。
プラズマ発生用高周波電源部 24からの 2. 45 GHzの高周波 (マイクロ波) は、 導波管 25を搬送されて真空容器 2の天井部に至り、 ここの透過窓 23を透 過してマイクロ波 Mがプラズマ室 21内へ導入される。 このプラズマ室 21内に は、 電磁コイル 27、 28により発生した磁界 Bが上方から下方に向けて例えば 875ガウスの強さで印加されており、 この磁界 Bとマイク口波 Mとの相互作用 で E (電界) XB (磁界) を誘発して電子サイクロトロン共鳴が生じ、 この共鳴 により〇2ガスがプラズマ化され、 且つ高密度化される。
プラズマ生成室 21より処理室 22内に流れ込んだプラズマ流は、 バイアス電 圧によりウェハ 10に引き込まれ、 ウェハ 10の表面のエッチング力く行われる。 ここで本発明者は、 図 5に示すプラズマ処理装置を用い、 C4F8ガス及び C。H4ガスを成膜ガスとし、 また A rガスをプラズマガスとしてウェハ 10上に CF膜を形成すると共に、 レジスト処理装置及び露光装置を用いて、 アジド化合 物系のレジスト膜により CF膜上にパターンを形成したものを用意した。 このゥ ェハに対して前記プラズマ処理装置を用いて、 〇2ガスをプラズマガスノズル 26から l O O s c cmの流量で供給したところ、 幅 0. 3 m、 ァスぺク ト比 1のホ一ルを形成することができ、 レジスト膜も同時にエッチングすることがで きた。 ただし、 プロセス圧を 0. 2 P a、 マイクロ波電力を 2500W、 バイァ ス電力を 1500W、 載置台 3の表面温度を 270°Cに設定した。 また S i H4ガスを 2 s c c m供給した他は同様にしてエッチングを行ったと ころアスペクト比 2のホールを良好な形状で形成することができた。
次に本発明の他の^の形態について説明する。 この実施の形態では図 6 ( a ) に示すように例えば厚さ Dが 8 0 0 0オングストロームの C F膜 4の表面に例え ば厚さ 3 0 0オングストロームの導電膜例えば T i N (チタンナイトライド) 膜 4 1を形成する。 この T i N膜 4 1は、 例えば T iを夕一ゲッ トとし、 A rガス と N。ガスとを用いて反応性スパッタリングを行うことにより成膜することがで さる 0
続いて前記 T i N膜 4 1の表面にレジスト膜 4 2によりマスクを形成する (図 6 (b ) ) 。 なおこの図 6では C F膜の盛り上がりについては省略してある。 そ の後 T i N膜 4 1を図 6 ( c ) に示すように例えば B C 1 ηガスのプラズマによ り C F膜 4の表面までェッチングを行う。 このエツチングは例えば のブラズ マ処理装置で行うことができる。 しかる後〇2プラズマをウェハ表面に照射する と、 C F膜 4がエッチングされ、 またレジスト膜 4 2もエッチングにより除去さ れる (図 6 ( d) ) 。
レジスト膜 4 2が除去された後は、 T i N膜 4 1がマスクの役割を果たし、 ノ、。 ターンに対応する C F膜の領域だけがェツチングされ、 予定としているビヤホー ルゃスルーホールを形成することができる (図 7 ( a ) ) 。 C F膜のエッチング 力く終了してアルミニゥム E ^の表面が露出すると、 02ガスから A rガスに切り 換えて、 A rイオンによるスパッ夕エッチングによりアルミニウム 1¾泉表面の酸 化物を除去する (図 7 ( b ) ) 。 その後ホールを例えばタングステン (W) など の金属 4 3により埋め込んで接続層を形成すると共に、 例えば第二層目の Ε¾を 形成する (図 7 ( c ) ) 。 ホールの埋め込みや! ¾泉の形成はアルミニウムを用い てスパッタリングにより行ってもよい。
このような方法によれば T i N膜 4 1がいわばハードマスクの役割りを果たす ので、 レジスト膜及び C F膜の両方が 02プラズマに対して耐性がなくとも、 C F膜のエッチングを行うことができる。 また C F膜のエッチング中にレジスト 膜 4 2が除去されるので、 ¾ ΐ程の 02アツシングによるレジスト膜 4 2の除去 工程が不要になる。 そしてタングステン層やアルミ二ゥム層を C F膜の上に形成 するにあたって、 T i N膜 4 1がそのままこれら金属層と C F膜とを密着させる 密着層の役割を果たすので何ら悪影響を及ぼすものではなく、 わざわざ除去する 必要もない。 なお T i N膜 4 1の不要な部分は 1 ^を形成するとき、 つまり金属 層をエッチングするときに同時に除去できる。
絶縁膜の表面に金属層を形成する場合にはもともと密着層が必要であり、 従来 から T i N力く使用されているので、 ハードマスクとしてで i Nを用いる方法は密 着層をも同時に形成するので有効な方法である。 更に T i Nは導電層であるため、 層間絶縁膜側に含まれるのではなく の一部とみなせるので層間 膜の比誘 電率が高くなるのを抑えられる。 更にまたアルミニウム が仮に断線しても配 線の下地にある T i N膜により導電路が確保され、 素子の動作不良を防止するこ とができる。 導電膜としては T i N以外に、 A 1 、 W、 T i 、 T i W、 T i WN、 ポリシリコンなどを用いることができる。
以上において本発明では、 ハードマスクとして導電膜の代りに絶縁膜を用いて もよい。 絶縁膜の材質としては例えば S i〇Q、 S i O Fあるいは S i など を用いることができる. この場合^膜をエッチングする工程 (既述の図 6 ( c ) に相当する工程) は、 例えば C F 4ガスを用い、 フッ素ラジカルにより絶縁膜が エッチングされる。
ハードマスクは、 層間絶縁膜の"^になるためそのまま残して次工程例えばァ ルミ二ゥムゃタングステンの埋め込み工程を行ってもよいが、 例えば H F液によ るゥエツ トエッチングにより絶縁膜全部を除去するようにしてもよい。 絶縁膜を ハードマスクとして用いる場合、 その厚さは例えば 1 0 0オングストローム以上 あればハードマスクの機能を果たすが、 素子の中に残す場合には厚さがあまり大 きいと、 この絶縁膜をも含めた層間絶縁膜のトータルの比誘電率が大きくなって しまうので、 C F膜の厚さの 1 3程度以下が好ましいと考えられる。
図 8は 02プラズマと N F 3プラズマとを用いて、 図 5に示す装置により C F膜 のエツチング特性を調べた結果であり、 この図からも C F膜のエツチングを行う にあたって〇Λプラズマ力有効であること力S解される。
以上のように本発明によれば C F膜のパターンエッチングを行うことができ、 例えば C F膜を用いた層間絶縁膜の実用化を図ることができる。

Claims

請求 の 範 囲
1. フッ素添加力一ボン膜よりなる絶縁膜を被処理体上に成膜する工程と、 次いで前言 縁膜上にレジスト膜によりパターンを形成する工程と、 その後酸素ブラズマにより前記絶縁膜をェッチングしながらレジスト膜を除去 する工程と、 を含むことを特徴とする半導体素子の製造方法。
2. エッチングにより絶縁膜に予定とする凹部が形成された時点では、 絶緣 膜上にレジスト膜が残存していることを特徴とする請求項 1記載の半導体素子の 製造方法。
3. 酸素プラズマによるフッ素添加カーボン膜のエッチング速度とレジスト 膜のエツチング速度とが揃っていることを特徴とする請求項 1記載の半導体素子 の製造方法。
4. フッ素添加力一ボン膜よりなる 膜を被処理体上に成膜する工程と、 次いで前言 縁膜上にレジスト膜によりパターンを形成する工程と、 その後酸素プラズマ生成用ガス及びシラン系化合物ガスを含む処理ガスをブラ ズマ化してそのプラズマによりフッ素添加力一ボン膜をェッチングしながらレジ スト膜を除去する工程と、 を含むことを特徴とする半導体素子の製造方法。
5. フッ素添加カーボン膜よりなる絶縁膜を被処理体上に成膜する工程と、 次いで前言 縁膜上に酸素プラズマに耐性のある保護膜を成膜する工程と、 その後この保護膜の上にレジスト膜によりパターンを形成する工程と、 次にレジスト膜のパターンに対応して露出している保護膜をエッチングして除 去する工程と、
その後酸素ブラズマによりフッ素添加力一ボン膜をェッチングしながらレジス ト膜を除去する工程と、 を含むことを特徴とする半導体素子の製造方法。
6. 保,は、 絶縁膜であることを特徴とする請求項 5記載の半導体素子の 製造方法。
7. 保醒は、 導電膜であることを特徴とする請求項 5記載の半導体素子の 製造方法。
8. フッ素添加カーボン膜よりなる絶縁膜を被処理体上に成膜する工程と、 次いで前言 ¾fe縁膜上にチタンナイトライド膜を成膜する工程と、
その後このチタンナイトライ ド膜の上にレジスト膜によりパターンを形成する 工程と、
次にレジスト膜のパターンに対応して露出している前記チタンナイトライド膜 をエッチングして除去する工程と、
その後酸素ブラズマによりフッ素添加力一ボン膜をェツチングしながらレジス ト膜を除去する工程と、 を含むことを特徴とする半導体素子の製造方法。
9. フッ素添加力一ボン膜の下方側には金属層が形成され、 フッ素添加カー ボン膜がェツチングされて前記金属層が露出した後は、 アルゴンプラズマにより 金属層表面の酸化物を除去することを特徴とする請求項 1、 2、 3、 4、 5、 6、 7または 8記載の半導体素子の製造方法。
PCT/JP1997/004099 1996-11-14 1997-11-11 Procede de fabrication d'un dispositif semi-conducteur WO1998021745A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP97911513A EP0933802B1 (en) 1996-11-14 1997-11-11 Process for the production of semiconductor device
DE69712080T DE69712080T2 (de) 1996-11-14 1997-11-11 Herstellungsverfahren für eine halbleitervorrichtung
US09/101,308 US6727182B2 (en) 1996-11-14 1997-11-11 Process for the production of semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP32091296A JP3400918B2 (ja) 1996-11-14 1996-11-14 半導体装置の製造方法
JP8/320912 1996-11-14

Publications (1)

Publication Number Publication Date
WO1998021745A1 true WO1998021745A1 (fr) 1998-05-22

Family

ID=18126663

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP1997/004099 WO1998021745A1 (fr) 1996-11-14 1997-11-11 Procede de fabrication d'un dispositif semi-conducteur

Country Status (7)

Country Link
US (1) US6727182B2 (ja)
EP (1) EP0933802B1 (ja)
JP (1) JP3400918B2 (ja)
KR (1) KR100563610B1 (ja)
DE (1) DE69712080T2 (ja)
TW (1) TW349241B (ja)
WO (1) WO1998021745A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000054328A1 (fr) * 1999-03-09 2000-09-14 Tokyo Electron Limited Systeme de fabrication de dispositif semi-conducteur
WO2000054329A1 (fr) * 1999-03-09 2000-09-14 Tokyo Electron Limited Dispositif semi-conducteur et procede de fabrication correspondant
US8383519B2 (en) 2007-02-09 2013-02-26 Tokyo Electron Limited Etching method and recording medium

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100464384B1 (ko) * 1997-05-31 2005-02-28 삼성전자주식회사 반도체장치의비아홀형성방법
JP3429171B2 (ja) * 1997-11-20 2003-07-22 東京エレクトロン株式会社 プラズマ処理方法及び半導体デバイスの製造方法
JP4260764B2 (ja) * 1999-03-09 2009-04-30 東京エレクトロン株式会社 半導体装置の製造方法
US6465159B1 (en) * 1999-06-28 2002-10-15 Lam Research Corporation Method and apparatus for side wall passivation for organic etch
JP3803523B2 (ja) * 1999-12-28 2006-08-02 株式会社東芝 ドライエッチング方法及び半導体装置の製造方法
JP2001274143A (ja) * 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
JP3770790B2 (ja) * 2000-11-15 2006-04-26 シャープ株式会社 アッシング方法
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6865939B2 (en) * 2002-09-16 2005-03-15 Sandia Naitonal Laboratories Fluorinated silica microchannel surfaces
JP4413556B2 (ja) 2003-08-15 2010-02-10 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法
JP2005123406A (ja) * 2003-10-16 2005-05-12 Tokyo Electron Ltd プラズマエッチング方法。
KR100780944B1 (ko) * 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
JP5261964B2 (ja) * 2007-04-10 2013-08-14 東京エレクトロン株式会社 半導体装置の製造方法
US7838426B2 (en) 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55107781A (en) * 1979-02-13 1980-08-19 Fujitsu Ltd Etching method for metal film
JPH0653193A (ja) * 1992-06-15 1994-02-25 Micron Technol Inc プラズマ反応容器のクリーニングに有用なオゾンを用いた炭素系ポリマー残留物の除去
JPH06163479A (ja) * 1992-11-17 1994-06-10 Sony Corp ドライエッチング方法
JPH06264270A (ja) * 1993-03-09 1994-09-20 Citizen Watch Co Ltd 硬質カーボン膜のパターニング方法
JPH0883842A (ja) * 1994-09-12 1996-03-26 Nec Corp 半導体装置
JPH08236517A (ja) * 1995-02-23 1996-09-13 Nec Corp フッ素化非晶質炭素膜材料およびその製造方法および半導体装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6199332A (ja) 1984-10-19 1986-05-17 Fujitsu Ltd プラズマエツチング方法
JPH0697660B2 (ja) 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
JPS6243335A (ja) 1985-08-21 1987-02-25 Arita Seisakusho:Kk 自動車のドアが開く事を表示する装置
JPS63233549A (ja) 1987-03-20 1988-09-29 Nippon Telegr & Teleph Corp <Ntt> 薄膜形成法
JPH033380A (ja) 1989-05-31 1991-01-09 Mitsubishi Electric Corp 気体レーザ装置
JPH04271122A (ja) 1991-02-27 1992-09-28 Fuji Electric Co Ltd プラズマ処理装置
JPH0555575A (ja) * 1991-08-29 1993-03-05 Sharp Corp 半導体装置
JPH05151619A (ja) * 1991-10-01 1993-06-18 Ricoh Co Ltd 光情報記録媒体及び記録方法
US5442237A (en) * 1991-10-21 1995-08-15 Motorola Inc. Semiconductor device having a low permittivity dielectric
US5489538A (en) * 1992-08-21 1996-02-06 Lsi Logic Corporation Method of die burn-in
JPH06196421A (ja) 1992-12-23 1994-07-15 Sumitomo Metal Ind Ltd プラズマ装置
US5498657A (en) * 1993-08-27 1996-03-12 Asahi Glass Company Ltd. Fluorine-containing polymer composition
JPH083842A (ja) 1994-06-15 1996-01-09 Toyota Autom Loom Works Ltd 織機のモニタ装置
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
EP0784713A4 (en) 1994-10-11 2000-03-01 Gelest Inc TITANIUM BASED CONFORMING LAYERS AND PREPARATION METHOD
WO1996019826A1 (en) * 1994-12-20 1996-06-27 National Semiconductor Corporation A method of fabricating integrated circuits using bilayer dielectrics
US5654228A (en) * 1995-03-17 1997-08-05 Motorola VCSEL having a self-aligned heat sink and method of making
US5905517A (en) * 1995-04-12 1999-05-18 Eastman Kodak Company Heater structure and fabrication process for monolithic print heads
US5840455A (en) * 1995-05-24 1998-11-24 Ricoh Company, Ltd. Electrophotographic photoconductor
JP3274324B2 (ja) * 1995-09-01 2002-04-15 株式会社東芝 半導体装置の製造方法
US5733808A (en) * 1996-01-16 1998-03-31 Vanguard International Semiconductor Corporation Method for fabricating a cylindrical capacitor for a semiconductor device
JP2956571B2 (ja) * 1996-03-07 1999-10-04 日本電気株式会社 半導体装置
JP3228183B2 (ja) * 1996-12-02 2001-11-12 日本電気株式会社 絶縁膜ならびにその絶縁膜を有する半導体装置とその製造方法
US5854134A (en) * 1997-05-05 1998-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Passivation layer for a metal film to prevent metal corrosion
US6066893A (en) * 1997-09-24 2000-05-23 Texas Instruments Incorporated Contaminant resistant barriers to prevent outgassing

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55107781A (en) * 1979-02-13 1980-08-19 Fujitsu Ltd Etching method for metal film
JPH0653193A (ja) * 1992-06-15 1994-02-25 Micron Technol Inc プラズマ反応容器のクリーニングに有用なオゾンを用いた炭素系ポリマー残留物の除去
JPH06163479A (ja) * 1992-11-17 1994-06-10 Sony Corp ドライエッチング方法
JPH06264270A (ja) * 1993-03-09 1994-09-20 Citizen Watch Co Ltd 硬質カーボン膜のパターニング方法
JPH0883842A (ja) * 1994-09-12 1996-03-26 Nec Corp 半導体装置
JPH08236517A (ja) * 1995-02-23 1996-09-13 Nec Corp フッ素化非晶質炭素膜材料およびその製造方法および半導体装置

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
See also references of EP0933802A4 *
SENSORS AND ACTUATORS A, Vol. 41, No. 1/3, 1994, "Applications of Fluorocarbon Polymers in Micromechanics and Micromachining", pp. 136-140. *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000054328A1 (fr) * 1999-03-09 2000-09-14 Tokyo Electron Limited Systeme de fabrication de dispositif semi-conducteur
WO2000054329A1 (fr) * 1999-03-09 2000-09-14 Tokyo Electron Limited Dispositif semi-conducteur et procede de fabrication correspondant
US8383519B2 (en) 2007-02-09 2013-02-26 Tokyo Electron Limited Etching method and recording medium

Also Published As

Publication number Publication date
EP0933802A4 (en) 1999-10-27
KR100563610B1 (ko) 2006-06-15
JP3400918B2 (ja) 2003-04-28
US20010001741A1 (en) 2001-05-24
TW349241B (en) 1999-01-01
DE69712080D1 (de) 2002-05-23
EP0933802A1 (en) 1999-08-04
DE69712080T2 (de) 2002-11-14
EP0933802B1 (en) 2002-04-17
US6727182B2 (en) 2004-04-27
JPH10144676A (ja) 1998-05-29
KR19990077239A (ko) 1999-10-25

Similar Documents

Publication Publication Date Title
JP4361625B2 (ja) 半導体装置及びその製造方法
US6392350B1 (en) Plasma processing method
WO1998021745A1 (fr) Procede de fabrication d&#39;un dispositif semi-conducteur
WO2006057236A1 (ja) 基板処理方法および半導体装置の製造方法
US5916820A (en) Thin film forming method and apparatus
KR100382387B1 (ko) 플라즈마 처리 방법
JP4184851B2 (ja) プラズマ処理方法
KR100838502B1 (ko) 반도체 장치의 제조 방법
KR100593769B1 (ko) 에칭 방법
TW202234140A (zh) 極紫外光(euv)光阻的圖案化顯影方法
JP2004363558A (ja) 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法
JP4067357B2 (ja) エッチング方法
JP4141021B2 (ja) プラズマ成膜方法
JPH11340217A (ja) プラズマ成膜方法
JP3321148B2 (ja) フッ素添加カーボン膜及びその形成方法
JPH05217965A (ja) 半導体装置の製造方法
JPH08127885A (ja) 成膜装置のクリーニング方法及び成膜装置
WO2003081656A1 (fr) Procede de gravure par plasma
JP2003017473A (ja) プラズマ処理装置とプラズマ処理方法および半導体装置の製造方法
JP2020177958A (ja) 基板処理方法及び基板処理装置
JPH04345026A (ja) プラズマ処理装置
JPH1167730A (ja) 半導体装置の製造方法
JPH11162961A (ja) プラズマ成膜方法
JPH11233501A (ja) プラズマ成膜方法
JPWO2004003988A1 (ja) プラズマ処理方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE

WWE Wipo information: entry into national phase

Ref document number: 1019980705381

Country of ref document: KR

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 09101308

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1997911513

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1997911513

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1019980705381

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1997911513

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1019980705381

Country of ref document: KR