US20160217981A1 - Semiconductor system assemblies and methods of operation - Google Patents
Semiconductor system assemblies and methods of operation Download PDFInfo
- Publication number
- US20160217981A1 US20160217981A1 US15/068,811 US201615068811A US2016217981A1 US 20160217981 A1 US20160217981 A1 US 20160217981A1 US 201615068811 A US201615068811 A US 201615068811A US 2016217981 A1 US2016217981 A1 US 2016217981A1
- Authority
- US
- United States
- Prior art keywords
- distribution
- coupled
- semiconductor processing
- assembly
- processing system
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 28
- 238000000034 method Methods 0.000 title description 51
- 230000000712 assembly Effects 0.000 title 1
- 238000000429 assembly Methods 0.000 title 1
- 238000009826 distribution Methods 0.000 claims abstract description 158
- 239000002243 precursor Substances 0.000 claims abstract description 71
- 238000012545 processing Methods 0.000 claims abstract description 66
- 238000002347 injection Methods 0.000 claims abstract description 20
- 239000007924 injection Substances 0.000 claims abstract description 20
- 238000011144 upstream manufacturing Methods 0.000 claims 2
- 238000011112 process operation Methods 0.000 claims 1
- 210000002381 plasma Anatomy 0.000 description 81
- 239000000758 substrate Substances 0.000 description 34
- 239000007789 gas Substances 0.000 description 33
- 230000008569 process Effects 0.000 description 31
- 238000005516 engineering process Methods 0.000 description 27
- 238000005530 etching Methods 0.000 description 22
- 239000000463 material Substances 0.000 description 14
- 230000008901 benefit Effects 0.000 description 8
- 230000015556 catabolic process Effects 0.000 description 7
- 238000006731 degradation reaction Methods 0.000 description 7
- 239000012530 fluid Substances 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 230000007723 transport mechanism Effects 0.000 description 6
- 238000004891 communication Methods 0.000 description 5
- 230000008878 coupling Effects 0.000 description 5
- 238000010168 coupling process Methods 0.000 description 5
- 238000005859 coupling reaction Methods 0.000 description 5
- 230000008021 deposition Effects 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- 238000007667 floating Methods 0.000 description 4
- 238000000992 sputter etching Methods 0.000 description 4
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 238000011109 contamination Methods 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 238000005513 bias potential Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000001914 filtration Methods 0.000 description 2
- 239000013529 heat transfer fluid Substances 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 230000013011 mating Effects 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 2
- 239000007800 oxidant agent Substances 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000005215 recombination Methods 0.000 description 2
- 230000006798 recombination Effects 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 230000003044 adaptive effect Effects 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 239000012713 reactive precursor Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- 238000009827 uniform distribution Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
- H01J37/32495—Means for protecting the vessel against plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32568—Relative arrangement or disposition of electrodes; moving means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32807—Construction (includes replacing parts of the apparatus)
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
Definitions
- the present technology relates to semiconductor systems, processes, and equipment. More specifically, the present technology relates to systems and methods for reducing film contamination and equipment degradation.
- Integrated circuits are made possible by processes which produce intricately patterned material layers on substrate surfaces. Producing patterned material on a substrate requires controlled methods for removal of exposed material. Chemical etching is used for a variety of purposes including transferring a pattern in photoresist into underlying layers, thinning layers, or thinning lateral dimensions of features already present on the surface. Often it is desirable to have an etch process that etches one material faster than another facilitating, for example, a pattern transfer process. Such an etch process is said to be selective to the first material. As a result of the diversity of materials, circuits, and processes, etch processes have been developed with a selectivity towards a variety of materials.
- Etch processes may be termed wet or dry based on the materials used in the process.
- a wet HF etch preferentially removes silicon oxide over other dielectrics and materials.
- wet processes may have difficulty penetrating some constrained trenches and also may sometimes deform the remaining material.
- Dry etches produced in local plasmas formed within the substrate processing region can penetrate more constrained trenches and exhibit less deformation of delicate remaining structures.
- local plasmas may damage the substrate through the production of electric arcs as they discharge.
- Systems, chambers, and processes are provided for controlling chamber degradation due to high voltage plasma.
- the systems may provide configurations for components that allow improved precursor distribution.
- the chambers may include modified components less likely to degrade due to exposure to plasma.
- the methods may provide for the limiting or prevention of chamber or component degradation as a result of etching processes performed by system tools.
- Exemplary semiconductor processing systems may include a remote plasma source coupled with a processing chamber having a top plate.
- An inlet assembly may be used to couple the remote plasma source with the top plate and may include a mounting assembly, which in embodiments may include at least two components.
- the inlet assembly may further include a precursor distribution assembly defining a plurality of distribution channels fluidly coupled with an injection port.
- a first component of the mounting assembly may include an annular gas block
- a second component of the mounting assembly may include a mounting block defining a channel and comprising a first mounting surface and a second mounting surface opposite the first mounting surface.
- a first section of the channel extending from the first mounting surface may be characterized by a first diameter.
- a second section of the channel extending from the first section of the channel to the second mounting surface may be characterized by an increasing diameter from the first section of the channel to the second mounting surface.
- the gas block may be coupled with a first surface of the precursor distribution assembly
- the mounting block may be coupled with a second surface of the precursor distribution assembly opposite the first surface of the precursor distribution assembly.
- the precursor distribution assembly may comprise an annular shape.
- the precursor distribution assembly may include at least two coupled plates, which at least partially define the plurality of distribution channels.
- a first plate of the at least two coupled plates may at least partially define a first distribution channel extending tangentially from a single injection port to at least two secondary distribution channels.
- the at least two secondary distribution channels may extend tangentially from the first distribution channel to at least two tertiary distribution apertures.
- a second plate of the at least two coupled plates may at least partially define a portion of the at least two tertiary distribution apertures.
- the second plate may further define at least two tertiary distribution channels extending from the at least two tertiary distribution apertures.
- the second plate may further define at least two quaternary distribution channels extending from the at least two tertiary distribution channels.
- Exemplary semiconductor processing systems may include a remote plasma source, and a processing chamber having a top plate.
- the systems may also include an inlet assembly coupling the remote plasma source with the top plate.
- the inlet assembly may include a precursor distribution assembly defining the plurality of distribution channels fluidly coupled with a single injection port.
- the precursor distribution assembly may also include at least two annular plates coupled with each other and at least partially defining a central distribution channel.
- a first plate of the at least two annular plates may define a single injection port and a first distribution channel tangentially extending from the single injection port.
- the second plate of the at least two annular plates may define at least two secondary distribution channels in fluid communication with the first distribution channel and the central distribution channel.
- the inlet assembly may further include a mounting assembly, and the mounting assembly may include at least two components spatially separated by the precursor distribution assembly.
- the semiconductor processing systems may also include a support assembly coupled with the remote plasma source and including at least one support extension extending from the support assembly towards the top plate. The support extension may be separated from the top plate in a first operational position, and the support extension may be configured to contact the top plate in a second operational position engageable during a processing operation.
- Etching methods may be performed utilizing any of the disclosed technology, and the methods may include generating a plasma with a remote plasma source to create plasma effluents of a first precursor. The methods may also include bypassing the remote plasma source with a second precursor flowed into a gas distribution assembly. The gas distribution assembly may be fluidly coupled with the remote plasma source, such as with a central distribution channel. The methods may include contacting the second precursor with the plasma effluents of the first precursor to produce an etching formula. The contacting of the precursors may occur externally to a processing chamber. The methods may also include etching materials on a substrate housed in the processing chamber with the etching formula.
- Such technology may provide numerous benefits over conventional systems and techniques. For example, degradation of chamber components may be prevented or limited due to external plasma generation. An additional advantage is that improved etching profiles may be provided based on improved precursor delivery.
- FIG. 1 shows a top plan view of an exemplary processing system according to the present technology.
- FIG. 2 shows a schematic cross-sectional view of an exemplary processing chamber according to the present technology.
- FIG. 3 shows a schematic cross-sectional view of a portion of an exemplary processing chamber according to the disclosed technology.
- FIGS. 4A-B show schematic cross-sectional views of a portion of an exemplary distribution assembly according to the disclosed technology.
- FIG. 5 shows a method of etching that may reduce film contamination according to the present technology.
- the present technology includes systems and components for semiconductor processing.
- plasmas are formed in situ in processing chambers, such as with a capacitively coupled plasma (“CCP”) for example
- CCP capacitively coupled plasma
- exposed surfaces of the chamber may be sputtered or degraded by the plasma or the species produced by the plasma. This may in part be caused by bombardment to the surfaces or surface coatings by generated plasma particles.
- the extent of the bombardment may itself be related to the voltage utilized in generating the plasma. For example, higher voltage may cause higher bombardment, and further degradation.
- Remote plasma sources may provide multiple benefits over internal plasma sources.
- the remote plasma chamber core may be coated or composed of material specifically selected based on the plasma being produced.
- the remote plasma unit or components of the remote plasma unit such as the electrode may be protected to reduce wear and increase system life.
- the present technology may additionally overcome such issues by utilizing an inlet distribution system that reduces the length of travel for plasma species, as well as by allowing the generated plasma effluents to interact with other precursors nearer to the plasma source. Accordingly, the systems described herein provide improved performance and cost benefits over many conventional designs. These and other benefits will be described in detail below.
- FIG. 1 shows a top plan view of one embodiment of a processing system 100 of deposition, etching, baking, and curing chambers according to embodiments.
- the processing tool 100 depicted in FIG. 1 may contain a plurality of process chambers, 114 A-D, a transfer chamber 110 , a service chamber 116 , an integrated metrology chamber 117 , and a pair of load lock chambers 106 A-B.
- the process chambers may include structures or components similar to those described in relation to FIG. 2 , as well as additional processing chambers.
- the transfer chamber 110 may contain a robotic transport mechanism 113 .
- the transport mechanism 113 may have a pair of substrate transport blades 113 A attached to the distal ends of extendible arms 113 B, respectively.
- the blades 113 A may be used for carrying individual substrates to and from the process chambers.
- one of the substrate transport blades such as blade 113 A of the transport mechanism 113 may retrieve a substrate W from one of the load lock chambers such as chambers 106 A-B and carry substrate W to a first stage of processing, for example, an etching process as described below in chambers 114 A-D.
- the robot may wait until the processing is complete and then remove the processed substrate from the chamber with one blade 113 A and may insert a new substrate with a second blade (not shown). Once the substrate is processed, it may then be moved to a second stage of processing.
- the transport mechanism 113 generally may have one blade carrying a substrate and one blade empty to execute a substrate exchange. The transport mechanism 113 may wait at each chamber until an exchange can be accomplished.
- the transport mechanism 113 may move the substrate W from the last process chamber and transport the substrate W to a cassette within the load lock chambers 106 A-B. From the load lock chambers 106 A-B, the substrate may move into a factory interface 104 .
- the factory interface 104 generally may operate to transfer substrates between pod loaders 105 A-D in an atmospheric pressure clean environment and the load lock chambers 106 A-B.
- the clean environment in factory interface 104 may be generally provided through air filtration processes, such as HEPA filtration, for example.
- Factory interface 104 may also include a substrate orienter/aligner (not shown) that may be used to properly align the substrates prior to processing.
- At least one substrate robot such as robots 108 A-B, may be positioned in factory interface 104 to transport substrates between various positions/locations within factory interface 104 and to other locations in communication therewith.
- Robots 108 A-B may be configured to travel along a track system within enclosure 104 from a first end to a second end of the factory interface 104 .
- the processing system 100 may further include an integrated metrology chamber 117 to provide control signals, which may provide adaptive control over any of the processes being performed in the processing chambers.
- the integrated metrology chamber 117 may include any of a variety of metrological devices to measure various film properties, such as thickness, roughness, composition, and the metrology devices may further be capable of characterizing grating parameters such as critical dimensions, sidewall angle, and feature height under vacuum in an automated manner.
- FIG. 2 is shown a cross-sectional view of an exemplary process chamber system 200 according to the present technology.
- Chamber 200 may be used, for example, in one or more of the processing chamber sections 114 of the system 100 previously discussed
- the etch chamber 200 may include a first capacitively-coupled plasma source to implement an ion milling operation and a second capacitively-coupled plasma source to implement an etching operation and to implement an optional deposition operation.
- the chamber 200 may include grounded chamber walls 240 surrounding a chuck 250 .
- the chuck 250 may be an electrostatic chuck that clamps the substrate 202 to a top surface of the chuck 250 during processing, though other clamping mechanisms as would be known may also be utilized.
- the chuck 250 may include an embedded heat exchanger coil 217 .
- the heat exchanger coil 217 includes one or more heat transfer fluid channels through which heat transfer fluid, such as an ethylene glycol/water mix, may be passed to control the temperature of the chuck 250 and ultimately the temperature of the substrate 202 .
- the chuck 250 may include a mesh 249 coupled to a high voltage DC supply 248 so that the mesh 249 may carry a DC bias potential to implement the electrostatic clamping of the substrate 202 .
- the chuck 250 may be coupled with a first RF power source and in one such embodiment, the mesh 249 may be coupled with the first RF power source so that both the DC voltage offset and the RF voltage potentials are coupled across a thin dielectric layer on the top surface of the chuck 250 .
- the first RF power source may include a first and second RF generator 252 , 253 .
- the RF generators 252 , 253 may operate at any industrially utilized frequency, however in the exemplary embodiment the RF generator 252 may operate at 60 MHz to provide advantageous directionality. Where a second RF generator 253 is also provided, the exemplary frequency may be 2 MHz.
- an RF return path may be provided by a first showerhead 225 .
- the first showerhead 225 may be disposed above the chuck to distribute a first feed gas into a first chamber region 284 defined by the first showerhead 225 and the chamber wall 240 .
- the chuck 250 and the first showerhead 225 form a first RF coupled electrode pair to capacitively energize a first plasma 270 of a first feed gas within a first chamber region 284 .
- a DC plasma bias, or RF bias, resulting from capacitive coupling of the RF powered chuck may generate an ion flux from the first plasma 270 to the substrate 202 , e.g., Ar ions where the first feed gas is Ar, to provide an ion milling plasma.
- the first showerhead 225 may be grounded or alternately coupled with an RF source 228 having one or more generators operable at a frequency other than that of the chuck 250 , e.g., 13.56 MHz or 60 MHz.
- the first showerhead 225 may be selectably coupled to ground or the RF source 228 through the relay 227 which may be automatically controlled during the etch process, for example by a controller (not shown).
- chamber 200 may not include showerhead 225 or dielectric spacer 220 , and may instead include only baffle 215 and showerhead 210 described further below.
- the etch chamber 200 may include a pump stack capable of high throughput at low process pressures.
- at least one turbo molecular pump 265 , 266 may be coupled with the first chamber region 284 through one or more gate valves 260 and disposed below the chuck 250 , opposite the first showerhead 225 .
- the turbo molecular pumps 265 , 266 may be any commercially available pumps having suitable throughput and more particularly may be sized appropriately to maintain process pressures below or about 10 mTorr or below or about 5 mTorr at the desired flow rate of the first feed gas, e.g., 50 to 500 sccm of Ar where argon is the first feedgas.
- the chuck 250 may form part of a pedestal which is centered between the two turbo pumps 265 and 266 , however in alternate configurations chuck 250 may be on a pedestal cantilevered from the chamber wall 240 with a single turbo molecular pump having a center aligned with a center of the chuck 250 .
- the first feed gas source for example, Argon delivered from gas distribution system 290 may be coupled with a gas inlet 276 , and the first feed gas flowed through a plurality of apertures 280 extending through second showerhead 210 , into the second chamber region 281 , and through a plurality of apertures 282 extending through the first showerhead 225 into the first chamber region 284 .
- An additional flow distributor or baffle 215 having apertures 278 may further distribute a first feed gas flow 216 across the diameter of the etch chamber 200 through a distribution region 218 .
- the first feed gas may be flowed directly into the first chamber region 284 via apertures 283 which are isolated from the second chamber region 281 as denoted by dashed line 223 .
- Chamber 200 may additionally be reconfigured from the state illustrated to perform an etching operation.
- a secondary electrode 205 may be disposed above the first showerhead 225 with a second chamber region 281 there between.
- the secondary electrode 205 may further form a lid or top plate of the etch chamber 200 .
- the secondary electrode 205 and the first showerhead 225 may be electrically isolated by a dielectric ring 220 and form a second RF coupled electrode pair to capacitively discharge a second plasma 292 of a second feed gas within the second chamber region 281 .
- the second plasma 292 may not provide a significant RF bias potential on the chuck 250 .
- At least one electrode of the second RF coupled electrode pair may be coupled with an RF source for energizing an etching plasma.
- the secondary electrode 205 may be electrically coupled with the second showerhead 210 .
- the first showerhead 225 may be coupled with a ground plane or floating and may be coupled to ground through a relay 227 allowing the first showerhead 225 to also be powered by the RF power source 228 during the ion milling mode of operation.
- an RF power source 208 having one or more RF generators operating at 13.56 MHz or 60 MHz, for example, may be coupled with the secondary electrode 205 through a relay 207 which may allow the secondary electrode 205 to also be grounded during other operational modes, such as during an ion milling operation, although the secondary electrode 205 may also be left floating if the first showerhead 225 is powered.
- a second feed gas source such as nitrogen trifluoride, and a hydrogen source, such as ammonia, may be delivered from gas distribution system 290 , and coupled with the gas inlet 276 such as via dashed line 224 .
- the second feed gas may flow through the second showerhead 210 and may be energized in the second chamber region 281 .
- Reactive species may then pass into the first chamber region 284 to react with the substrate 202 .
- the first showerhead 225 is a multi-channel showerhead
- one or more feed gases may be provided to react with the reactive species generated by the second plasma 292 .
- a water source may be coupled with the plurality of apertures 283 .
- the chuck 250 may be movable along the distance H 2 in a direction normal to the first showerhead 225 .
- the chuck 250 may be on an actuated mechanism surrounded by a bellows 255 , or the like, to allow the chuck 250 to move closer to or farther from the first showerhead 225 as a means of controlling heat transfer between the chuck 250 and the first showerhead 225 , which may be at an elevated temperature of 80° C.-150° C., or more.
- an etch process may be implemented by moving the chuck 250 between first and second predetermined positions relative to the first showerhead 225 .
- the chuck 250 may include a lifter 251 to elevate the substrate 202 off a top surface of the chuck 250 by distance H 1 to control heating by the first showerhead 225 during the etch process.
- a system controller (not shown) may alternately energize the first and second plasmas 270 and 292 during the etching process by alternately powering the first and second RF coupled electrode pairs automatically.
- the chamber 200 may also be reconfigured to perform a deposition operation.
- a plasma 292 may be generated in the second chamber region 281 by an RF discharge which may be implemented in any of the manners described for the second plasma 292 .
- the first showerhead 225 may be isolated from a grounded chamber wall 240 by a dielectric spacer 230 so as to be electrically floating relative to the chamber wall.
- an oxidizer feed gas source such as molecular oxygen, may be delivered from gas distribution system 290 , and coupled with the gas inlet 276 .
- any silicon-containing precursor such as OMCTS for example, may be delivered from gas distribution system 290 , and directed into the first chamber region 284 to react with reactive species passing through the first showerhead 225 from the plasma 292 .
- the silicon-containing precursor may also be flowed through the gas inlet 276 along with the oxidizer.
- FIG. 3 shows a schematic cross-sectional view of a portion of an exemplary processing system 300 according to the disclosed technology.
- system 300 includes a more detailed view of an exemplary version of a top portion and related components of, for example, system 200 as previously described.
- System 300 includes a variety of components that may be utilized to deliver precursors to a processing chamber 307 through top plate 310 , which may be similar in aspects to top plate or cover 205 as previously described.
- Semiconductor processing system 300 may include remote plasma source 305 that may be configured to produce plasma effluents external to processing chamber 307 .
- Plasma effluents produced in remote plasma source 305 may include a variety of reactive and nonreactive species that may include one or more precursors including argon, helium, hydrogen, nitrogen, and additional inert or reactive precursors. Once generated by remote plasma source 305 , the effluents may be delivered to the processing chamber through an inlet assembly coupling the remote plasma source with the top plate 310 of the semiconductor processing chamber 307 .
- the inlet assembly may include a mounting assembly which may have at least two components in disclosed embodiments.
- a first component of an exemplary mounting assembly may include a gas block 315 which at least partially defines a central distribution channel 303 through which plasma effluents and/or precursors may be delivered to processing chamber 307 .
- Gas block 315 may be annular in shape and may include extended support sections 317 that may provide both an increased mating platform as well as improved structural support for a larger power supply such as remote plasma source 305 .
- a second component of the mounting assembly may include mounting block 325 further defining at least a portion of the central distribution channel 303 of the inlet assembly.
- Mounting block 325 may include a first mounting surface 326 and a second mounting surface 327 opposite the first mounting surface 326 .
- mounting block 325 may also include extended support sections 328 providing both an increased mating platform as well as improved structural support.
- Portions of mounting block 325 may define multiple sections of central distribution channel 303 , and may define similar or different shapes of the channel from each other.
- a first section 330 of mounting block 325 may define a first section of the central distribution channel 303 extending from the first mounting surface 326 to an intermediate portion of mounting block 325 .
- the first section 330 of mounting block 325 may be characterized by a cylindrical shape, or the section may be characterized by a first diameter.
- a second section 335 of mounting block 325 may be characterized by a similar or different shape than first section 330 of mounting block 325 .
- second section 335 of mounting block 325 may define a second section of central distribution channel 303 extending from the intermediate portion of mounting block 325 to the second mounting surface 327 .
- Second section 335 of mounting block 325 may be characterized by a conical shape, or may be characterized by an increasing diameter at least partially along the intermediate portion of mounting block 325 to the second mounting surface 327 .
- the inlet assembly coupling the remote plasma source with the top plate 310 may further include a precursor distribution assembly 320 defining a plurality of distribution channels fluidly coupled with an injection port 322 , which may be a single injection port in disclosed embodiments. As illustrated, injection port 322 may be fluidly coupled with a precursor injection line 324 configured to provide precursors which may bypass remote plasma source 305 .
- Precursor distribution assembly 320 will be discussed in greater detail below with reference to FIGS. 4A-4B .
- Precursor distribution assembly 320 may include a first surface 321 which may be coupled with gas block 315 .
- Precursor distribution assembly 320 may further include a second surface 323 opposite first surface 321 and coupled with mounting block 325 . In this way, the two components of the mounting assembly may be spatially separated by the precursor distribution assembly 320 .
- Top plate 310 may include a first surface 309 in which an opening 312 is defined. Top plate 310 may also include a second surface 311 opposite the first surface 309 . Opening 312 may be defined in top plate 310 from upper surface 309 to a lower surface 314 of opening 312 . Top plate 310 may further define a plurality of outlet distribution channels 316 defined from the lower surface 314 of opening 312 to the second surface 311 of top plate 310 , providing fluid communication with processing chamber 307 . Outlet distribution channels 316 may be distributed through top plate 310 in a variety of patterns and may be configured to provide a more uniform flow into processing chamber 307 .
- top plate 310 may further define a ledge 313 on which mounting block 325 may be seated.
- ledge 313 one or more o-rings 340 may be included to provide a seal between the inlet assembly via mounting block 325 and chamber 307 via top plate 310 .
- semiconductor processing system 300 may further include support assembly 350 in order to properly balance and support remote plasma source 305 .
- the support assembly 350 may include any number of mounting plates or other structural devices in order to provide such balance and support.
- Support assembly 350 coupled with the remote plasma source 305 may additionally include floating supports 355 that may provide further support in stabilization during system operation.
- the support assembly may include at least one, e.g. 1, 2, 3, 4, 8, 12, 20, etc. or more, support extension 355 extending from the support assembly 350 towards top plate 310 .
- Support extensions 355 may include a variety of shapes configured for bearing the weight of remote plasma source 305 , and as illustrated in FIG. 3 , may include an S-shape in disclosed embodiments.
- Support extensions 355 may be separated from top plate 310 in a first operational position in disclosed embodiments. Such a first operational position is illustrated in FIG. 3 and shows a gap between the support extensions 355 and top plate 310 . Although illustrated as a defined gap in FIG. 3 , it is to be understood that the first operational position may include any degree of spacing between the support extensions 355 and top plate 310 including a first degree of contact between the structures. Support extensions 355 may be utilized and configured to contact top plate 310 in a second operational position engageable during a processing operation.
- o-rings 340 may be used in the coupling of mounting block 325 with top plate 310 , and may aid in reducing leakage during operation, which may occur under vacuum conditions. Compression of o-rings 340 may occur both from vacuum conditions as well as from the weight of remote plasma source 305 . In such case, o-rings 340 may compress to an extent to allow support extensions 355 to engage top plate 310 of chamber 307 in the discussed second operational position. In a situation in which support extensions 355 contact top plate 310 in the first operational position, the second operational position may be differentiated from the first operational position by a second degree of contact between the support extensions 355 and top plate 310 .
- the second degree of contact may be greater or at a higher force than the first degree of contact, and may be due at least in part to vacuum conditions enacted during a processing operation.
- Support extensions 355 may then in turn reduce strain on the inlet assembly components as well as aid in reducing vibration during operation.
- FIGS. 4A and 4B shown are schematic cross-sectional views of a portion of an exemplary precursor distribution assembly 400 according to the disclosed technology, which includes a detailed view of an embodiment of precursor distribution assembly 320 previously described.
- the precursor distribution assembly 400 may include one or more plates, such as two plates 405 , 450 as illustrated, and may include an annular shape defining at least a portion of the central distribution channel.
- the precursor distribution assembly 400 may include up to or more than 1, 2, 3, 4, 5, 7, 10, etc. or more plates coupled together to produce the precursor distribution assembly 400 .
- the figures show a view of the precursor distribution assembly from the position of a remote plasma source, such as remote plasma source 305 previously described, and including a view of outlet distribution channels 498 , or in disclosed embodiments apertures of a baffle plate or showerhead included within a processing chamber.
- the precursor distribution assembly 400 may include at least two coupled plates, which at least partially define a plurality of distribution channels as will be described below.
- FIG. 4A illustrates a view of a first plate 405 which may be located proximate a gas block, such as gas block 315 previously described.
- First plate 405 may be annular in shape including an inner diameter 407 and an outer diameter 408 .
- First plate 405 may additionally define at least a portion of a central distribution channel 409 which may be similar to the central distribution channel 303 previously described.
- first plate 405 may be characterized by shapes other than an annular shape.
- First plate 405 may define an inlet port 410 , which may be similar to the precursor injection port 322 previously described. Inlet port 410 may provide access to a fluid delivery channel 412 also defined in first plate 405 . When coupled with a precursor source, such a configuration may provide a way in which the precursor may be distributed to a processing chamber while bypassing a remote plasma source. Delivery channel 412 may be fluidly coupled with a first distribution channel 415 defined between the inner diameter 407 and outer diameter 408 , and extending tangentially from delivery channel 412 and injection port 410 . First distribution channel 415 may at least partially extend about an interior circumference of first plate 405 .
- first distribution channel 415 extends bidirectionally about such a circumference from delivery channel 412 , and may extend up to a full circumference of the interior circumference. As illustrated in FIG. 4A , first distribution channel 415 may extend partially about the interior circumference, and may extend up to about 25%, about 50%, about 75%, or any other percent up to 100% of the full circumference. In embodiments first distribution channel 415 may extend about 50% of an interior circumference, or about 25% in each direction from delivery channel 412 , before extending to at least two secondary distribution channels 420 , 430 .
- Secondary distribution channels 420 , 430 may extend in a similar or different fashion than the first distribution channel 415 from delivery channel 412 . As illustrated, secondary distribution channels 420 , 430 may extend bidirectionally from distal portions of first distribution channel 415 about a second interior circumference of first plate 405 that is smaller than the first interior circumference. Secondary distribution channels 420 , 430 may extend partially about the second interior circumference, and may extend up to about 25%, about 50%, about 75%, or any other percent up to 100% of the full second interior circumference. In one embodiment as illustrated in FIG. 4A , secondary distribution channels 420 , 430 each extend less than about 30% of the full circumference of the second interior circumference.
- Each secondary distribution channel 420 , 430 may extend about the second interior circumference to two positions, such as positions 422 , 424 as illustrated for second distribution channel 420 .
- the secondary distribution channels may extend tangentially from first distribution channel 415 to at least two tertiary distribution apertures, such as apertures 425 A, 427 A as illustrated in FIG. 4A for secondary distribution channel 420 .
- the tertiary distribution apertures may be located at distal portions of the secondary distribution channels, and may be proximate the end positions, such as proximate positions 422 , 424 as illustrated.
- the tertiary distribution apertures may be at least partially defined by top plate 405 , and may provide access to second plate 450 .
- circumference is used in reference to a generally circular shape, it is understood that alternative geometries may be used for the distribution channels, and circumference may generally refer to a perimeter of such geometries.
- FIG. 4B illustrates a view of a second plate 450 which may be located proximate a mounting block, such as mounting block 325 previously described.
- Second plate 450 may be annular in shape including an inner diameter 452 and an outer diameter 454 .
- Second plate 450 may additionally define at least a portion of a central distribution channel 456 which may be similar to the central distribution channel 303 previously described.
- second plate 450 may be characterized by shapes other than an annular shape.
- Second plate 450 may at least partially define a portion of at least two tertiary distribution apertures 425 B, 427 B, which may provide fluid communication between first plate 405 and second plate 450 via the coupled tertiary distribution apertures, which may be partially defined by each plate. Second plate 450 may also at least partially define at least two tertiary distribution channels extending from the at least two tertiary distribution apertures. As illustrated in FIG. 4B , four tertiary distribution channels 432 , 434 , 436 , 438 are illustrated extending into a third interior circumference that may be equal to, greater than, or less than the second interior circumference.
- Each tertiary distribution channel may extend bidirectionally from a tertiary distribution aperture about the third interior circumference.
- Each tertiary distribution channel may extend partially about the third interior circumference, and may extend up to about 25%, about 50%, about 75%, or any other percent up to 100% of the full third interior circumference. In disclosed embodiments, each tertiary distribution channel extends less than about 25% of the third interior circumference,
- Second plate 450 may further define at least two quaternary distribution channels extending from the at least two tertiary distribution channels. As illustrated in FIG. 4B , second plate 450 defines at least one quaternary distribution channel 440 extending from each tertiary distribution channel, and in embodiments a plurality of quaternary distribution channels 440 extend from each tertiary distribution channel. Quaternary distribution channels 440 may extend to inner diameter 452 and provide access to the at least partially defined central distribution channel 456 . Accordingly, as illustrated in the two schematics the precursor distribution assembly 400 may define a plurality of distribution channels fluidly coupled with a single injection port, where the precursor distribution assembly includes at least two annular plates coupled with each other and at least partially defining a central distribution channel.
- a first plate of the at least two annular plates may define a fluid injection port as well as a first distribution channel tangentially extending from this injection port.
- a second plate of the at least two annular plates defines at least two secondary distribution channels, such as the tertiary and quaternary distribution channels discussed, where the secondary distribution channels are in fluid communication with the first distribution channel and the central distribution channel to provide an injected fluid substantially uniformly to the central distribution channel.
- This distribution configuration may provide a number of benefits over conventional schemes. For example, precursor mixing between a radicalized precursor provided by a remote plasma source and a non-radicalized precursor provided through the injection port of the precursor distribution assembly may occur prior to the precursors entering the processing chamber.
- the precursor distribution assembly may provide improved and more uniform interaction between the precursors based on the distribution channels within the precursor distribution assembly providing the injected precursor more uniformly across the central distribution channel.
- FIG. 5 shows a method 500 of etching that may reduce film contamination and provide more uniform precursor distribution according to the present technology.
- Method 500 may be performed in any of the systems previously described and may include optional operations including delivering a precursor for ionization to a remote plasma source.
- Method 500 may include generating a plasma within a remote plasma source to create plasma effluents of the first precursor in operation 510 .
- the remote plasma source may operate in a variety of plasma powers including up to 1000 Watts, 6000 Watts, 8000 Watts, 10,000 Watts, etc. or more.
- Method 500 may further include bypassing the remote plasma source with a second precursor flowed into a gas distribution assembly at operation 520 .
- the gas distribution assembly may be fluidly coupled with a remote plasma source, such as via a central distribution channel.
- Method 500 may also include contacting the second precursor with the plasma effluents of the first precursor to produce an etching formula at operation 530 .
- Contacting the precursors may occur externally to a processing chamber in which the etching may be performed, such as in the central distribution channel.
- the etching formula may be flowed into a processing chamber in which a substrate may be housed, and materials on the substrate may be etched with the etching formula.
- the sputtered particles may be carried through the system and deposited on the substrate being worked, which may result in short-circuiting or failure of the produced device. Accordingly, by utilizing the described methods increased device quality may be provided as well as increased chamber component life. Additionally, by utilizing a gas distribution assembly or precursor distribution assembly, such as those discussed previously, the methods may provide a more uniform distribution of the etching formula due to improved interaction and mixing provided in the central distribution channel. Consequently, more uniform etching may be performed on materials on the substrate, which may improve overall device quality.
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
Abstract
An exemplary semiconductor processing system may include a remote plasma source coupled with a processing chamber having a top plate. An inlet assembly may be used to couple the remote plasma source with the top plate and may include a mounting assembly, which in embodiments may include at least two components. The inlet assembly may further include a precursor distribution assembly defining a plurality of distribution channels fluidly coupled with an injection port.
Description
- This application is a continuation of U.S. application Ser. No. 14/108,692, now U.S. Pat. No. 9,287,095, filed Dec. 17, 2013, and hereby incorporated by reference for all purposes. This application is also related to U.S. application Ser. No. 14/108,683, and U.S. application Ser. No. 14/108,719, both of which were filed concurrently on Dec. 17, 2013, the entire disclosures of which are hereby incorporated by reference for all purposes.
- The present technology relates to semiconductor systems, processes, and equipment. More specifically, the present technology relates to systems and methods for reducing film contamination and equipment degradation.
- Integrated circuits are made possible by processes which produce intricately patterned material layers on substrate surfaces. Producing patterned material on a substrate requires controlled methods for removal of exposed material. Chemical etching is used for a variety of purposes including transferring a pattern in photoresist into underlying layers, thinning layers, or thinning lateral dimensions of features already present on the surface. Often it is desirable to have an etch process that etches one material faster than another facilitating, for example, a pattern transfer process. Such an etch process is said to be selective to the first material. As a result of the diversity of materials, circuits, and processes, etch processes have been developed with a selectivity towards a variety of materials.
- Etch processes may be termed wet or dry based on the materials used in the process. A wet HF etch preferentially removes silicon oxide over other dielectrics and materials. However, wet processes may have difficulty penetrating some constrained trenches and also may sometimes deform the remaining material. Dry etches produced in local plasmas formed within the substrate processing region can penetrate more constrained trenches and exhibit less deformation of delicate remaining structures. However, local plasmas may damage the substrate through the production of electric arcs as they discharge.
- Thus, there is a need for improved systems and methods that can be used to produce high quality devices and structures. These and other needs are addressed by the present technology.
- Systems, chambers, and processes are provided for controlling chamber degradation due to high voltage plasma. The systems may provide configurations for components that allow improved precursor distribution. The chambers may include modified components less likely to degrade due to exposure to plasma. The methods may provide for the limiting or prevention of chamber or component degradation as a result of etching processes performed by system tools.
- Exemplary semiconductor processing systems may include a remote plasma source coupled with a processing chamber having a top plate. An inlet assembly may be used to couple the remote plasma source with the top plate and may include a mounting assembly, which in embodiments may include at least two components. The inlet assembly may further include a precursor distribution assembly defining a plurality of distribution channels fluidly coupled with an injection port.
- A first component of the mounting assembly may include an annular gas block, and a second component of the mounting assembly may include a mounting block defining a channel and comprising a first mounting surface and a second mounting surface opposite the first mounting surface. In disclosed embodiments, a first section of the channel extending from the first mounting surface may be characterized by a first diameter. A second section of the channel extending from the first section of the channel to the second mounting surface may be characterized by an increasing diameter from the first section of the channel to the second mounting surface. In embodiments, the gas block may be coupled with a first surface of the precursor distribution assembly, and the mounting block may be coupled with a second surface of the precursor distribution assembly opposite the first surface of the precursor distribution assembly.
- In embodiments, the precursor distribution assembly may comprise an annular shape. The precursor distribution assembly may include at least two coupled plates, which at least partially define the plurality of distribution channels. A first plate of the at least two coupled plates may at least partially define a first distribution channel extending tangentially from a single injection port to at least two secondary distribution channels. The at least two secondary distribution channels may extend tangentially from the first distribution channel to at least two tertiary distribution apertures. A second plate of the at least two coupled plates may at least partially define a portion of the at least two tertiary distribution apertures. The second plate may further define at least two tertiary distribution channels extending from the at least two tertiary distribution apertures. The second plate may further define at least two quaternary distribution channels extending from the at least two tertiary distribution channels.
- Exemplary semiconductor processing systems according to the present technology may include a remote plasma source, and a processing chamber having a top plate. The systems may also include an inlet assembly coupling the remote plasma source with the top plate. The inlet assembly may include a precursor distribution assembly defining the plurality of distribution channels fluidly coupled with a single injection port. The precursor distribution assembly may also include at least two annular plates coupled with each other and at least partially defining a central distribution channel. A first plate of the at least two annular plates may define a single injection port and a first distribution channel tangentially extending from the single injection port. The second plate of the at least two annular plates may define at least two secondary distribution channels in fluid communication with the first distribution channel and the central distribution channel. The inlet assembly may further include a mounting assembly, and the mounting assembly may include at least two components spatially separated by the precursor distribution assembly. The semiconductor processing systems may also include a support assembly coupled with the remote plasma source and including at least one support extension extending from the support assembly towards the top plate. The support extension may be separated from the top plate in a first operational position, and the support extension may be configured to contact the top plate in a second operational position engageable during a processing operation.
- Etching methods may be performed utilizing any of the disclosed technology, and the methods may include generating a plasma with a remote plasma source to create plasma effluents of a first precursor. The methods may also include bypassing the remote plasma source with a second precursor flowed into a gas distribution assembly. The gas distribution assembly may be fluidly coupled with the remote plasma source, such as with a central distribution channel. The methods may include contacting the second precursor with the plasma effluents of the first precursor to produce an etching formula. The contacting of the precursors may occur externally to a processing chamber. The methods may also include etching materials on a substrate housed in the processing chamber with the etching formula.
- Such technology may provide numerous benefits over conventional systems and techniques. For example, degradation of chamber components may be prevented or limited due to external plasma generation. An additional advantage is that improved etching profiles may be provided based on improved precursor delivery. These and other embodiments, along with many of their advantages and features, are described in more detail in conjunction with the below description and attached figures.
- A further understanding of the nature and advantages of the disclosed technology may be realized by reference to the remaining portions of the specification and the drawings.
-
FIG. 1 shows a top plan view of an exemplary processing system according to the present technology. -
FIG. 2 shows a schematic cross-sectional view of an exemplary processing chamber according to the present technology. -
FIG. 3 shows a schematic cross-sectional view of a portion of an exemplary processing chamber according to the disclosed technology. -
FIGS. 4A-B show schematic cross-sectional views of a portion of an exemplary distribution assembly according to the disclosed technology. -
FIG. 5 shows a method of etching that may reduce film contamination according to the present technology. - Several of the Figures are included as schematics. It is to be understood that the Figures are for illustrative purposes, and are not to be considered of scale unless specifically stated to be as such.
- In the appended figures, similar components and/or features may have the same reference label. Further, various components of the same type may be distinguished by following the reference label by a letter that distinguishes among the similar components. If only the first reference label is used in the specification, the description is applicable to any one of the similar components having the same first reference label irrespective of the letter.
- The present technology includes systems and components for semiconductor processing. When plasmas are formed in situ in processing chambers, such as with a capacitively coupled plasma (“CCP”) for example, exposed surfaces of the chamber may be sputtered or degraded by the plasma or the species produced by the plasma. This may in part be caused by bombardment to the surfaces or surface coatings by generated plasma particles. The extent of the bombardment may itself be related to the voltage utilized in generating the plasma. For example, higher voltage may cause higher bombardment, and further degradation.
- Conventional technologies have often dealt with this degradation by providing replaceable components within the chamber. Accordingly, when coatings or components themselves are degraded, the component may be removed and replaced with a new component that will in turn degrade over time. However, the present systems may at least partially overcome or reduce this need to replace components by utilizing external plasma generation. Remote plasma sources may provide multiple benefits over internal plasma sources. For example, the remote plasma chamber core may be coated or composed of material specifically selected based on the plasma being produced. In this way, the remote plasma unit or components of the remote plasma unit such as the electrode may be protected to reduce wear and increase system life. Some conventional technologies utilizing remote plasma systems have reduced operational performance due to recombination of the plasma effluents based on longer flow paths. The present technology, however, may additionally overcome such issues by utilizing an inlet distribution system that reduces the length of travel for plasma species, as well as by allowing the generated plasma effluents to interact with other precursors nearer to the plasma source. Accordingly, the systems described herein provide improved performance and cost benefits over many conventional designs. These and other benefits will be described in detail below.
- Although the remaining disclosure will routinely identify specific etching processes utilizing the disclosed technology, it will be readily understood that the systems and methods are equally applicable to deposition and cleaning processes as may occur in the described chambers. Accordingly, the technology should not be considered to be so limited as for use with etching processes alone.
-
FIG. 1 shows a top plan view of one embodiment of aprocessing system 100 of deposition, etching, baking, and curing chambers according to embodiments. Theprocessing tool 100 depicted inFIG. 1 may contain a plurality of process chambers, 114A-D, atransfer chamber 110, aservice chamber 116, anintegrated metrology chamber 117, and a pair ofload lock chambers 106A-B. The process chambers may include structures or components similar to those described in relation toFIG. 2 , as well as additional processing chambers. - To transport substrates among the chambers, the
transfer chamber 110 may contain arobotic transport mechanism 113. Thetransport mechanism 113 may have a pair of substrate transport blades 113A attached to the distal ends of extendible arms 113B, respectively. The blades 113A may be used for carrying individual substrates to and from the process chambers. In operation, one of the substrate transport blades such as blade 113A of thetransport mechanism 113 may retrieve a substrate W from one of the load lock chambers such aschambers 106A-B and carry substrate W to a first stage of processing, for example, an etching process as described below inchambers 114A-D. If the chamber is occupied, the robot may wait until the processing is complete and then remove the processed substrate from the chamber with one blade 113A and may insert a new substrate with a second blade (not shown). Once the substrate is processed, it may then be moved to a second stage of processing. For each move, thetransport mechanism 113 generally may have one blade carrying a substrate and one blade empty to execute a substrate exchange. Thetransport mechanism 113 may wait at each chamber until an exchange can be accomplished. - Once processing is complete within the process chambers, the
transport mechanism 113 may move the substrate W from the last process chamber and transport the substrate W to a cassette within theload lock chambers 106A-B. From theload lock chambers 106A-B, the substrate may move into afactory interface 104. Thefactory interface 104 generally may operate to transfer substrates betweenpod loaders 105A-D in an atmospheric pressure clean environment and theload lock chambers 106A-B. The clean environment infactory interface 104 may be generally provided through air filtration processes, such as HEPA filtration, for example.Factory interface 104 may also include a substrate orienter/aligner (not shown) that may be used to properly align the substrates prior to processing. At least one substrate robot, such asrobots 108A-B, may be positioned infactory interface 104 to transport substrates between various positions/locations withinfactory interface 104 and to other locations in communication therewith.Robots 108A-B may be configured to travel along a track system withinenclosure 104 from a first end to a second end of thefactory interface 104. - The
processing system 100 may further include anintegrated metrology chamber 117 to provide control signals, which may provide adaptive control over any of the processes being performed in the processing chambers. Theintegrated metrology chamber 117 may include any of a variety of metrological devices to measure various film properties, such as thickness, roughness, composition, and the metrology devices may further be capable of characterizing grating parameters such as critical dimensions, sidewall angle, and feature height under vacuum in an automated manner. - Turning now to
FIG. 2 is shown a cross-sectional view of an exemplaryprocess chamber system 200 according to the present technology.Chamber 200 may be used, for example, in one or more of the processing chamber sections 114 of thesystem 100 previously discussed Generally, theetch chamber 200 may include a first capacitively-coupled plasma source to implement an ion milling operation and a second capacitively-coupled plasma source to implement an etching operation and to implement an optional deposition operation. Thechamber 200 may include groundedchamber walls 240 surrounding achuck 250. In embodiments, thechuck 250 may be an electrostatic chuck that clamps thesubstrate 202 to a top surface of thechuck 250 during processing, though other clamping mechanisms as would be known may also be utilized. Thechuck 250 may include an embeddedheat exchanger coil 217. In the exemplary embodiment, theheat exchanger coil 217 includes one or more heat transfer fluid channels through which heat transfer fluid, such as an ethylene glycol/water mix, may be passed to control the temperature of thechuck 250 and ultimately the temperature of thesubstrate 202. - The
chuck 250 may include amesh 249 coupled to a highvoltage DC supply 248 so that themesh 249 may carry a DC bias potential to implement the electrostatic clamping of thesubstrate 202. Thechuck 250 may be coupled with a first RF power source and in one such embodiment, themesh 249 may be coupled with the first RF power source so that both the DC voltage offset and the RF voltage potentials are coupled across a thin dielectric layer on the top surface of thechuck 250. In the illustrative embodiment, the first RF power source may include a first andsecond RF generator RF generators RF generator 252 may operate at 60 MHz to provide advantageous directionality. Where asecond RF generator 253 is also provided, the exemplary frequency may be 2 MHz. - With the
chuck 250 to be RF powered, an RF return path may be provided by afirst showerhead 225. Thefirst showerhead 225 may be disposed above the chuck to distribute a first feed gas into afirst chamber region 284 defined by thefirst showerhead 225 and thechamber wall 240. As such, thechuck 250 and thefirst showerhead 225 form a first RF coupled electrode pair to capacitively energize afirst plasma 270 of a first feed gas within afirst chamber region 284. A DC plasma bias, or RF bias, resulting from capacitive coupling of the RF powered chuck may generate an ion flux from thefirst plasma 270 to thesubstrate 202, e.g., Ar ions where the first feed gas is Ar, to provide an ion milling plasma. Thefirst showerhead 225 may be grounded or alternately coupled with anRF source 228 having one or more generators operable at a frequency other than that of thechuck 250, e.g., 13.56 MHz or 60 MHz. In the illustrated embodiment thefirst showerhead 225 may be selectably coupled to ground or theRF source 228 through therelay 227 which may be automatically controlled during the etch process, for example by a controller (not shown). In disclosed embodiments,chamber 200 may not includeshowerhead 225 ordielectric spacer 220, and may instead includeonly baffle 215 andshowerhead 210 described further below. - As further illustrated in the figure, the
etch chamber 200 may include a pump stack capable of high throughput at low process pressures. In embodiments, at least one turbomolecular pump first chamber region 284 through one ormore gate valves 260 and disposed below thechuck 250, opposite thefirst showerhead 225. The turbomolecular pumps chuck 250 may form part of a pedestal which is centered between the twoturbo pumps chamber wall 240 with a single turbo molecular pump having a center aligned with a center of thechuck 250. - Disposed above the
first showerhead 225 may be asecond showerhead 210. In one embodiment, during processing, the first feed gas source, for example, Argon delivered fromgas distribution system 290 may be coupled with agas inlet 276, and the first feed gas flowed through a plurality ofapertures 280 extending throughsecond showerhead 210, into thesecond chamber region 281, and through a plurality ofapertures 282 extending through thefirst showerhead 225 into thefirst chamber region 284. An additional flow distributor or baffle 215 havingapertures 278 may further distribute a firstfeed gas flow 216 across the diameter of theetch chamber 200 through adistribution region 218. In an alternate embodiment, the first feed gas may be flowed directly into thefirst chamber region 284 viaapertures 283 which are isolated from thesecond chamber region 281 as denoted by dashedline 223. -
Chamber 200 may additionally be reconfigured from the state illustrated to perform an etching operation. Asecondary electrode 205 may be disposed above thefirst showerhead 225 with asecond chamber region 281 there between. Thesecondary electrode 205 may further form a lid or top plate of theetch chamber 200. Thesecondary electrode 205 and thefirst showerhead 225 may be electrically isolated by adielectric ring 220 and form a second RF coupled electrode pair to capacitively discharge asecond plasma 292 of a second feed gas within thesecond chamber region 281. Advantageously, thesecond plasma 292 may not provide a significant RF bias potential on thechuck 250. At least one electrode of the second RF coupled electrode pair may be coupled with an RF source for energizing an etching plasma. Thesecondary electrode 205 may be electrically coupled with thesecond showerhead 210. In an exemplary embodiment, thefirst showerhead 225 may be coupled with a ground plane or floating and may be coupled to ground through arelay 227 allowing thefirst showerhead 225 to also be powered by theRF power source 228 during the ion milling mode of operation. Where thefirst showerhead 225 is grounded, anRF power source 208, having one or more RF generators operating at 13.56 MHz or 60 MHz, for example, may be coupled with thesecondary electrode 205 through arelay 207 which may allow thesecondary electrode 205 to also be grounded during other operational modes, such as during an ion milling operation, although thesecondary electrode 205 may also be left floating if thefirst showerhead 225 is powered. - A second feed gas source, such as nitrogen trifluoride, and a hydrogen source, such as ammonia, may be delivered from
gas distribution system 290, and coupled with thegas inlet 276 such as via dashedline 224. In this mode, the second feed gas may flow through thesecond showerhead 210 and may be energized in thesecond chamber region 281. Reactive species may then pass into thefirst chamber region 284 to react with thesubstrate 202. As further illustrated, for embodiments where thefirst showerhead 225 is a multi-channel showerhead, one or more feed gases may be provided to react with the reactive species generated by thesecond plasma 292. In one such embodiment, a water source may be coupled with the plurality ofapertures 283. - In an embodiment, the
chuck 250 may be movable along the distance H2 in a direction normal to thefirst showerhead 225. Thechuck 250 may be on an actuated mechanism surrounded by abellows 255, or the like, to allow thechuck 250 to move closer to or farther from thefirst showerhead 225 as a means of controlling heat transfer between thechuck 250 and thefirst showerhead 225, which may be at an elevated temperature of 80° C.-150° C., or more. As such, an etch process may be implemented by moving thechuck 250 between first and second predetermined positions relative to thefirst showerhead 225. Alternatively, thechuck 250 may include alifter 251 to elevate thesubstrate 202 off a top surface of thechuck 250 by distance H1 to control heating by thefirst showerhead 225 during the etch process. In other embodiments, where the etch process is performed at a fixed temperature such as about 90-110° C. for example, chuck displacement mechanisms may be avoided. A system controller (not shown) may alternately energize the first andsecond plasmas - The
chamber 200 may also be reconfigured to perform a deposition operation. Aplasma 292 may be generated in thesecond chamber region 281 by an RF discharge which may be implemented in any of the manners described for thesecond plasma 292. Where thefirst showerhead 225 is powered to generate theplasma 292 during a deposition, thefirst showerhead 225 may be isolated from a groundedchamber wall 240 by adielectric spacer 230 so as to be electrically floating relative to the chamber wall. In the exemplary embodiment, an oxidizer feed gas source, such as molecular oxygen, may be delivered fromgas distribution system 290, and coupled with thegas inlet 276. In embodiments where thefirst showerhead 225 is a multi-channel showerhead, any silicon-containing precursor, such as OMCTS for example, may be delivered fromgas distribution system 290, and directed into thefirst chamber region 284 to react with reactive species passing through thefirst showerhead 225 from theplasma 292. Alternatively the silicon-containing precursor may also be flowed through thegas inlet 276 along with the oxidizer. -
FIG. 3 shows a schematic cross-sectional view of a portion of anexemplary processing system 300 according to the disclosed technology. As illustrated,system 300 includes a more detailed view of an exemplary version of a top portion and related components of, for example,system 200 as previously described.System 300 includes a variety of components that may be utilized to deliver precursors to aprocessing chamber 307 throughtop plate 310, which may be similar in aspects to top plate or cover 205 as previously described.Semiconductor processing system 300 may includeremote plasma source 305 that may be configured to produce plasma effluents external toprocessing chamber 307. Plasma effluents produced inremote plasma source 305 may include a variety of reactive and nonreactive species that may include one or more precursors including argon, helium, hydrogen, nitrogen, and additional inert or reactive precursors. Once generated byremote plasma source 305, the effluents may be delivered to the processing chamber through an inlet assembly coupling the remote plasma source with thetop plate 310 of thesemiconductor processing chamber 307. - The inlet assembly may include a mounting assembly which may have at least two components in disclosed embodiments. A first component of an exemplary mounting assembly may include a
gas block 315 which at least partially defines acentral distribution channel 303 through which plasma effluents and/or precursors may be delivered toprocessing chamber 307.Gas block 315 may be annular in shape and may includeextended support sections 317 that may provide both an increased mating platform as well as improved structural support for a larger power supply such asremote plasma source 305. A second component of the mounting assembly may include mountingblock 325 further defining at least a portion of thecentral distribution channel 303 of the inlet assembly. Mountingblock 325 may include a first mountingsurface 326 and asecond mounting surface 327 opposite the first mountingsurface 326. In embodiments, mountingblock 325 may also includeextended support sections 328 providing both an increased mating platform as well as improved structural support. - Portions of mounting
block 325 may define multiple sections ofcentral distribution channel 303, and may define similar or different shapes of the channel from each other. For example, afirst section 330 of mountingblock 325 may define a first section of thecentral distribution channel 303 extending from the first mountingsurface 326 to an intermediate portion of mountingblock 325. In embodiments thefirst section 330 of mountingblock 325 may be characterized by a cylindrical shape, or the section may be characterized by a first diameter. Asecond section 335 of mountingblock 325 may be characterized by a similar or different shape thanfirst section 330 of mountingblock 325. In embodiments,second section 335 of mountingblock 325 may define a second section ofcentral distribution channel 303 extending from the intermediate portion of mountingblock 325 to the second mountingsurface 327.Second section 335 of mountingblock 325 may be characterized by a conical shape, or may be characterized by an increasing diameter at least partially along the intermediate portion of mountingblock 325 to the second mountingsurface 327. - The inlet assembly coupling the remote plasma source with the
top plate 310 may further include aprecursor distribution assembly 320 defining a plurality of distribution channels fluidly coupled with aninjection port 322, which may be a single injection port in disclosed embodiments. As illustrated,injection port 322 may be fluidly coupled with aprecursor injection line 324 configured to provide precursors which may bypassremote plasma source 305.Precursor distribution assembly 320 will be discussed in greater detail below with reference toFIGS. 4A-4B .Precursor distribution assembly 320 may include afirst surface 321 which may be coupled withgas block 315.Precursor distribution assembly 320 may further include asecond surface 323 oppositefirst surface 321 and coupled with mountingblock 325. In this way, the two components of the mounting assembly may be spatially separated by theprecursor distribution assembly 320. - Mounting
block 325 may be coupled withprocessing chamber 307 in a variety of ways, one embodiment of which is illustrated inFIG. 3 .Top plate 310 may include afirst surface 309 in which anopening 312 is defined.Top plate 310 may also include asecond surface 311 opposite thefirst surface 309. Opening 312 may be defined intop plate 310 fromupper surface 309 to alower surface 314 ofopening 312.Top plate 310 may further define a plurality ofoutlet distribution channels 316 defined from thelower surface 314 of opening 312 to thesecond surface 311 oftop plate 310, providing fluid communication withprocessing chamber 307.Outlet distribution channels 316 may be distributed throughtop plate 310 in a variety of patterns and may be configured to provide a more uniform flow intoprocessing chamber 307. Within opening 312,top plate 310 may further define aledge 313 on which mountingblock 325 may be seated. Withinledge 313 one or more o-rings 340 may be included to provide a seal between the inlet assembly via mountingblock 325 andchamber 307 viatop plate 310. - Many conventional power supplies utilized in plasma generation may provide power down below 100 kHz, 10 kHz, or less. Such power supplies often have a smaller footprint along with a lower weight of the electrical source itself. Modifying the system to accommodate the
remote plasma source 305 may require significant modifications to the inlet assembly to accommodate not only the larger size, but also the increased weight of the supply itself. Embodiments of the present technology may be specifically configured to accommodate such a remote plasma source as will be described in detail herein. - In order to accommodate the increased size and weight of the high-frequency
electrical source 305,semiconductor processing system 300 may further includesupport assembly 350 in order to properly balance and supportremote plasma source 305. Thesupport assembly 350 may include any number of mounting plates or other structural devices in order to provide such balance and support.Support assembly 350 coupled with theremote plasma source 305 may additionally include floatingsupports 355 that may provide further support in stabilization during system operation. In embodiments the support assembly may include at least one, e.g. 1, 2, 3, 4, 8, 12, 20, etc. or more,support extension 355 extending from thesupport assembly 350 towardstop plate 310.Support extensions 355 may include a variety of shapes configured for bearing the weight ofremote plasma source 305, and as illustrated inFIG. 3 , may include an S-shape in disclosed embodiments. -
Support extensions 355 may be separated fromtop plate 310 in a first operational position in disclosed embodiments. Such a first operational position is illustrated inFIG. 3 and shows a gap between thesupport extensions 355 andtop plate 310. Although illustrated as a defined gap inFIG. 3 , it is to be understood that the first operational position may include any degree of spacing between thesupport extensions 355 andtop plate 310 including a first degree of contact between the structures.Support extensions 355 may be utilized and configured to contacttop plate 310 in a second operational position engageable during a processing operation. - As previously discussed, o-
rings 340 may be used in the coupling of mountingblock 325 withtop plate 310, and may aid in reducing leakage during operation, which may occur under vacuum conditions. Compression of o-rings 340 may occur both from vacuum conditions as well as from the weight ofremote plasma source 305. In such case, o-rings 340 may compress to an extent to allowsupport extensions 355 to engagetop plate 310 ofchamber 307 in the discussed second operational position. In a situation in which supportextensions 355 contacttop plate 310 in the first operational position, the second operational position may be differentiated from the first operational position by a second degree of contact between thesupport extensions 355 andtop plate 310. In such a situation the second degree of contact may be greater or at a higher force than the first degree of contact, and may be due at least in part to vacuum conditions enacted during a processing operation.Support extensions 355 may then in turn reduce strain on the inlet assembly components as well as aid in reducing vibration during operation. - Turning to
FIGS. 4A and 4B , shown are schematic cross-sectional views of a portion of an exemplaryprecursor distribution assembly 400 according to the disclosed technology, which includes a detailed view of an embodiment ofprecursor distribution assembly 320 previously described. As illustrated inFIGS. 4A-4B , theprecursor distribution assembly 400 may include one or more plates, such as twoplates precursor distribution assembly 400 may include up to or more than 1, 2, 3, 4, 5, 7, 10, etc. or more plates coupled together to produce theprecursor distribution assembly 400. As illustrated, the figures show a view of the precursor distribution assembly from the position of a remote plasma source, such asremote plasma source 305 previously described, and including a view ofoutlet distribution channels 498, or in disclosed embodiments apertures of a baffle plate or showerhead included within a processing chamber. In disclosed embodiments theprecursor distribution assembly 400 may include at least two coupled plates, which at least partially define a plurality of distribution channels as will be described below. -
FIG. 4A illustrates a view of afirst plate 405 which may be located proximate a gas block, such asgas block 315 previously described.First plate 405 may be annular in shape including aninner diameter 407 and anouter diameter 408.First plate 405 may additionally define at least a portion of acentral distribution channel 409 which may be similar to thecentral distribution channel 303 previously described. In disclosed embodimentsfirst plate 405 may be characterized by shapes other than an annular shape. -
First plate 405 may define aninlet port 410, which may be similar to theprecursor injection port 322 previously described.Inlet port 410 may provide access to afluid delivery channel 412 also defined infirst plate 405. When coupled with a precursor source, such a configuration may provide a way in which the precursor may be distributed to a processing chamber while bypassing a remote plasma source.Delivery channel 412 may be fluidly coupled with afirst distribution channel 415 defined between theinner diameter 407 andouter diameter 408, and extending tangentially fromdelivery channel 412 andinjection port 410.First distribution channel 415 may at least partially extend about an interior circumference offirst plate 405. In embodimentsfirst distribution channel 415 extends bidirectionally about such a circumference fromdelivery channel 412, and may extend up to a full circumference of the interior circumference. As illustrated inFIG. 4A ,first distribution channel 415 may extend partially about the interior circumference, and may extend up to about 25%, about 50%, about 75%, or any other percent up to 100% of the full circumference. In embodimentsfirst distribution channel 415 may extend about 50% of an interior circumference, or about 25% in each direction fromdelivery channel 412, before extending to at least twosecondary distribution channels -
Secondary distribution channels first distribution channel 415 fromdelivery channel 412. As illustrated,secondary distribution channels first distribution channel 415 about a second interior circumference offirst plate 405 that is smaller than the first interior circumference.Secondary distribution channels FIG. 4A ,secondary distribution channels - Each
secondary distribution channel positions second distribution channel 420. The secondary distribution channels may extend tangentially fromfirst distribution channel 415 to at least two tertiary distribution apertures, such asapertures FIG. 4A forsecondary distribution channel 420. The tertiary distribution apertures may be located at distal portions of the secondary distribution channels, and may be proximate the end positions, such asproximate positions top plate 405, and may provide access tosecond plate 450. Although circumference is used in reference to a generally circular shape, it is understood that alternative geometries may be used for the distribution channels, and circumference may generally refer to a perimeter of such geometries. -
FIG. 4B illustrates a view of asecond plate 450 which may be located proximate a mounting block, such as mountingblock 325 previously described.Second plate 450 may be annular in shape including aninner diameter 452 and anouter diameter 454.Second plate 450 may additionally define at least a portion of acentral distribution channel 456 which may be similar to thecentral distribution channel 303 previously described. In disclosed embodimentssecond plate 450 may be characterized by shapes other than an annular shape. -
Second plate 450 may at least partially define a portion of at least twotertiary distribution apertures first plate 405 andsecond plate 450 via the coupled tertiary distribution apertures, which may be partially defined by each plate.Second plate 450 may also at least partially define at least two tertiary distribution channels extending from the at least two tertiary distribution apertures. As illustrated inFIG. 4B , fourtertiary distribution channels -
Second plate 450 may further define at least two quaternary distribution channels extending from the at least two tertiary distribution channels. As illustrated inFIG. 4B ,second plate 450 defines at least onequaternary distribution channel 440 extending from each tertiary distribution channel, and in embodiments a plurality ofquaternary distribution channels 440 extend from each tertiary distribution channel.Quaternary distribution channels 440 may extend toinner diameter 452 and provide access to the at least partially definedcentral distribution channel 456. Accordingly, as illustrated in the two schematics theprecursor distribution assembly 400 may define a plurality of distribution channels fluidly coupled with a single injection port, where the precursor distribution assembly includes at least two annular plates coupled with each other and at least partially defining a central distribution channel. - A first plate of the at least two annular plates may define a fluid injection port as well as a first distribution channel tangentially extending from this injection port. A second plate of the at least two annular plates defines at least two secondary distribution channels, such as the tertiary and quaternary distribution channels discussed, where the secondary distribution channels are in fluid communication with the first distribution channel and the central distribution channel to provide an injected fluid substantially uniformly to the central distribution channel. This distribution configuration may provide a number of benefits over conventional schemes. For example, precursor mixing between a radicalized precursor provided by a remote plasma source and a non-radicalized precursor provided through the injection port of the precursor distribution assembly may occur prior to the precursors entering the processing chamber. In this way, less recombination may occur from the radicalized species because of the shorter flow path provided by this design. Additionally, the precursor distribution assembly may provide improved and more uniform interaction between the precursors based on the distribution channels within the precursor distribution assembly providing the injected precursor more uniformly across the central distribution channel.
-
FIG. 5 shows amethod 500 of etching that may reduce film contamination and provide more uniform precursor distribution according to the present technology.Method 500 may be performed in any of the systems previously described and may include optional operations including delivering a precursor for ionization to a remote plasma source.Method 500 may include generating a plasma within a remote plasma source to create plasma effluents of the first precursor inoperation 510. The remote plasma source may operate in a variety of plasma powers including up to 1000 Watts, 6000 Watts, 8000 Watts, 10,000 Watts, etc. or more.Method 500 may further include bypassing the remote plasma source with a second precursor flowed into a gas distribution assembly atoperation 520. The gas distribution assembly may be fluidly coupled with a remote plasma source, such as via a central distribution channel. -
Method 500 may also include contacting the second precursor with the plasma effluents of the first precursor to produce an etching formula atoperation 530. Contacting the precursors may occur externally to a processing chamber in which the etching may be performed, such as in the central distribution channel. Atoperation 540, after allowing the precursors to interact, the etching formula may be flowed into a processing chamber in which a substrate may be housed, and materials on the substrate may be etched with the etching formula. By forming the plasma and plasma effluents externally to the processing chamber, degradation of chamber components or coatings may be reduced or prevented in embodiments. The sputtered particles may be carried through the system and deposited on the substrate being worked, which may result in short-circuiting or failure of the produced device. Accordingly, by utilizing the described methods increased device quality may be provided as well as increased chamber component life. Additionally, by utilizing a gas distribution assembly or precursor distribution assembly, such as those discussed previously, the methods may provide a more uniform distribution of the etching formula due to improved interaction and mixing provided in the central distribution channel. Consequently, more uniform etching may be performed on materials on the substrate, which may improve overall device quality. - In the preceding description, for the purposes of explanation, numerous details have been set forth in order to provide an understanding of various embodiments of the present technology. It will be apparent to one skilled in the art, however, that certain embodiments may be practiced without some of these details, or with additional details.
- Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the embodiments. Additionally, a number of well-known processes and elements have not been described in order to avoid unnecessarily obscuring the present technology. Accordingly, the above description should not be taken as limiting the scope of the technology.
- Where a range of values is provided, it is understood that each intervening value, to the smallest fraction of the unit of the lower limit, unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Any narrower range between any stated values or unstated intervening values in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of those smaller ranges may independently be included or excluded in the range, and each range where either, neither, or both limits are included in the smaller ranges is also encompassed within the technology, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.
- As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural references unless the context clearly dictates otherwise. Thus, for example, reference to “an aperture” includes a plurality of such apertures, and reference to “the plate” includes reference to one or more plates and equivalents thereof known to those skilled in the art, and so forth.
- Also, the words “comprise(s)”, “comprising”, “contain(s)”, “containing”, “include(s)”, and “including”, when used in this specification and in the following claims, are intended to specify the presence of stated features, integers, components, or operations, but they do not preclude the presence or addition of one or more other features, integers, components, operations, acts, or groups.
Claims (15)
1. A semiconductor processing system comprising:
an inlet assembly comprising:
a precursor distribution assembly defining a plurality of distribution channels fluidly coupled with an injection port, and
a mounting assembly comprising a gas block coupled upstream with the precursor distribution assembly, and a mounting block coupled downstream with the precursor distribution assembly.
2. The semiconductor processing system of claim 1 , wherein a remote plasma unit is coupled upstream of the gas block.
3. The semiconductor processing system of claim 1 , wherein the mounting block is coupled with a semiconductor processing chamber top plate.
4. The semiconductor processing system of claim 1 , wherein the mounting block defines a channel, and includes a first mounting surface and a second mounting surface opposite the first mounting surface.
5. The semiconductor processing system of claim 4 , wherein a first section of the channel extending from the first mounting surface is characterized by a first diameter.
6. The semiconductor processing system of claim 5 , wherein a second section of the channel extending from the first section of the channel to the second mounting surface is characterized by an increasing diameter at least partially along the first section of the channel towards the second mounting surface.
7. The semiconductor processing system of claim 1 , wherein the gas block is coupled with a first surface of the precursor distribution assembly and the mounting block is coupled with a second surface of the precursor distribution assembly opposite the first surface of the precursor distribution assembly.
8. The semiconductor processing system of claim 1 , wherein the precursor distribution assembly comprises an annular shape.
9. The semiconductor processing system of claim 1 , wherein the precursor distribution assembly comprises at least two coupled plates, which at least partially define the plurality of distribution channels.
10. The semiconductor processing system of claim 9 , wherein a first plate of the at least two coupled plates at least partially defines a first distribution channel extending tangentially from the single injection port to at least two secondary distribution channels.
11. The semiconductor processing system of claim 10 , wherein the at least two secondary distribution channels extend tangentially from the first distribution channel to at least two tertiary distribution apertures.
12. The semiconductor processing system of claim 11 , wherein a second plate of the at least two coupled plates at least partially defines a portion of the at least two tertiary distribution apertures, and wherein the second plate further defines at least two tertiary distribution channels extending from the at least two tertiary distribution apertures.
13. The semiconductor processing system of claim 12 , wherein the second plate further defines at least two quaternary distribution channels extending from the at least two tertiary distribution channels.
14. A semiconductor processing system comprising:
an inlet assembly comprising:
a precursor distribution assembly defining a plurality of distribution channels fluidly coupled with a single injection port, wherein the precursor distribution assembly comprises at least two annular plates coupled with each other and at least partially defining a central distribution channel, and wherein the injection port extends perpendicularly with respect to the central distribution channel, and
a mounting assembly, wherein the mounting assembly comprises at least two components spatially separated by the precursor distribution assembly; and
a support assembly including at least two support extensions extending parallel to the central distribution channel.
15. A support assembly comprising:
a mounting plate coupled with a first semiconductor processing system component; and
at least one support extension coupled with the mounting plate, wherein the at least one support extension is separated from a second semiconductor processing system component in a first operational position, and wherein the at least one support extension is configured to contact the second semiconductor processing system component in a second operational position engageable during a process operation.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/068,811 US20160217981A1 (en) | 2013-12-17 | 2016-03-14 | Semiconductor system assemblies and methods of operation |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/108,692 US9287095B2 (en) | 2013-12-17 | 2013-12-17 | Semiconductor system assemblies and methods of operation |
US15/068,811 US20160217981A1 (en) | 2013-12-17 | 2016-03-14 | Semiconductor system assemblies and methods of operation |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/108,692 Continuation US9287095B2 (en) | 2013-12-17 | 2013-12-17 | Semiconductor system assemblies and methods of operation |
Publications (1)
Publication Number | Publication Date |
---|---|
US20160217981A1 true US20160217981A1 (en) | 2016-07-28 |
Family
ID=53369368
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/108,692 Expired - Fee Related US9287095B2 (en) | 2013-12-17 | 2013-12-17 | Semiconductor system assemblies and methods of operation |
US15/068,811 Abandoned US20160217981A1 (en) | 2013-12-17 | 2016-03-14 | Semiconductor system assemblies and methods of operation |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/108,692 Expired - Fee Related US9287095B2 (en) | 2013-12-17 | 2013-12-17 | Semiconductor system assemblies and methods of operation |
Country Status (1)
Country | Link |
---|---|
US (2) | US9287095B2 (en) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20170200587A1 (en) * | 2016-01-07 | 2017-07-13 | Applied Materials, Inc. | Atomic layer etching system with remote plasma source and dc electrode |
US20170229309A1 (en) * | 2016-02-04 | 2017-08-10 | Applied Materials, Inc. | Flow distribution plate for surface fluorine reduction |
CN109755159A (en) * | 2018-12-29 | 2019-05-14 | 武汉华星光电技术有限公司 | Dry etching board and dry etching method |
WO2019147450A1 (en) * | 2018-01-24 | 2019-08-01 | Applied Materials, Inc. | Side inject designs for improved radical concentrations |
US10858727B2 (en) | 2016-08-19 | 2020-12-08 | Applied Materials, Inc. | High density, low stress amorphous carbon film, and process and equipment for its deposition |
Families Citing this family (132)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) * | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
EP2960358A1 (en) * | 2014-06-25 | 2015-12-30 | Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO | Plasma source and surface treatment method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
JP6696322B2 (en) * | 2016-06-24 | 2020-05-20 | 東京エレクトロン株式会社 | Gas processing apparatus, gas processing method and storage medium |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
CN110100298B (en) * | 2016-12-27 | 2022-10-04 | 瑞士艾发科技 | RF capacitively coupled dual frequency etch reactor |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
KR102453450B1 (en) * | 2017-10-23 | 2022-10-13 | 삼성전자주식회사 | apparatus for processing plasma, manufacturing system of semiconductor device and manufacturing method of the same |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (en) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | Systems and methods to form airgaps |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
KR102592922B1 (en) * | 2018-06-21 | 2023-10-23 | 삼성전자주식회사 | Substrate processing apparatus, signal source device, method of processing material layer, and method of fabricating semiconductor device |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
JP2022515081A (en) * | 2018-12-20 | 2022-02-17 | アプライド マテリアルズ インコーポレイテッド | Methods and equipment for supplying an improved gas flow to the processing space of the processing chamber |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
Family Cites Families (954)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2369620A (en) | 1941-03-07 | 1945-02-13 | Battelle Development Corp | Method of coating cupreous metal with tin |
US3451840A (en) | 1965-10-06 | 1969-06-24 | Us Air Force | Wire coated with boron nitride and boron |
US3969077A (en) | 1971-12-16 | 1976-07-13 | Varian Associates | Alkali metal leak detection method and apparatus |
US4397812A (en) | 1974-05-24 | 1983-08-09 | Richardson Chemical Company | Electroless nickel polyalloys |
US4632857A (en) | 1974-05-24 | 1986-12-30 | Richardson Chemical Company | Electrolessly plated product having a polymetallic catalytic film underlayer |
US4232060A (en) | 1979-01-22 | 1980-11-04 | Richardson Chemical Company | Method of preparing substrate surface for electroless plating and products produced thereby |
US3937857A (en) | 1974-07-22 | 1976-02-10 | Amp Incorporated | Catalyst for electroless deposition of metals |
US4006047A (en) | 1974-07-22 | 1977-02-01 | Amp Incorporated | Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates |
US4265943A (en) | 1978-11-27 | 1981-05-05 | Macdermid Incorporated | Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions |
US4234628A (en) | 1978-11-28 | 1980-11-18 | The Harshaw Chemical Company | Two-step preplate system for polymeric surfaces |
US4214946A (en) | 1979-02-21 | 1980-07-29 | International Business Machines Corporation | Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant |
US4361441A (en) | 1979-04-17 | 1982-11-30 | Plasma Holdings N.V. | Treatment of matter in low temperature plasmas |
US4209357A (en) | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
IT1130955B (en) | 1980-03-11 | 1986-06-18 | Oronzio De Nora Impianti | PROCEDURE FOR THE FORMATION OF ELECTROCES ON THE SURFACES OF SEMI-PERMEABLE MEMBRANES AND ELECTRODE-MEMBRANE SYSTEMS SO PRODUCED |
US4368223A (en) | 1981-06-01 | 1983-01-11 | Asahi Glass Company, Ltd. | Process for preparing nickel layer |
DE3205345A1 (en) | 1982-02-15 | 1983-09-01 | Philips Patentverwaltung Gmbh, 2000 Hamburg | "METHOD FOR THE PRODUCTION OF FLUOREDOTED LIGHT-CONDUCTING FIBERS" |
US4585920A (en) | 1982-05-21 | 1986-04-29 | Tegal Corporation | Plasma reactor removable insert |
JPS591671A (en) | 1982-05-28 | 1984-01-07 | Fujitsu Ltd | Plasma cvd device |
JPS6060060A (en) | 1983-09-12 | 1985-04-06 | 株式会社日立製作所 | Switchgear for door of railway rolling stock |
US4579618A (en) | 1984-01-06 | 1986-04-01 | Tegal Corporation | Plasma reactor apparatus |
US4656052A (en) | 1984-02-13 | 1987-04-07 | Kyocera Corporation | Process for production of high-hardness boron nitride film |
US4571819A (en) | 1984-11-01 | 1986-02-25 | Ncr Corporation | Method for forming trench isolation structures |
JPS61276977A (en) | 1985-05-30 | 1986-12-06 | Canon Inc | Formation of deposited film |
US4807016A (en) | 1985-07-15 | 1989-02-21 | Texas Instruments Incorporated | Dry etch of phosphosilicate glass with selectivity to undoped oxide |
US4714520A (en) | 1985-07-25 | 1987-12-22 | Advanced Micro Devices, Inc. | Method for filling a trench in an integrated circuit structure without producing voids |
US4749440A (en) | 1985-08-28 | 1988-06-07 | Fsi Corporation | Gaseous process and apparatus for removing films from substrates |
US4690746A (en) | 1986-02-24 | 1987-09-01 | Genus, Inc. | Interlayer dielectric process |
US4715937A (en) | 1986-05-05 | 1987-12-29 | The Board Of Trustees Of The Leland Stanford Junior University | Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
US4960488A (en) | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5228501A (en) | 1986-12-19 | 1993-07-20 | Applied Materials, Inc. | Physical vapor deposition clamping mechanism and heater/cooler |
US4892753A (en) | 1986-12-19 | 1990-01-09 | Applied Materials, Inc. | Process for PECVD of silicon oxide using TEOS decomposition |
US4872947A (en) | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
JPS63204726A (en) | 1987-02-20 | 1988-08-24 | Anelva Corp | Vacuum treatment device |
US5322976A (en) | 1987-02-24 | 1994-06-21 | Polyonics Corporation | Process for forming polyimide-metal laminates |
US4868071A (en) | 1987-02-24 | 1989-09-19 | Polyonics Corporation | Thermally stable dual metal coated laminate products made from textured polyimide film |
KR910006164B1 (en) | 1987-03-18 | 1991-08-16 | 가부시키가이샤 도시바 | Making method and there device of thin film |
US4793897A (en) | 1987-03-20 | 1988-12-27 | Applied Materials, Inc. | Selective thin film etch process |
US4786360A (en) | 1987-03-30 | 1988-11-22 | International Business Machines Corporation | Anisotropic etch process for tungsten metallurgy |
US5198034A (en) | 1987-03-31 | 1993-03-30 | Epsilon Technology, Inc. | Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment |
EP0286306B1 (en) | 1987-04-03 | 1993-10-06 | Fujitsu Limited | Method and apparatus for vapor deposition of diamond |
US4913929A (en) | 1987-04-21 | 1990-04-03 | The Board Of Trustees Of The Leland Stanford Junior University | Thermal/microwave remote plasma multiprocessing reactor and method of use |
US4753898A (en) | 1987-07-09 | 1988-06-28 | Motorola, Inc. | LDD CMOS process |
US4857140A (en) | 1987-07-16 | 1989-08-15 | Texas Instruments Incorporated | Method for etching silicon nitride |
US4820377A (en) | 1987-07-16 | 1989-04-11 | Texas Instruments Incorporated | Method for cleanup processing chamber and vacuum process module |
US4886570A (en) | 1987-07-16 | 1989-12-12 | Texas Instruments Incorporated | Processing apparatus and method |
US4904621A (en) | 1987-07-16 | 1990-02-27 | Texas Instruments Incorporated | Remote plasma generation process using a two-stage showerhead |
US4878994A (en) | 1987-07-16 | 1989-11-07 | Texas Instruments Incorporated | Method for etching titanium nitride local interconnects |
JPS6432627A (en) | 1987-07-29 | 1989-02-02 | Hitachi Ltd | Low-temperature dry etching method |
US4810520A (en) | 1987-09-23 | 1989-03-07 | Magnetic Peripherals Inc. | Method for controlling electroless magnetic plating |
US5180435A (en) | 1987-09-24 | 1993-01-19 | Research Triangle Institute, Inc. | Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer |
WO1989003587A1 (en) | 1987-10-14 | 1989-04-20 | The Furukawa Electric Co., Ltd. | Method and apparatus for thin film formation by plasma cvd |
US4865685A (en) | 1987-11-03 | 1989-09-12 | North Carolina State University | Dry etching of silicon carbide |
US4981551A (en) | 1987-11-03 | 1991-01-01 | North Carolina State University | Dry etching of silicon carbide |
US4851370A (en) | 1987-12-28 | 1989-07-25 | American Telephone And Telegraph Company, At&T Bell Laboratories | Fabricating a semiconductor device with low defect density oxide |
US4904341A (en) | 1988-08-22 | 1990-02-27 | Westinghouse Electric Corp. | Selective silicon dioxide etchant for superconductor integrated circuits |
US4894352A (en) | 1988-10-26 | 1990-01-16 | Texas Instruments Inc. | Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride |
JPH02121330A (en) | 1988-10-31 | 1990-05-09 | Hitachi Ltd | Plasma processing and device therefor |
KR930004115B1 (en) | 1988-10-31 | 1993-05-20 | 후지쓰 가부시끼가이샤 | Ashing apparatus and treatment method thereof |
EP0376252B1 (en) | 1988-12-27 | 1997-10-22 | Kabushiki Kaisha Toshiba | Method of removing an oxide film on a substrate |
US4985372A (en) | 1989-02-17 | 1991-01-15 | Tokyo Electron Limited | Method of forming conductive layer including removal of native oxide |
IT216961Z2 (en) | 1989-03-07 | 1991-10-21 | Roltra Spa | ELECTRIC LOCK ACTUATOR DEVICE |
JP2823276B2 (en) | 1989-03-18 | 1998-11-11 | 株式会社東芝 | Method for manufacturing X-ray mask and apparatus for controlling internal stress of thin film |
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US5061838A (en) | 1989-06-23 | 1991-10-29 | Massachusetts Institute Of Technology | Toroidal electron cyclotron resonance reactor |
US5270125A (en) | 1989-07-11 | 1993-12-14 | Redwood Microsystems, Inc. | Boron nutride membrane in wafer structure |
US5013691A (en) | 1989-07-31 | 1991-05-07 | At&T Bell Laboratories | Anisotropic deposition of silicon dioxide |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
US4994404A (en) | 1989-08-28 | 1991-02-19 | Motorola, Inc. | Method for forming a lightly-doped drain (LDD) structure in a semiconductor device |
US4980018A (en) | 1989-11-14 | 1990-12-25 | Intel Corporation | Plasma etching process for refractory metal vias |
EP0447155B1 (en) | 1990-03-12 | 1995-07-26 | Ngk Insulators, Ltd. | Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters |
JP2960466B2 (en) | 1990-03-19 | 1999-10-06 | 株式会社日立製作所 | Method and apparatus for forming wiring insulating film of semiconductor device |
US5089441A (en) | 1990-04-16 | 1992-02-18 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafers |
US5147692A (en) | 1990-05-08 | 1992-09-15 | Macdermid, Incorporated | Electroless plating of nickel onto surfaces such as copper or fused tungston |
US5238499A (en) | 1990-07-16 | 1993-08-24 | Novellus Systems, Inc. | Gas-based substrate protection during processing |
US5083030A (en) | 1990-07-18 | 1992-01-21 | Applied Photonics Research | Double-sided radiation-assisted processing apparatus |
JPH04228572A (en) | 1990-08-10 | 1992-08-18 | Sumitomo Electric Ind Ltd | Method for synthesizing hard boron nitride |
US5235139A (en) | 1990-09-12 | 1993-08-10 | Macdermid, Incorprated | Method for fabricating printed circuits |
US5089442A (en) | 1990-09-20 | 1992-02-18 | At&T Bell Laboratories | Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd |
KR930011413B1 (en) | 1990-09-25 | 1993-12-06 | 가부시키가이샤 한도오따이 에네루기 겐큐쇼 | Plasma cvd method for using pulsed waveform |
EP0478233B1 (en) | 1990-09-27 | 1996-01-03 | AT&T Corp. | Process for fabricating integrated circuits |
JPH04142738A (en) | 1990-10-04 | 1992-05-15 | Sony Corp | Dry-etching method |
US5549780A (en) | 1990-10-23 | 1996-08-27 | Semiconductor Energy Laboratory Co., Ltd. | Method for plasma processing and apparatus for plasma processing |
JP2640174B2 (en) | 1990-10-30 | 1997-08-13 | 三菱電機株式会社 | Semiconductor device and manufacturing method thereof |
JP3206916B2 (en) | 1990-11-28 | 2001-09-10 | 住友電気工業株式会社 | Method for reducing defect concentration, method for producing optical glass for transmitting ultraviolet light, and optical glass for transmitting ultraviolet light |
US5578130A (en) | 1990-12-12 | 1996-11-26 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus and method for depositing a film |
US5314724A (en) | 1991-01-08 | 1994-05-24 | Fujitsu Limited | Process for forming silicon oxide film |
JPH04239723A (en) | 1991-01-23 | 1992-08-27 | Nec Corp | Manufacture of semiconductor device |
JP2697315B2 (en) | 1991-01-23 | 1998-01-14 | 日本電気株式会社 | Method of forming fluorine-containing silicon oxide film |
JP2787142B2 (en) | 1991-03-01 | 1998-08-13 | 上村工業 株式会社 | Electroless tin, lead or their alloy plating method |
US5897751A (en) | 1991-03-11 | 1999-04-27 | Regents Of The University Of California | Method of fabricating boron containing coatings |
EP0511448A1 (en) | 1991-04-30 | 1992-11-04 | International Business Machines Corporation | Method and apparatus for in-situ and on-line monitoring of a trench formation process |
JPH04341568A (en) | 1991-05-16 | 1992-11-27 | Toshiba Corp | Method for forming thin film and device therefor |
EP0584252B1 (en) | 1991-05-17 | 1998-03-04 | Lam Research Corporation | A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT |
JP2699695B2 (en) | 1991-06-07 | 1998-01-19 | 日本電気株式会社 | Chemical vapor deposition |
US5203911A (en) | 1991-06-24 | 1993-04-20 | Shipley Company Inc. | Controlled electroless plating |
US5279865A (en) | 1991-06-28 | 1994-01-18 | Digital Equipment Corporation | High throughput interlevel dielectric gap filling process |
US5240497A (en) | 1991-10-08 | 1993-08-31 | Cornell Research Foundation, Inc. | Alkaline free electroless deposition |
JPH05226480A (en) | 1991-12-04 | 1993-09-03 | Nec Corp | Manufacture of semiconductor device |
US5290382A (en) | 1991-12-13 | 1994-03-01 | Hughes Aircraft Company | Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films |
US5352636A (en) | 1992-01-16 | 1994-10-04 | Applied Materials, Inc. | In situ method for cleaning silicon surface and forming layer thereon in same chamber |
US5300463A (en) | 1992-03-06 | 1994-04-05 | Micron Technology, Inc. | Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers |
JP3084497B2 (en) | 1992-03-25 | 2000-09-04 | 東京エレクトロン株式会社 | Method for etching SiO2 film |
JP2773530B2 (en) | 1992-04-15 | 1998-07-09 | 日本電気株式会社 | Method for manufacturing semiconductor device |
JP2792335B2 (en) | 1992-05-27 | 1998-09-03 | 日本電気株式会社 | Method for manufacturing semiconductor device |
KR100293830B1 (en) | 1992-06-22 | 2001-09-17 | 리차드 에이치. 로브그렌 | Plasma Purification Method for Removing Residues in Plasma Treatment Chamber |
US5252178A (en) | 1992-06-24 | 1993-10-12 | Texas Instruments Incorporated | Multi-zone plasma processing method and apparatus |
JP3688726B2 (en) | 1992-07-17 | 2005-08-31 | 株式会社東芝 | Manufacturing method of semiconductor device |
US5380560A (en) | 1992-07-28 | 1995-01-10 | International Business Machines Corporation | Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition |
US5271972A (en) | 1992-08-17 | 1993-12-21 | Applied Materials, Inc. | Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity |
US5326427A (en) | 1992-09-11 | 1994-07-05 | Lsi Logic Corporation | Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation |
US5306530A (en) | 1992-11-23 | 1994-04-26 | Associated Universities, Inc. | Method for producing high quality thin layer films on substrates |
JP2809018B2 (en) | 1992-11-26 | 1998-10-08 | 日本電気株式会社 | Semiconductor device and manufacturing method thereof |
KR100238629B1 (en) | 1992-12-17 | 2000-01-15 | 히가시 데쓰로 | Stage having eletrostatic chuck and plasma processing apparatus using same |
US5500249A (en) | 1992-12-22 | 1996-03-19 | Applied Materials, Inc. | Uniform tungsten silicide films produced by chemical vapor deposition |
US5756402A (en) | 1992-12-28 | 1998-05-26 | Kabushiki Kaisha Toshiba | Method of etching silicon nitride film |
US5624582A (en) | 1993-01-21 | 1997-04-29 | Vlsi Technology, Inc. | Optimization of dry etching through the control of helium backside pressure |
US5345999A (en) | 1993-03-17 | 1994-09-13 | Applied Materials, Inc. | Method and apparatus for cooling semiconductor wafers |
US5302233A (en) | 1993-03-19 | 1994-04-12 | Micron Semiconductor, Inc. | Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP) |
JP3236111B2 (en) | 1993-03-31 | 2001-12-10 | キヤノン株式会社 | Plasma processing apparatus and processing method |
US5695568A (en) | 1993-04-05 | 1997-12-09 | Applied Materials, Inc. | Chemical vapor deposition chamber |
KR0142150B1 (en) | 1993-04-09 | 1998-07-15 | 윌리엄 티. 엘리스 | Method for etching boron nitride |
US5416048A (en) | 1993-04-16 | 1995-05-16 | Micron Semiconductor, Inc. | Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage |
DE69432383D1 (en) | 1993-05-27 | 2003-05-08 | Applied Materials Inc | Improvements in substrate holders suitable for use in chemical vapor deposition devices |
US5591269A (en) | 1993-06-24 | 1997-01-07 | Tokyo Electron Limited | Vacuum processing apparatus |
US5413670A (en) | 1993-07-08 | 1995-05-09 | Air Products And Chemicals, Inc. | Method for plasma etching or cleaning with diluted NF3 |
US5560779A (en) | 1993-07-12 | 1996-10-01 | Olin Corporation | Apparatus for synthesizing diamond films utilizing an arc plasma |
WO1995002900A1 (en) | 1993-07-15 | 1995-01-26 | Astarix, Inc. | Aluminum-palladium alloy for initiation of electroless plating |
EP0637063B1 (en) | 1993-07-30 | 1999-11-03 | Applied Materials, Inc. | Method for depositing silicon nitride on silicium surfaces |
US5483920A (en) | 1993-08-05 | 1996-01-16 | Board Of Governors Of Wayne State University | Method of forming cubic boron nitride films |
US5685946A (en) | 1993-08-11 | 1997-11-11 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices |
US5468597A (en) | 1993-08-25 | 1995-11-21 | Shipley Company, L.L.C. | Selective metallization process |
US5384284A (en) | 1993-10-01 | 1995-01-24 | Micron Semiconductor, Inc. | Method to form a low resistant bond pad interconnect |
SE501888C2 (en) | 1993-10-18 | 1995-06-12 | Ladislav Bardos | A method and apparatus for generating a discharge in own vapor from a radio frequency electrode for continuous self-sputtering of the electrode |
JPH07130713A (en) | 1993-11-04 | 1995-05-19 | Fujitsu Ltd | Down flow etching apparatus |
JPH07161703A (en) | 1993-12-03 | 1995-06-23 | Ricoh Co Ltd | Manufacture of semiconductor device |
US5505816A (en) | 1993-12-16 | 1996-04-09 | International Business Machines Corporation | Etching of silicon dioxide selectively to silicon nitride and polysilicon |
JPH07193214A (en) | 1993-12-27 | 1995-07-28 | Mitsubishi Electric Corp | Via-hole and its formation |
US5415890A (en) | 1994-01-03 | 1995-05-16 | Eaton Corporation | Modular apparatus and method for surface treatment of parts with liquid baths |
US5403434A (en) | 1994-01-06 | 1995-04-04 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafer |
US5399237A (en) | 1994-01-27 | 1995-03-21 | Applied Materials, Inc. | Etching titanium nitride using carbon-fluoride and carbon-oxide gas |
US5451259A (en) | 1994-02-17 | 1995-09-19 | Krogh; Ole D. | ECR plasma source for remote processing |
US5439553A (en) | 1994-03-30 | 1995-08-08 | Penn State Research Foundation | Controlled etching of oxides via gas phase reactions |
US5468342A (en) | 1994-04-28 | 1995-11-21 | Cypress Semiconductor Corp. | Method of etching an oxide layer |
US6110838A (en) | 1994-04-29 | 2000-08-29 | Texas Instruments Incorporated | Isotropic polysilicon plus nitride stripping |
US5531835A (en) | 1994-05-18 | 1996-07-02 | Applied Materials, Inc. | Patterned susceptor to reduce electrostatic force in a CVD chamber |
US5628829A (en) | 1994-06-03 | 1997-05-13 | Materials Research Corporation | Method and apparatus for low temperature deposition of CVD and PECVD films |
US5665640A (en) | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
US5580421A (en) | 1994-06-14 | 1996-12-03 | Fsi International | Apparatus for surface conditioning |
US5767373A (en) | 1994-06-16 | 1998-06-16 | Novartis Finance Corporation | Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms |
EP0697467A1 (en) | 1994-07-21 | 1996-02-21 | Applied Materials, Inc. | Method and apparatus for cleaning a deposition chamber |
US5563105A (en) | 1994-09-30 | 1996-10-08 | International Business Machines Corporation | PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element |
JPH08148470A (en) | 1994-11-21 | 1996-06-07 | Sanyo Electric Co Ltd | Manufacture of semiconductor device |
TW344897B (en) | 1994-11-30 | 1998-11-11 | At&T Tcorporation | A process for forming gate oxides possessing different thicknesses on a semiconductor substrate |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
US5772770A (en) | 1995-01-27 | 1998-06-30 | Kokusai Electric Co, Ltd. | Substrate processing apparatus |
US5571576A (en) | 1995-02-10 | 1996-11-05 | Watkins-Johnson | Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition |
US6039851A (en) | 1995-03-22 | 2000-03-21 | Micron Technology, Inc. | Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines |
US5571577A (en) | 1995-04-07 | 1996-11-05 | Board Of Trustees Operating Michigan State University | Method and apparatus for plasma treatment of a surface |
JP3386287B2 (en) | 1995-05-08 | 2003-03-17 | 堀池 靖浩 | Plasma etching equipment |
US20010028922A1 (en) | 1995-06-07 | 2001-10-11 | Sandhu Gurtej S. | High throughput ILD fill process for high aspect ratio gap fill |
JP3599204B2 (en) | 1995-06-08 | 2004-12-08 | アネルバ株式会社 | CVD equipment |
JP2814370B2 (en) | 1995-06-18 | 1998-10-22 | 東京エレクトロン株式会社 | Plasma processing equipment |
US6197364B1 (en) | 1995-08-22 | 2001-03-06 | International Business Machines Corporation | Production of electroless Co(P) with designed coercivity |
US5755859A (en) | 1995-08-24 | 1998-05-26 | International Business Machines Corporation | Cobalt-tin alloys and their applications for devices, chip interconnections and packaging |
US6053982A (en) | 1995-09-01 | 2000-04-25 | Asm America, Inc. | Wafer support system |
US6228751B1 (en) | 1995-09-08 | 2001-05-08 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device |
US5719085A (en) | 1995-09-29 | 1998-02-17 | Intel Corporation | Shallow trench isolation technique |
US5716506A (en) | 1995-10-06 | 1998-02-10 | Board Of Trustees Of The University Of Illinois | Electrochemical sensors for gas detection |
US5635086A (en) | 1995-10-10 | 1997-06-03 | The Esab Group, Inc. | Laser-plasma arc metal cutting apparatus |
JPH09106899A (en) | 1995-10-11 | 1997-04-22 | Anelva Corp | Plasma cvd device and method, and dry etching device and method |
US5910340A (en) | 1995-10-23 | 1999-06-08 | C. Uyemura & Co., Ltd. | Electroless nickel plating solution and method |
US6015724A (en) | 1995-11-02 | 2000-01-18 | Semiconductor Energy Laboratory Co. | Manufacturing method of a semiconductor device |
US5648125A (en) | 1995-11-16 | 1997-07-15 | Cane; Frank N. | Electroless plating process for the manufacture of printed circuit boards |
US5599740A (en) | 1995-11-16 | 1997-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposit-etch-deposit ozone/teos insulator layer method |
JP4420986B2 (en) | 1995-11-21 | 2010-02-24 | 株式会社東芝 | Shallow trench isolated semiconductor substrate and method of manufacturing the same |
JPH09153481A (en) | 1995-11-30 | 1997-06-10 | Sumitomo Metal Ind Ltd | Apparatus for plasma processing |
US5846598A (en) | 1995-11-30 | 1998-12-08 | International Business Machines Corporation | Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating |
US5756400A (en) | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
US5733816A (en) | 1995-12-13 | 1998-03-31 | Micron Technology, Inc. | Method for depositing a tungsten layer on silicon |
US6261637B1 (en) | 1995-12-15 | 2001-07-17 | Enthone-Omi, Inc. | Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication |
WO1997022733A1 (en) | 1995-12-19 | 1997-06-26 | Fsi International | Electroless deposition of metal films with spray processor |
US5883012A (en) | 1995-12-21 | 1999-03-16 | Motorola, Inc. | Method of etching a trench into a semiconductor substrate |
DE69623651T2 (en) | 1995-12-27 | 2003-04-24 | Lam Res Corp | METHOD FOR FILLING TRENCHES ON A SEMICONDUCTOR DISC |
US5679606A (en) | 1995-12-27 | 1997-10-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | method of forming inter-metal-dielectric structure |
US5953591A (en) | 1995-12-28 | 1999-09-14 | Nippon Sanso Corporation | Process for laser detection of gas and contaminants in a wafer transport gas tunnel |
US6191026B1 (en) | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US5891513A (en) | 1996-01-16 | 1999-04-06 | Cornell Research Foundation | Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications |
US5824599A (en) | 1996-01-16 | 1998-10-20 | Cornell Research Foundation, Inc. | Protected encapsulation of catalytic layer for electroless copper interconnect |
US5674787A (en) | 1996-01-16 | 1997-10-07 | Sematech, Inc. | Selective electroless copper deposited interconnect plugs for ULSI applications |
US5872052A (en) | 1996-02-12 | 1999-02-16 | Micron Technology, Inc. | Planarization using plasma oxidized amorphous silicon |
US5648175A (en) | 1996-02-14 | 1997-07-15 | Applied Materials, Inc. | Chemical vapor deposition reactor system and integrated circuit |
US6004884A (en) | 1996-02-15 | 1999-12-21 | Lam Research Corporation | Methods and apparatus for etching semiconductor wafers |
US5656093A (en) | 1996-03-08 | 1997-08-12 | Applied Materials, Inc. | Wafer spacing mask for a substrate support chuck and method of fabricating same |
US5951601A (en) | 1996-03-25 | 1999-09-14 | Lesinski; S. George | Attaching an implantable hearing aid microactuator |
US5858876A (en) | 1996-04-01 | 1999-01-12 | Chartered Semiconductor Manufacturing, Ltd. | Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer |
US5712185A (en) | 1996-04-23 | 1998-01-27 | United Microelectronics | Method for forming shallow trench isolation |
US5843847A (en) | 1996-04-29 | 1998-12-01 | Applied Materials, Inc. | Method for etching dielectric layers with high selectivity and low microloading |
US6313035B1 (en) | 1996-05-31 | 2001-11-06 | Micron Technology, Inc. | Chemical vapor deposition using organometallic precursors |
US5820723A (en) | 1996-06-05 | 1998-10-13 | Lam Research Corporation | Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
US6048798A (en) | 1996-06-05 | 2000-04-11 | Lam Research Corporation | Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer |
US5846883A (en) | 1996-07-10 | 1998-12-08 | Cvc, Inc. | Method for multi-zone high-density inductively-coupled plasma generation |
US5846332A (en) | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5993916A (en) | 1996-07-12 | 1999-11-30 | Applied Materials, Inc. | Method for substrate processing with improved throughput and yield |
US6170428B1 (en) | 1996-07-15 | 2001-01-09 | Applied Materials, Inc. | Symmetric tunable inductively coupled HDP-CVD reactor |
US5781693A (en) | 1996-07-24 | 1998-07-14 | Applied Materials, Inc. | Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween |
US20010012700A1 (en) | 1998-12-15 | 2001-08-09 | Klaus F. Schuegraf | Semiconductor processing methods of chemical vapor depositing sio2 on a substrate |
US5661093A (en) | 1996-09-12 | 1997-08-26 | Applied Materials, Inc. | Method for the stabilization of halogen-doped films through the use of multiple sealing layers |
US5888906A (en) | 1996-09-16 | 1999-03-30 | Micron Technology, Inc. | Plasmaless dry contact cleaning method using interhalogen compounds |
US5747373A (en) | 1996-09-24 | 1998-05-05 | Taiwan Semiconductor Manufacturing Company Ltd. | Nitride-oxide sidewall spacer for salicide formation |
US5846375A (en) | 1996-09-26 | 1998-12-08 | Micron Technology, Inc. | Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment |
US5904827A (en) | 1996-10-15 | 1999-05-18 | Reynolds Tech Fabricators, Inc. | Plating cell with rotary wiper and megasonic transducer |
US5951776A (en) | 1996-10-25 | 1999-09-14 | Applied Materials, Inc. | Self aligning lift mechanism |
KR100237825B1 (en) | 1996-11-05 | 2000-01-15 | 윤종용 | Pedestal in semiconductor chamber |
US5804259A (en) | 1996-11-07 | 1998-09-08 | Applied Materials, Inc. | Method and apparatus for depositing a multilayered low dielectric constant film |
US5812403A (en) | 1996-11-13 | 1998-09-22 | Applied Materials, Inc. | Methods and apparatus for cleaning surfaces in a substrate processing system |
US5935334A (en) | 1996-11-13 | 1999-08-10 | Applied Materials, Inc. | Substrate processing apparatus with bottom-mounted remote plasma system |
US5939831A (en) | 1996-11-13 | 1999-08-17 | Applied Materials, Inc. | Methods and apparatus for pre-stabilized plasma generation for microwave clean applications |
US5882786A (en) | 1996-11-15 | 1999-03-16 | C3, Inc. | Gemstones formed of silicon carbide with diamond coating |
US5830805A (en) | 1996-11-18 | 1998-11-03 | Cornell Research Foundation | Electroless deposition equipment or apparatus and method of performing electroless deposition |
US6152070A (en) | 1996-11-18 | 2000-11-28 | Applied Materials, Inc. | Tandem process chamber |
US5855681A (en) | 1996-11-18 | 1999-01-05 | Applied Materials, Inc. | Ultra high throughput wafer vacuum processing system |
US5844195A (en) | 1996-11-18 | 1998-12-01 | Applied Materials, Inc. | Remote plasma source |
US5695810A (en) | 1996-11-20 | 1997-12-09 | Cornell Research Foundation, Inc. | Use of cobalt tungsten phosphide as a barrier material for copper metallization |
FR2756663B1 (en) | 1996-12-04 | 1999-02-26 | Berenguer Marc | PROCESS FOR TREATING A SEMICONDUCTOR SUBSTRATE COMPRISING A SURFACE TREATMENT STEP |
US5951896A (en) | 1996-12-04 | 1999-09-14 | Micro C Technologies, Inc. | Rapid thermal processing heater technology and method of use |
JPH10172792A (en) | 1996-12-05 | 1998-06-26 | Tokyo Electron Ltd | Plasma processing device |
US6312554B1 (en) | 1996-12-05 | 2001-11-06 | Applied Materials, Inc. | Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber |
US5843538A (en) | 1996-12-09 | 1998-12-01 | John L. Raymond | Method for electroless nickel plating of metal substrates |
US5953635A (en) | 1996-12-19 | 1999-09-14 | Intel Corporation | Interlayer dielectric with a composite dielectric stack |
US5913140A (en) | 1996-12-23 | 1999-06-15 | Lam Research Corporation | Method for reduction of plasma charging damage during chemical vapor deposition |
KR100234539B1 (en) | 1996-12-24 | 1999-12-15 | 윤종용 | Etching apparatus for semiconductor device |
US5955037A (en) | 1996-12-31 | 1999-09-21 | Atmi Ecosys Corporation | Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases |
DE19700231C2 (en) | 1997-01-07 | 2001-10-04 | Geesthacht Gkss Forschung | Device for filtering and separating flow media |
US5913147A (en) | 1997-01-21 | 1999-06-15 | Advanced Micro Devices, Inc. | Method for fabricating copper-aluminum metallization |
US5882424A (en) | 1997-01-21 | 1999-03-16 | Applied Materials, Inc. | Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field |
JPH10223608A (en) | 1997-02-04 | 1998-08-21 | Sony Corp | Manufacture of semiconductor device |
US5800621A (en) | 1997-02-10 | 1998-09-01 | Applied Materials, Inc. | Plasma source for HDP-CVD chamber |
US6035101A (en) | 1997-02-12 | 2000-03-07 | Applied Materials, Inc. | High temperature multi-layered alloy heater assembly and related methods |
US6013584A (en) | 1997-02-19 | 2000-01-11 | Applied Materials, Inc. | Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications |
US6190233B1 (en) | 1997-02-20 | 2001-02-20 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US6479373B2 (en) | 1997-02-20 | 2002-11-12 | Infineon Technologies Ag | Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases |
US5990000A (en) | 1997-02-20 | 1999-11-23 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US6059643A (en) | 1997-02-21 | 2000-05-09 | Aplex, Inc. | Apparatus and method for polishing a flat surface using a belted polishing pad |
US6376386B1 (en) | 1997-02-25 | 2002-04-23 | Fujitsu Limited | Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas |
US5789300A (en) | 1997-02-25 | 1998-08-04 | Advanced Micro Devices, Inc. | Method of making IGFETs in densely and sparsely populated areas of a substrate |
US5850105A (en) | 1997-03-21 | 1998-12-15 | Advanced Micro Devices, Inc. | Substantially planar semiconductor topography using dielectrics and chemical mechanical polish |
TW376547B (en) | 1997-03-27 | 1999-12-11 | Matsushita Electric Ind Co Ltd | Method and apparatus for plasma processing |
US5786276A (en) | 1997-03-31 | 1998-07-28 | Applied Materials, Inc. | Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2 |
US6030666A (en) | 1997-03-31 | 2000-02-29 | Lam Research Corporation | Method for microwave plasma substrate heating |
JPH10284360A (en) | 1997-04-02 | 1998-10-23 | Hitachi Ltd | Substrate temperature control equipment and method |
US5968610A (en) | 1997-04-02 | 1999-10-19 | United Microelectronics Corp. | Multi-step high density plasma chemical vapor deposition process |
US5866483A (en) | 1997-04-04 | 1999-02-02 | Applied Materials, Inc. | Method for anisotropically etching tungsten using SF6, CHF3, and N2 |
US6174450B1 (en) | 1997-04-16 | 2001-01-16 | Lam Research Corporation | Methods and apparatus for controlling ion energy and plasma density in a plasma processing system |
US6149828A (en) | 1997-05-05 | 2000-11-21 | Micron Technology, Inc. | Supercritical etching compositions and method of using same |
US6204200B1 (en) | 1997-05-05 | 2001-03-20 | Texas Instruments Incorporated | Process scheme to form controlled airgaps between interconnect lines to reduce capacitance |
US5969422A (en) | 1997-05-15 | 1999-10-19 | Advanced Micro Devices, Inc. | Plated copper interconnect structure |
US6083344A (en) | 1997-05-29 | 2000-07-04 | Applied Materials, Inc. | Multi-zone RF inductively coupled source configuration |
US5838055A (en) | 1997-05-29 | 1998-11-17 | International Business Machines Corporation | Trench sidewall patterned by vapor phase etching |
US6189483B1 (en) | 1997-05-29 | 2001-02-20 | Applied Materials, Inc. | Process kit |
US6136685A (en) | 1997-06-03 | 2000-10-24 | Applied Materials, Inc. | High deposition rate recipe for low dielectric constant films |
US5937323A (en) | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
US6706334B1 (en) | 1997-06-04 | 2004-03-16 | Tokyo Electron Limited | Processing method and apparatus for removing oxide film |
US5872058A (en) | 1997-06-17 | 1999-02-16 | Novellus Systems, Inc. | High aspect ratio gapfill process by using HDP |
US5885749A (en) | 1997-06-20 | 1999-03-23 | Clear Logic, Inc. | Method of customizing integrated circuits by selective secondary deposition of layer interconnect material |
US5933757A (en) | 1997-06-23 | 1999-08-03 | Lsi Logic Corporation | Etch process selective to cobalt silicide for formation of integrated circuit structures |
US6150628A (en) | 1997-06-26 | 2000-11-21 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6518155B1 (en) | 1997-06-30 | 2003-02-11 | Intel Corporation | Device structure and method for reducing silicide encroachment |
US6184121B1 (en) | 1997-07-10 | 2001-02-06 | International Business Machines Corporation | Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same |
JPH1136076A (en) | 1997-07-16 | 1999-02-09 | Tokyo Electron Ltd | Cvd deposition apparatus and cvd deposition method |
US5814365A (en) | 1997-08-15 | 1998-09-29 | Micro C Technologies, Inc. | Reactor and method of processing a semiconductor substate |
US6007635A (en) | 1997-11-26 | 1999-12-28 | Micro C Technologies, Inc. | Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing |
US6090212A (en) | 1997-08-15 | 2000-07-18 | Micro C Technologies, Inc. | Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate |
US6364957B1 (en) | 1997-10-09 | 2002-04-02 | Applied Materials, Inc. | Support assembly with thermal expansion compensation |
JP3874911B2 (en) | 1997-10-15 | 2007-01-31 | 株式会社Neomaxマテリアル | Plating method for micro plastic balls |
GB9722028D0 (en) | 1997-10-17 | 1997-12-17 | Shipley Company Ll C | Plating of polymers |
US6379575B1 (en) | 1997-10-21 | 2002-04-30 | Applied Materials, Inc. | Treatment of etching chambers using activated cleaning gas |
US6136693A (en) | 1997-10-27 | 2000-10-24 | Chartered Semiconductor Manufacturing Ltd. | Method for planarized interconnect vias using electroless plating and CMP |
US6013191A (en) | 1997-10-27 | 2000-01-11 | Advanced Refractory Technologies, Inc. | Method of polishing CVD diamond films by oxygen plasma |
US6536449B1 (en) | 1997-11-17 | 2003-03-25 | Mattson Technology Inc. | Downstream surface cleaning process |
US6063712A (en) | 1997-11-25 | 2000-05-16 | Micron Technology, Inc. | Oxide etch and method of etching |
US5849639A (en) | 1997-11-26 | 1998-12-15 | Lucent Technologies Inc. | Method for removing etching residues and contaminants |
US6077780A (en) | 1997-12-03 | 2000-06-20 | Advanced Micro Devices, Inc. | Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure |
US6143476A (en) | 1997-12-12 | 2000-11-07 | Applied Materials Inc | Method for high temperature etching of patterned layers using an organic mask stack |
US5976327A (en) | 1997-12-12 | 1999-11-02 | Applied Materials, Inc. | Step coverage and overhang improvement by pedestal bias voltage modulation |
US6083844A (en) | 1997-12-22 | 2000-07-04 | Lam Research Corporation | Techniques for etching an oxide layer |
US6406759B1 (en) | 1998-01-08 | 2002-06-18 | The University Of Tennessee Research Corporation | Remote exposure of workpieces using a recirculated plasma |
JPH11204442A (en) | 1998-01-12 | 1999-07-30 | Tokyo Electron Ltd | Single wafer heat treatment device |
US6140234A (en) | 1998-01-20 | 2000-10-31 | International Business Machines Corporation | Method to selectively fill recesses with conductive metal |
US5932077A (en) | 1998-02-09 | 1999-08-03 | Reynolds Tech Fabricators, Inc. | Plating cell with horizontal product load mechanism |
US6635578B1 (en) | 1998-02-09 | 2003-10-21 | Applied Materials, Inc | Method of operating a dual chamber reactor with neutral density decoupled from ion density |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6627532B1 (en) | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
US6340435B1 (en) | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6197688B1 (en) | 1998-02-12 | 2001-03-06 | Motorola Inc. | Interconnect structure in a semiconductor device and method of formation |
US6171661B1 (en) | 1998-02-25 | 2001-01-09 | Applied Materials, Inc. | Deposition of copper with increased adhesion |
JP4151862B2 (en) | 1998-02-26 | 2008-09-17 | キヤノンアネルバ株式会社 | CVD equipment |
US6892669B2 (en) | 1998-02-26 | 2005-05-17 | Anelva Corporation | CVD apparatus |
US6177222B1 (en) | 1998-03-12 | 2001-01-23 | Xerox Corporation | Coated photographic papers |
US6551939B2 (en) | 1998-03-17 | 2003-04-22 | Anneal Corporation | Plasma surface treatment method and resulting device |
US5920792A (en) | 1998-03-19 | 1999-07-06 | Winbond Electronics Corp | High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers |
US6194038B1 (en) | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
US6197181B1 (en) | 1998-03-20 | 2001-03-06 | Semitool, Inc. | Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece |
US6565729B2 (en) | 1998-03-20 | 2003-05-20 | Semitool, Inc. | Method for electrochemically depositing metal on a semiconductor workpiece |
US6602434B1 (en) | 1998-03-27 | 2003-08-05 | Applied Materials, Inc. | Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window |
US6395150B1 (en) | 1998-04-01 | 2002-05-28 | Novellus Systems, Inc. | Very high aspect ratio gapfill using HDP |
JP2002510878A (en) | 1998-04-02 | 2002-04-09 | アプライド マテリアルズ インコーポレイテッド | Method for etching a low-k dielectric |
US6117245A (en) | 1998-04-08 | 2000-09-12 | Applied Materials, Inc. | Method and apparatus for controlling cooling and heating fluids for a gas distribution plate |
US6416647B1 (en) | 1998-04-21 | 2002-07-09 | Applied Materials, Inc. | Electro-chemical deposition cell for face-up processing of single semiconductor substrates |
US6113771A (en) | 1998-04-21 | 2000-09-05 | Applied Materials, Inc. | Electro deposition chemistry |
US6179924B1 (en) | 1998-04-28 | 2001-01-30 | Applied Materials, Inc. | Heater for use in substrate processing apparatus to deposit tungsten |
US6093594A (en) | 1998-04-29 | 2000-07-25 | Advanced Micro Devices, Inc. | CMOS optimization method utilizing sacrificial sidewall spacer |
US6030881A (en) | 1998-05-05 | 2000-02-29 | Novellus Systems, Inc. | High throughput chemical vapor deposition process capable of filling high aspect ratio structures |
US6218288B1 (en) | 1998-05-11 | 2001-04-17 | Micron Technology, Inc. | Multiple step methods for forming conformal layers |
EP0959496B1 (en) | 1998-05-22 | 2006-07-19 | Applied Materials, Inc. | Methods for forming self-planarized dielectric layer for shallow trench isolation |
US6086677A (en) | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
KR100296137B1 (en) | 1998-06-16 | 2001-08-07 | 박종섭 | method for fabricating semiconductor device having HDP-CVD oxide layer as passivation layer |
JP2000012514A (en) | 1998-06-19 | 2000-01-14 | Hitachi Ltd | Post-treating method |
US6147009A (en) | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
US6562128B1 (en) | 2001-11-28 | 2003-05-13 | Seh America, Inc. | In-situ post epitaxial treatment process |
WO2000005747A2 (en) | 1998-06-30 | 2000-02-03 | Semitool, Inc. | Metallization structures for microelectronic applications and process for forming the structures |
US6037018A (en) | 1998-07-01 | 2000-03-14 | Taiwan Semiconductor Maufacturing Company | Shallow trench isolation filled by high density plasma chemical vapor deposition |
US6248429B1 (en) | 1998-07-06 | 2001-06-19 | Micron Technology, Inc. | Metallized recess in a substrate |
JP2000026975A (en) | 1998-07-09 | 2000-01-25 | Komatsu Ltd | Surface treating device |
KR100265866B1 (en) | 1998-07-11 | 2000-12-01 | 황철주 | Apparatus for manufacturing semiconductor device |
US6063683A (en) | 1998-07-27 | 2000-05-16 | Acer Semiconductor Manufacturing, Inc. | Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells |
US6436816B1 (en) | 1998-07-31 | 2002-08-20 | Industrial Technology Research Institute | Method of electroless plating copper on nitride barrier |
US6074954A (en) | 1998-08-31 | 2000-06-13 | Applied Materials, Inc | Process for control of the shape of the etch front in the etching of polysilicon |
US6383951B1 (en) | 1998-09-03 | 2002-05-07 | Micron Technology, Inc. | Low dielectric constant material for integrated circuit fabrication |
US6440863B1 (en) | 1998-09-04 | 2002-08-27 | Taiwan Semiconductor Manufacturing Company | Plasma etch method for forming patterned oxygen containing plasma etchable layer |
US6165912A (en) | 1998-09-17 | 2000-12-26 | Cfmt, Inc. | Electroless metal deposition of electronic components in an enclosable vessel |
US6037266A (en) | 1998-09-28 | 2000-03-14 | Taiwan Semiconductor Manufacturing Company | Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher |
JP3725708B2 (en) | 1998-09-29 | 2005-12-14 | 株式会社東芝 | Semiconductor device |
US6277733B1 (en) | 1998-10-05 | 2001-08-21 | Texas Instruments Incorporated | Oxygen-free, dry plasma process for polymer removal |
JP3764594B2 (en) | 1998-10-12 | 2006-04-12 | 株式会社日立製作所 | Plasma processing method |
US6180523B1 (en) | 1998-10-13 | 2001-01-30 | Industrial Technology Research Institute | Copper metallization of USLI by electroless process |
US6228758B1 (en) | 1998-10-14 | 2001-05-08 | Advanced Micro Devices, Inc. | Method of making dual damascene conductive interconnections and integrated circuit device comprising same |
US6251802B1 (en) | 1998-10-19 | 2001-06-26 | Micron Technology, Inc. | Methods of forming carbon-containing layers |
US6107199A (en) | 1998-10-24 | 2000-08-22 | International Business Machines Corporation | Method for improving the morphology of refractory metal thin films |
JP3064268B2 (en) | 1998-10-29 | 2000-07-12 | アプライド マテリアルズ インコーポレイテッド | Film forming method and apparatus |
US6176198B1 (en) | 1998-11-02 | 2001-01-23 | Applied Materials, Inc. | Apparatus and method for depositing low K dielectric materials |
US6462371B1 (en) | 1998-11-24 | 2002-10-08 | Micron Technology Inc. | Films doped with carbon for use in integrated circuit technology |
US6203863B1 (en) | 1998-11-27 | 2001-03-20 | United Microelectronics Corp. | Method of gap filling |
US6228233B1 (en) | 1998-11-30 | 2001-05-08 | Applied Materials, Inc. | Inflatable compliant bladder assembly |
US6251236B1 (en) | 1998-11-30 | 2001-06-26 | Applied Materials, Inc. | Cathode contact ring for electrochemical deposition |
US6258220B1 (en) | 1998-11-30 | 2001-07-10 | Applied Materials, Inc. | Electro-chemical deposition system |
US6015747A (en) | 1998-12-07 | 2000-01-18 | Advanced Micro Device | Method of metal/polysilicon gate formation in a field effect transistor |
US6242349B1 (en) | 1998-12-09 | 2001-06-05 | Advanced Micro Devices, Inc. | Method of forming copper/copper alloy interconnection with reduced electromigration |
US6364954B2 (en) | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
EP1014434B1 (en) | 1998-12-24 | 2008-03-26 | ATMEL Germany GmbH | Method for anisotropically plasma dry-etching a silicon nitride layer with a gas mixture containing fluorine |
KR20000044928A (en) | 1998-12-30 | 2000-07-15 | 김영환 | Method for forming trench of semiconductor device |
DE19901210A1 (en) | 1999-01-14 | 2000-07-27 | Siemens Ag | Semiconductor component and method for its production |
US6499425B1 (en) | 1999-01-22 | 2002-12-31 | Micron Technology, Inc. | Quasi-remote plasma processing method and apparatus |
TW428256B (en) | 1999-01-25 | 2001-04-01 | United Microelectronics Corp | Structure of conducting-wire layer and its fabricating method |
JP3330554B2 (en) | 1999-01-27 | 2002-09-30 | 松下電器産業株式会社 | Etching method |
US6245669B1 (en) | 1999-02-05 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company | High selectivity Si-rich SiON etch-stop layer |
US6010962A (en) | 1999-02-12 | 2000-01-04 | Taiwan Semiconductor Manufacturing Company | Copper chemical-mechanical-polishing (CMP) dishing |
US6245670B1 (en) | 1999-02-19 | 2001-06-12 | Advanced Micro Devices, Inc. | Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure |
US6291282B1 (en) | 1999-02-26 | 2001-09-18 | Texas Instruments Incorporated | Method of forming dual metal gate structures or CMOS devices |
US6136163A (en) | 1999-03-05 | 2000-10-24 | Applied Materials, Inc. | Apparatus for electro-chemical deposition with thermal anneal chamber |
US6312995B1 (en) | 1999-03-08 | 2001-11-06 | Advanced Micro Devices, Inc. | MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration |
US6197705B1 (en) | 1999-03-18 | 2001-03-06 | Chartered Semiconductor Manufacturing Ltd. | Method of silicon oxide and silicon glass films deposition |
US6797189B2 (en) | 1999-03-25 | 2004-09-28 | Hoiman (Raymond) Hung | Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon |
US6238582B1 (en) | 1999-03-30 | 2001-05-29 | Veeco Instruments, Inc. | Reactive ion beam etching method and a thin film head fabricated using the method |
US6144099A (en) | 1999-03-30 | 2000-11-07 | Advanced Micro Devices, Inc. | Semiconductor metalization barrier |
JP2000290777A (en) | 1999-04-07 | 2000-10-17 | Tokyo Electron Ltd | Gas treating device, buffle member, and gas treating method |
US6099697A (en) | 1999-04-13 | 2000-08-08 | Applied Materials, Inc. | Method of and apparatus for restoring a support surface in a semiconductor wafer processing system |
US6110836A (en) | 1999-04-22 | 2000-08-29 | Applied Materials, Inc. | Reactive plasma etch cleaning of high aspect ratio openings |
US6541671B1 (en) | 2002-02-13 | 2003-04-01 | The Regents Of The University Of California | Synthesis of 2H- and 13C-substituted dithanes |
JP3099066B1 (en) | 1999-05-07 | 2000-10-16 | 東京工業大学長 | Manufacturing method of thin film structure |
JP3482904B2 (en) | 1999-05-10 | 2004-01-06 | 松下電器産業株式会社 | Plasma processing method and apparatus |
US6323128B1 (en) | 1999-05-26 | 2001-11-27 | International Business Machines Corporation | Method for forming Co-W-P-Au films |
JP3320685B2 (en) | 1999-06-02 | 2002-09-03 | 株式会社半導体先端テクノロジーズ | Fine pattern forming method |
US6174812B1 (en) | 1999-06-08 | 2001-01-16 | United Microelectronics Corp. | Copper damascene technology for ultra large scale integration circuits |
US20020033233A1 (en) | 1999-06-08 | 2002-03-21 | Stephen E. Savas | Icp reactor having a conically-shaped plasma-generating section |
US6821571B2 (en) | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
US6110530A (en) | 1999-06-25 | 2000-08-29 | Applied Materials, Inc. | CVD method of depositing copper films by using improved organocopper precursor blend |
FR2795555B1 (en) | 1999-06-28 | 2002-12-13 | France Telecom | METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE INCLUDING AN ALTERNATIVELY STACKED LAYER OF SILICON AND LAYERS OF DIELECTRIC MATERIAL |
US6277752B1 (en) | 1999-06-28 | 2001-08-21 | Taiwan Semiconductor Manufacturing Company | Multiple etch method for forming residue free patterned hard mask layer |
US6245192B1 (en) | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6415736B1 (en) | 1999-06-30 | 2002-07-09 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6352081B1 (en) | 1999-07-09 | 2002-03-05 | Applied Materials, Inc. | Method of cleaning a semiconductor device processing chamber after a copper etch process |
US6516815B1 (en) | 1999-07-09 | 2003-02-11 | Applied Materials, Inc. | Edge bead removal/spin rinse dry (EBR/SRD) module |
US6258223B1 (en) | 1999-07-09 | 2001-07-10 | Applied Materials, Inc. | In-situ electroless copper seed layer enhancement in an electroplating system |
US6351013B1 (en) | 1999-07-13 | 2002-02-26 | Advanced Micro Devices, Inc. | Low-K sub spacer pocket formation for gate capacitance reduction |
US6342733B1 (en) | 1999-07-27 | 2002-01-29 | International Business Machines Corporation | Reduced electromigration and stressed induced migration of Cu wires by surface coating |
US6281135B1 (en) | 1999-08-05 | 2001-08-28 | Axcelis Technologies, Inc. | Oxygen free plasma stripping process |
US6237527B1 (en) | 1999-08-06 | 2001-05-29 | Axcelis Technologies, Inc. | System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate |
US6235643B1 (en) | 1999-08-10 | 2001-05-22 | Applied Materials, Inc. | Method for etching a trench having rounded top and bottom corners in a silicon substrate |
ATE420454T1 (en) | 1999-08-17 | 2009-01-15 | Tokyo Electron Ltd | PULSED PLASMA TREATMENT METHOD AND APPARATUS |
JP4220075B2 (en) | 1999-08-20 | 2009-02-04 | 東京エレクトロン株式会社 | Film forming method and film forming apparatus |
US6322716B1 (en) | 1999-08-30 | 2001-11-27 | Cypress Semiconductor Corp. | Method for conditioning a plasma etch chamber |
US6375748B1 (en) | 1999-09-01 | 2002-04-23 | Applied Materials, Inc. | Method and apparatus for preventing edge deposition |
US6441492B1 (en) | 1999-09-10 | 2002-08-27 | James A. Cunningham | Diffusion barriers for copper interconnect systems |
US6503843B1 (en) | 1999-09-21 | 2003-01-07 | Applied Materials, Inc. | Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill |
US6432819B1 (en) | 1999-09-27 | 2002-08-13 | Applied Materials, Inc. | Method and apparatus of forming a sputtered doped seed layer |
US6287643B1 (en) | 1999-09-30 | 2001-09-11 | Novellus Systems, Inc. | Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor |
US6153935A (en) | 1999-09-30 | 2000-11-28 | International Business Machines Corporation | Dual etch stop/diffusion barrier for damascene interconnects |
US6423284B1 (en) | 1999-10-18 | 2002-07-23 | Advanced Technology Materials, Inc. | Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases |
US6364949B1 (en) | 1999-10-19 | 2002-04-02 | Applied Materials, Inc. | 300 mm CVD chamber design for metal-organic thin film deposition |
KR100338768B1 (en) | 1999-10-25 | 2002-05-30 | 윤종용 | Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer |
US20010041444A1 (en) | 1999-10-29 | 2001-11-15 | Jeffrey A. Shields | Tin contact barc for tungsten polished contacts |
US6551924B1 (en) | 1999-11-02 | 2003-04-22 | International Business Machines Corporation | Post metalization chem-mech polishing dielectric etch |
JP2001274111A (en) | 1999-11-09 | 2001-10-05 | Applied Materials Inc | Chemical plasma cleaning for salicide process |
JP3366301B2 (en) | 1999-11-10 | 2003-01-14 | 日本電気株式会社 | Plasma CVD equipment |
TW484170B (en) | 1999-11-30 | 2002-04-21 | Applied Materials Inc | Integrated modular processing platform |
US6342453B1 (en) | 1999-12-03 | 2002-01-29 | Applied Materials, Inc. | Method for CVD process control for enhancing device performance |
US6277763B1 (en) | 1999-12-16 | 2001-08-21 | Applied Materials, Inc. | Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen |
US6350697B1 (en) | 1999-12-22 | 2002-02-26 | Lam Research Corporation | Method of cleaning and conditioning plasma reaction chamber |
WO2001046492A1 (en) | 1999-12-22 | 2001-06-28 | Tokyo Electron Limited | Method and system for reducing damage to substrates during plasma processing with a resonator source |
US6238513B1 (en) | 1999-12-28 | 2001-05-29 | International Business Machines Corporation | Wafer lift assembly |
KR20010058774A (en) | 1999-12-30 | 2001-07-06 | 박종섭 | Method for manufacturing semiconductor device |
KR100767762B1 (en) | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | A CVD semiconductor-processing device provided with a remote plasma source for self cleaning |
US6772827B2 (en) | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6477980B1 (en) | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6656831B1 (en) | 2000-01-26 | 2003-12-02 | Applied Materials, Inc. | Plasma-enhanced chemical vapor deposition of a metal nitride layer |
US6494959B1 (en) | 2000-01-28 | 2002-12-17 | Applied Materials, Inc. | Process and apparatus for cleaning a silicon surface |
EP1124252A2 (en) | 2000-02-10 | 2001-08-16 | Applied Materials, Inc. | Apparatus and process for processing substrates |
JP3723712B2 (en) | 2000-02-10 | 2005-12-07 | 株式会社日立国際電気 | Substrate processing apparatus and substrate processing method |
US6743473B1 (en) | 2000-02-16 | 2004-06-01 | Applied Materials, Inc. | Chemical vapor deposition of barriers from novel precursors |
US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US6350320B1 (en) | 2000-02-22 | 2002-02-26 | Applied Materials, Inc. | Heater for processing chamber |
US6319766B1 (en) | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
US6391788B1 (en) | 2000-02-25 | 2002-05-21 | Applied Materials, Inc. | Two etchant etch method |
US6958098B2 (en) | 2000-02-28 | 2005-10-25 | Applied Materials, Inc. | Semiconductor wafer support lift-pin assembly |
JP3979791B2 (en) | 2000-03-08 | 2007-09-19 | 株式会社ルネサステクノロジ | Semiconductor device and manufacturing method thereof |
KR100350056B1 (en) | 2000-03-09 | 2002-08-24 | 삼성전자 주식회사 | Method of forming a self-aligned contact pad in a damascene gate process |
US6527968B1 (en) | 2000-03-27 | 2003-03-04 | Applied Materials Inc. | Two-stage self-cleaning silicon etch process |
JP4056195B2 (en) | 2000-03-30 | 2008-03-05 | 株式会社ルネサステクノロジ | Manufacturing method of semiconductor integrated circuit device |
AU2001247685A1 (en) | 2000-03-30 | 2001-10-15 | Tokyo Electron Limited | Method of and apparatus for tunable gas injection in a plasma processing system |
JP2001355074A (en) | 2000-04-10 | 2001-12-25 | Sony Corp | Electroless plating method, and apparatus thereof |
US7892974B2 (en) | 2000-04-11 | 2011-02-22 | Cree, Inc. | Method of forming vias in silicon carbide and resulting devices and circuits |
US6762129B2 (en) | 2000-04-19 | 2004-07-13 | Matsushita Electric Industrial Co., Ltd. | Dry etching method, fabrication method for semiconductor device, and dry etching apparatus |
JP2001308023A (en) | 2000-04-21 | 2001-11-02 | Tokyo Electron Ltd | Equipment and method for heat treatment |
US6387207B1 (en) | 2000-04-28 | 2002-05-14 | Applied Materials, Inc. | Integration of remote plasma generator with semiconductor processing chamber |
US6458718B1 (en) | 2000-04-28 | 2002-10-01 | Asm Japan K.K. | Fluorine-containing materials and processes |
JP3662472B2 (en) | 2000-05-09 | 2005-06-22 | エム・エフエスアイ株式会社 | Substrate surface treatment method |
US6679981B1 (en) | 2000-05-11 | 2004-01-20 | Applied Materials, Inc. | Inductive plasma loop enhancing magnetron sputtering |
US6335261B1 (en) | 2000-05-31 | 2002-01-01 | International Business Machines Corporation | Directional CVD process with optimized etchback |
US6729081B2 (en) | 2000-06-09 | 2004-05-04 | United Solar Systems Corporation | Self-adhesive photovoltaic module |
US6603269B1 (en) | 2000-06-13 | 2003-08-05 | Applied Materials, Inc. | Resonant chamber applicator for remote plasma source |
US6509623B2 (en) | 2000-06-15 | 2003-01-21 | Newport Fab, Llc | Microelectronic air-gap structures and methods of forming the same |
US6391753B1 (en) | 2000-06-20 | 2002-05-21 | Advanced Micro Devices, Inc. | Process for forming gate conductors |
US6645550B1 (en) | 2000-06-22 | 2003-11-11 | Applied Materials, Inc. | Method of treating a substrate |
US6427623B2 (en) | 2000-06-23 | 2002-08-06 | Anelva Corporation | Chemical vapor deposition system |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
JP4371543B2 (en) | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | Remote plasma CVD apparatus and film forming method |
US6303418B1 (en) | 2000-06-30 | 2001-10-16 | Chartered Semiconductor Manufacturing Ltd. | Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer |
US6440870B1 (en) | 2000-07-12 | 2002-08-27 | Applied Materials, Inc. | Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures |
US6794311B2 (en) | 2000-07-14 | 2004-09-21 | Applied Materials Inc. | Method and apparatus for treating low k dielectric layers to reduce diffusion |
KR100366623B1 (en) | 2000-07-18 | 2003-01-09 | 삼성전자 주식회사 | Method for cleaning semiconductor substrate or LCD substrate |
US6764958B1 (en) | 2000-07-28 | 2004-07-20 | Applied Materials Inc. | Method of depositing dielectric films |
US6677242B1 (en) | 2000-08-12 | 2004-01-13 | Applied Materials Inc. | Integrated shallow trench isolation approach |
US6446572B1 (en) | 2000-08-18 | 2002-09-10 | Tokyo Electron Limited | Embedded plasma source for plasma density improvement |
US6800830B2 (en) | 2000-08-18 | 2004-10-05 | Hitachi Kokusai Electric, Inc. | Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication |
US6335288B1 (en) | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
US6372657B1 (en) | 2000-08-31 | 2002-04-16 | Micron Technology, Inc. | Method for selective etching of oxides |
US6465366B1 (en) | 2000-09-12 | 2002-10-15 | Applied Materials, Inc. | Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers |
JP2002100578A (en) | 2000-09-25 | 2002-04-05 | Crystage Co Ltd | Thin film forming system |
JP4717295B2 (en) | 2000-10-04 | 2011-07-06 | 株式会社半導体エネルギー研究所 | Dry etching apparatus and etching method |
US6461974B1 (en) | 2000-10-06 | 2002-10-08 | Lam Research Corporation | High temperature tungsten etching process |
KR100375102B1 (en) | 2000-10-18 | 2003-03-08 | 삼성전자주식회사 | Method for CVD and apparatus for performing the same in semiconductor device processing |
US6403491B1 (en) | 2000-11-01 | 2002-06-11 | Applied Materials, Inc. | Etch method using a dielectric etch chamber with expanded process window |
US6610362B1 (en) | 2000-11-20 | 2003-08-26 | Intel Corporation | Method of forming a carbon doped oxide layer on a substrate |
KR100382725B1 (en) | 2000-11-24 | 2003-05-09 | 삼성전자주식회사 | Method of manufacturing semiconductor device in the clustered plasma apparatus |
US6291348B1 (en) | 2000-11-30 | 2001-09-18 | Advanced Micro Devices, Inc. | Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed |
AUPR179500A0 (en) | 2000-11-30 | 2000-12-21 | Saintech Pty Limited | Ion source |
US6544340B2 (en) | 2000-12-08 | 2003-04-08 | Applied Materials, Inc. | Heater with detachable ceramic top plate |
US6448537B1 (en) | 2000-12-11 | 2002-09-10 | Eric Anton Nering | Single-wafer process chamber thermal convection processes |
US20020124867A1 (en) | 2001-01-08 | 2002-09-12 | Apl Co., Ltd. | Apparatus and method for surface cleaning using plasma |
FR2819341B1 (en) | 2001-01-11 | 2003-06-27 | St Microelectronics Sa | METHOD FOR INTEGRATING A DRAM CELL |
US6879981B2 (en) | 2001-01-16 | 2005-04-12 | Corigin Ltd. | Sharing live data with a non cooperative DBMS |
JP4644943B2 (en) | 2001-01-23 | 2011-03-09 | 東京エレクトロン株式会社 | Processing equipment |
US6743732B1 (en) | 2001-01-26 | 2004-06-01 | Taiwan Semiconductor Manufacturing Company | Organic low K dielectric etch with NH3 chemistry |
JP2002222934A (en) | 2001-01-29 | 2002-08-09 | Nec Corp | Semiconductor device and manufacturing method thereof |
US6893969B2 (en) | 2001-02-12 | 2005-05-17 | Lam Research Corporation | Use of ammonia for etching organic low-k dielectrics |
US6537733B2 (en) | 2001-02-23 | 2003-03-25 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
JP2002256235A (en) | 2001-03-01 | 2002-09-11 | Hitachi Chem Co Ltd | Adhesive sheet, method for producing semiconductor device and semiconductor device |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
CN1302152C (en) | 2001-03-19 | 2007-02-28 | 株式会社Ips | Chemical vapor depositing apparatus |
JP5013353B2 (en) | 2001-03-28 | 2012-08-29 | 隆 杉野 | Film forming method and film forming apparatus |
US20020177321A1 (en) | 2001-03-30 | 2002-11-28 | Li Si Yi | Plasma etching of silicon carbide |
US6670278B2 (en) | 2001-03-30 | 2003-12-30 | Lam Research Corporation | Method of plasma etching of silicon carbide |
US7084070B1 (en) | 2001-03-30 | 2006-08-01 | Lam Research Corporation | Treatment for corrosion in substrate processing |
FR2823032B1 (en) | 2001-04-03 | 2003-07-11 | St Microelectronics Sa | ELECTROMECHANICAL RESONATOR WITH VIBRATING BEAM |
JP3707394B2 (en) | 2001-04-06 | 2005-10-19 | ソニー株式会社 | Electroless plating method |
US20030019428A1 (en) | 2001-04-28 | 2003-01-30 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US6914009B2 (en) | 2001-05-07 | 2005-07-05 | Applied Materials Inc | Method of making small transistor lengths |
US6740601B2 (en) | 2001-05-11 | 2004-05-25 | Applied Materials Inc. | HDP-CVD deposition process for filling high aspect ratio gaps |
JP4720019B2 (en) | 2001-05-18 | 2011-07-13 | 東京エレクトロン株式会社 | Cooling mechanism and processing device |
DE10222083B4 (en) | 2001-05-18 | 2010-09-23 | Samsung Electronics Co., Ltd., Suwon | Isolation method for a semiconductor device |
US6717189B2 (en) | 2001-06-01 | 2004-04-06 | Ebara Corporation | Electroless plating liquid and semiconductor device |
US6573606B2 (en) | 2001-06-14 | 2003-06-03 | International Business Machines Corporation | Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect |
CN1516895A (en) | 2001-06-14 | 2004-07-28 | 马特森技术公司 | Barrier enhancement process for copper interconnects |
US6506291B2 (en) | 2001-06-14 | 2003-01-14 | Applied Materials, Inc. | Substrate support with multilevel heat transfer mechanism |
JP2003019433A (en) | 2001-07-06 | 2003-01-21 | Sekisui Chem Co Ltd | Discharge plasma treating apparatus and treating method using the same |
KR100403630B1 (en) | 2001-07-07 | 2003-10-30 | 삼성전자주식회사 | Method for forming inter-layer dielectric film of semiconductor device by HDP CVD |
US6531377B2 (en) | 2001-07-13 | 2003-03-11 | Infineon Technologies Ag | Method for high aspect ratio gap fill using sequential HDP-CVD |
US6596599B1 (en) | 2001-07-16 | 2003-07-22 | Taiwan Semiconductor Manufacturing Company | Gate stack for high performance sub-micron CMOS devices |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US6846745B1 (en) | 2001-08-03 | 2005-01-25 | Novellus Systems, Inc. | High-density plasma process for filling high aspect ratio structures |
US6596654B1 (en) | 2001-08-24 | 2003-07-22 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
JP3914452B2 (en) | 2001-08-07 | 2007-05-16 | 株式会社ルネサステクノロジ | Manufacturing method of semiconductor integrated circuit device |
TW554069B (en) | 2001-08-10 | 2003-09-21 | Ebara Corp | Plating device and method |
EP1418619A4 (en) | 2001-08-13 | 2010-09-08 | Ebara Corp | Semiconductor device and production method therefor, and plating solution |
JP2003059914A (en) | 2001-08-21 | 2003-02-28 | Hitachi Kokusai Electric Inc | Plasma treatment equipment |
US20030038305A1 (en) | 2001-08-21 | 2003-02-27 | Wasshuber Christoph A. | Method for manufacturing and structure of transistor with low-k spacer |
US6762127B2 (en) | 2001-08-23 | 2004-07-13 | Yves Pierre Boiteux | Etch process for dielectric materials comprising oxidized organo silane materials |
US6753506B2 (en) | 2001-08-23 | 2004-06-22 | Axcelis Technologies | System and method of fast ambient switching for rapid thermal processing |
US20030129106A1 (en) | 2001-08-29 | 2003-07-10 | Applied Materials, Inc. | Semiconductor processing using an efficiently coupled gas source |
US6796314B1 (en) | 2001-09-07 | 2004-09-28 | Novellus Systems, Inc. | Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process |
US20030054608A1 (en) | 2001-09-17 | 2003-03-20 | Vanguard International Semiconductor Corporation | Method for forming shallow trench isolation in semiconductor device |
US6555467B2 (en) | 2001-09-28 | 2003-04-29 | Sharp Laboratories Of America, Inc. | Method of making air gaps copper interconnect |
US6656837B2 (en) | 2001-10-11 | 2003-12-02 | Applied Materials, Inc. | Method of eliminating photoresist poisoning in damascene applications |
AU2002301252B2 (en) | 2001-10-12 | 2007-12-20 | Bayer Aktiengesellschaft | Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production |
US20030072639A1 (en) | 2001-10-17 | 2003-04-17 | Applied Materials, Inc. | Substrate support |
JP3759895B2 (en) | 2001-10-24 | 2006-03-29 | 松下電器産業株式会社 | Etching method |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
KR100443121B1 (en) | 2001-11-29 | 2004-08-04 | 삼성전자주식회사 | Method for processing of semiconductor and apparatus for processing of semiconductor |
US6794290B1 (en) | 2001-12-03 | 2004-09-21 | Novellus Systems, Inc. | Method of chemical modification of structure topography |
US6905968B2 (en) | 2001-12-12 | 2005-06-14 | Applied Materials, Inc. | Process for selectively etching dielectric layers |
TWI303851B (en) | 2001-12-13 | 2008-12-01 | Applied Materials Inc | Self-aligned contact etch with high sensitivity to nitride shoulder |
US6890850B2 (en) | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
US6605874B2 (en) | 2001-12-19 | 2003-08-12 | Intel Corporation | Method of making semiconductor device using an interconnect |
US20030116439A1 (en) | 2001-12-21 | 2003-06-26 | International Business Machines Corporation | Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices |
US20030116087A1 (en) | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
KR100442167B1 (en) | 2001-12-26 | 2004-07-30 | 주성엔지니어링(주) | Method of removing native oxide film |
KR100484258B1 (en) | 2001-12-27 | 2005-04-22 | 주식회사 하이닉스반도체 | Method for fabricating semiconductor device |
US20030124842A1 (en) | 2001-12-27 | 2003-07-03 | Applied Materials, Inc. | Dual-gas delivery system for chemical vapor deposition processes |
US6677247B2 (en) | 2002-01-07 | 2004-01-13 | Applied Materials Inc. | Method of increasing the etch selectivity of a contact sidewall to a preclean etchant |
US6827815B2 (en) | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
JP2003217898A (en) | 2002-01-16 | 2003-07-31 | Sekisui Chem Co Ltd | Discharge plasma processing device |
US6869880B2 (en) | 2002-01-24 | 2005-03-22 | Applied Materials, Inc. | In situ application of etch back for improved deposition into high-aspect-ratio features |
US6866746B2 (en) | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US7138014B2 (en) | 2002-01-28 | 2006-11-21 | Applied Materials, Inc. | Electroless deposition apparatus |
US7226504B2 (en) | 2002-01-31 | 2007-06-05 | Sharp Laboratories Of America, Inc. | Method to form thick relaxed SiGe layer with trench structure |
US6632325B2 (en) | 2002-02-07 | 2003-10-14 | Applied Materials, Inc. | Article for use in a semiconductor processing chamber and method of fabricating same |
JP3921234B2 (en) | 2002-02-28 | 2007-05-30 | キヤノンアネルバ株式会社 | Surface treatment apparatus and manufacturing method thereof |
US20030168174A1 (en) | 2002-03-08 | 2003-09-11 | Foree Michael Todd | Gas cushion susceptor system |
JP3813562B2 (en) | 2002-03-15 | 2006-08-23 | 富士通株式会社 | Semiconductor device and manufacturing method thereof |
US7256370B2 (en) | 2002-03-15 | 2007-08-14 | Steed Technology, Inc. | Vacuum thermal annealer |
US6913651B2 (en) | 2002-03-22 | 2005-07-05 | Blue29, Llc | Apparatus and method for electroless deposition of materials on semiconductor substrates |
US6541397B1 (en) | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US6843858B2 (en) | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
US20030190426A1 (en) | 2002-04-03 | 2003-10-09 | Deenesh Padhi | Electroless deposition method |
US6921556B2 (en) | 2002-04-12 | 2005-07-26 | Asm Japan K.K. | Method of film deposition using single-wafer-processing type CVD |
US6897532B1 (en) | 2002-04-15 | 2005-05-24 | Cypress Semiconductor Corp. | Magnetic tunneling junction configuration and a method for making the same |
US6616967B1 (en) | 2002-04-15 | 2003-09-09 | Texas Instruments Incorporated | Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process |
US7013834B2 (en) | 2002-04-19 | 2006-03-21 | Nordson Corporation | Plasma treatment system |
KR100448714B1 (en) | 2002-04-24 | 2004-09-13 | 삼성전자주식회사 | Insulating layer in Semiconductor Device with Multi-nanolaminate Structure of SiNx and BN and Method for Forming the Same |
US6528409B1 (en) | 2002-04-29 | 2003-03-04 | Advanced Micro Devices, Inc. | Interconnect structure formed in porous dielectric material with minimized degradation and electromigration |
US6908862B2 (en) | 2002-05-03 | 2005-06-21 | Applied Materials, Inc. | HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features |
US6825051B2 (en) | 2002-05-17 | 2004-11-30 | Asm America, Inc. | Plasma etch resistant coating and process |
JP2003347278A (en) | 2002-05-23 | 2003-12-05 | Hitachi Kokusai Electric Inc | Substrate treatment apparatus and method for manufacturing semiconductor device |
US6500728B1 (en) | 2002-05-24 | 2002-12-31 | Taiwan Semiconductor Manufacturing Company | Shallow trench isolation (STI) module to improve contact etch process window |
US20030224217A1 (en) | 2002-05-31 | 2003-12-04 | Applied Materials, Inc. | Metal nitride formation |
KR100434110B1 (en) | 2002-06-04 | 2004-06-04 | 삼성전자주식회사 | Method of Manufacturing Semiconductor Device |
CA2489544A1 (en) | 2002-06-14 | 2003-12-24 | Sekisui Chemical Co., Ltd. | Oxide film forming method and oxide film forming apparatus |
US6924191B2 (en) | 2002-06-20 | 2005-08-02 | Applied Materials, Inc. | Method for fabricating a gate structure of a field effect transistor |
DE10229037A1 (en) | 2002-06-28 | 2004-01-29 | Robert Bosch Gmbh | Device and method for producing chlorine trifluoride and plant for etching semiconductor substrates with this device |
WO2004006303A2 (en) | 2002-07-02 | 2004-01-15 | Applied Materials, Inc. | Method for fabricating an ultra shallow junction of a field effect transistor |
US6767844B2 (en) | 2002-07-03 | 2004-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd | Plasma chamber equipped with temperature-controlled focus ring and method of operating |
US7988398B2 (en) | 2002-07-22 | 2011-08-02 | Brooks Automation, Inc. | Linear substrate transport apparatus |
US20060046412A1 (en) | 2002-08-06 | 2006-03-02 | Tegal Corporation | Method and system for sequential processing in a two-compartment chamber |
US6921555B2 (en) | 2002-08-06 | 2005-07-26 | Tegal Corporation | Method and system for sequential processing in a two-compartment chamber |
US20040058293A1 (en) | 2002-08-06 | 2004-03-25 | Tue Nguyen | Assembly line processing system |
US20060040055A1 (en) | 2002-08-06 | 2006-02-23 | Tegal Corporation | Method and system for sequential processing in a two-compartment chamber |
JP3861036B2 (en) | 2002-08-09 | 2006-12-20 | 三菱重工業株式会社 | Plasma CVD equipment |
US20040033677A1 (en) | 2002-08-14 | 2004-02-19 | Reza Arghavani | Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier |
US6781173B2 (en) | 2002-08-29 | 2004-08-24 | Micron Technology, Inc. | MRAM sense layer area control |
US7223701B2 (en) | 2002-09-06 | 2007-05-29 | Intel Corporation | In-situ sequential high density plasma deposition and etch processing for gap fill |
US6946033B2 (en) | 2002-09-16 | 2005-09-20 | Applied Materials Inc. | Heated gas distribution plate for a processing chamber |
JP3991315B2 (en) | 2002-09-17 | 2007-10-17 | キヤノンアネルバ株式会社 | Thin film forming apparatus and method |
US7335609B2 (en) | 2004-08-27 | 2008-02-26 | Applied Materials, Inc. | Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials |
KR100500852B1 (en) | 2002-10-10 | 2005-07-12 | 최대규 | Remote plasma generator |
US6991959B2 (en) | 2002-10-10 | 2006-01-31 | Asm Japan K.K. | Method of manufacturing silicon carbide film |
JP4606713B2 (en) | 2002-10-17 | 2011-01-05 | ルネサスエレクトロニクス株式会社 | Semiconductor device and manufacturing method thereof |
US6699380B1 (en) | 2002-10-18 | 2004-03-02 | Applied Materials Inc. | Modular electrochemical processing system |
US7628897B2 (en) | 2002-10-23 | 2009-12-08 | Applied Materials, Inc. | Reactive ion etching for semiconductor device feature topography modification |
US6802944B2 (en) | 2002-10-23 | 2004-10-12 | Applied Materials, Inc. | High density plasma CVD process for gapfill into high aspect ratio features |
JP2004165317A (en) | 2002-11-12 | 2004-06-10 | Renesas Technology Corp | Semiconductor device and its manufacturing method |
KR100862658B1 (en) * | 2002-11-15 | 2008-10-10 | 삼성전자주식회사 | Gas injection apparatus for semiconductor processing system |
US6861332B2 (en) | 2002-11-21 | 2005-03-01 | Intel Corporation | Air gap interconnect method |
US6713873B1 (en) | 2002-11-27 | 2004-03-30 | Intel Corporation | Adhesion between dielectric materials |
KR100898580B1 (en) | 2002-12-07 | 2009-05-20 | 주식회사 하이닉스반도체 | Method of forming isolation layer for semiconductor device |
US6858532B2 (en) | 2002-12-10 | 2005-02-22 | International Business Machines Corporation | Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling |
JP3838969B2 (en) | 2002-12-17 | 2006-10-25 | 沖電気工業株式会社 | Dry etching method |
DE10260352A1 (en) | 2002-12-20 | 2004-07-15 | Infineon Technologies Ag | Method of manufacturing a capacitor arrangement and capacitor arrangement |
US6720213B1 (en) | 2003-01-15 | 2004-04-13 | International Business Machines Corporation | Low-K gate spacers by fluorine implantation |
US6808748B2 (en) | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
US7500445B2 (en) | 2003-01-27 | 2009-03-10 | Applied Materials, Inc. | Method and apparatus for cleaning a CVD chamber |
US7205248B2 (en) | 2003-02-04 | 2007-04-17 | Micron Technology, Inc. | Method of eliminating residual carbon from flowable oxide fill |
US7604708B2 (en) | 2003-02-14 | 2009-10-20 | Applied Materials, Inc. | Cleaning of native oxide with hydrogen-containing radicals |
DE10308870B4 (en) | 2003-02-28 | 2006-07-27 | Austriamicrosystems Ag | Bipolar transistor with improved base-emitter junction and method of manufacture |
US6913992B2 (en) | 2003-03-07 | 2005-07-05 | Applied Materials, Inc. | Method of modifying interlayer adhesion |
CN100388434C (en) | 2003-03-12 | 2008-05-14 | 东京毅力科创株式会社 | Substrate supporting structure for semiconductor processing, and plasma processing device |
US20040182315A1 (en) | 2003-03-17 | 2004-09-23 | Tokyo Electron Limited | Reduced maintenance chemical oxide removal (COR) processing system |
US6951821B2 (en) | 2003-03-17 | 2005-10-04 | Tokyo Electron Limited | Processing system and method for chemically treating a substrate |
US7126225B2 (en) | 2003-04-15 | 2006-10-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling |
US6942753B2 (en) | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
US20040211357A1 (en) | 2003-04-24 | 2004-10-28 | Gadgil Pradad N. | Method of manufacturing a gap-filled structure of a semiconductor device |
US6830624B2 (en) | 2003-05-02 | 2004-12-14 | Applied Materials, Inc. | Blocker plate by-pass for remote plasma clean |
US6903511B2 (en) | 2003-05-06 | 2005-06-07 | Zond, Inc. | Generation of uniformly-distributed plasma |
KR20040096365A (en) | 2003-05-09 | 2004-11-16 | 주식회사 하이닉스반도체 | Manufacturing method for semiconductor device |
US6713835B1 (en) | 2003-05-22 | 2004-03-30 | International Business Machines Corporation | Method for manufacturing a multi-level interconnect structure |
US7081414B2 (en) | 2003-05-23 | 2006-07-25 | Applied Materials, Inc. | Deposition-selective etch-deposition process for dielectric film gapfill |
US7205240B2 (en) | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
JP4108633B2 (en) | 2003-06-20 | 2008-06-25 | シャープ株式会社 | THIN FILM TRANSISTOR, MANUFACTURING METHOD THEREOF, AND ELECTRONIC DEVICE |
US7151277B2 (en) | 2003-07-03 | 2006-12-19 | The Regents Of The University Of California | Selective etching of silicon carbide films |
JP2005033023A (en) | 2003-07-07 | 2005-02-03 | Sony Corp | Semiconductor device and manufacturing method thereof |
JP4245996B2 (en) | 2003-07-07 | 2009-04-02 | 株式会社荏原製作所 | Cap film forming method by electroless plating and apparatus used therefor |
US7368392B2 (en) | 2003-07-10 | 2008-05-06 | Applied Materials, Inc. | Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode |
US6995073B2 (en) | 2003-07-16 | 2006-02-07 | Intel Corporation | Air gap integration |
JP3866694B2 (en) | 2003-07-30 | 2007-01-10 | 株式会社日立ハイテクノロジーズ | LSI device etching method and apparatus |
US7256134B2 (en) | 2003-08-01 | 2007-08-14 | Applied Materials, Inc. | Selective etching of carbon-doped low-k dielectrics |
JP4239750B2 (en) | 2003-08-13 | 2009-03-18 | セイコーエプソン株式会社 | Microlens and microlens manufacturing method, optical device, optical transmission device, laser printer head, and laser printer |
US20050035455A1 (en) | 2003-08-14 | 2005-02-17 | Chenming Hu | Device with low-k dielectric in close proximity thereto and its method of fabrication |
US7521000B2 (en) | 2003-08-28 | 2009-04-21 | Applied Materials, Inc. | Process for etching photomasks |
US7078312B1 (en) | 2003-09-02 | 2006-07-18 | Novellus Systems, Inc. | Method for controlling etch process repeatability |
US6903031B2 (en) | 2003-09-03 | 2005-06-07 | Applied Materials, Inc. | In-situ-etch-assisted HDP deposition using SiF4 and hydrogen |
US7030034B2 (en) | 2003-09-18 | 2006-04-18 | Micron Technology, Inc. | Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum |
US6967405B1 (en) | 2003-09-24 | 2005-11-22 | Yongsik Yu | Film for copper diffusion barrier |
US7071532B2 (en) | 2003-09-30 | 2006-07-04 | International Business Machines Corporation | Adjustable self-aligned air gap dielectric for low capacitance wiring |
US7371688B2 (en) | 2003-09-30 | 2008-05-13 | Air Products And Chemicals, Inc. | Removal of transition metal ternary and/or quaternary barrier materials from a substrate |
TWI351725B (en) | 2003-10-06 | 2011-11-01 | Applied Materials Inc | Apparatus to improve wafer temperature uniformity |
US7581511B2 (en) | 2003-10-10 | 2009-09-01 | Micron Technology, Inc. | Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes |
US7465358B2 (en) | 2003-10-15 | 2008-12-16 | Applied Materials, Inc. | Measurement techniques for controlling aspects of a electroless deposition process |
US20070111519A1 (en) | 2003-10-15 | 2007-05-17 | Applied Materials, Inc. | Integrated electroless deposition system |
JP2005129688A (en) | 2003-10-23 | 2005-05-19 | Hitachi Ltd | Method of manufacturing semiconductor device |
US7709392B2 (en) | 2003-11-05 | 2010-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low K dielectric surface damage control |
KR100550808B1 (en) | 2003-11-17 | 2006-02-09 | 주식회사 에스테크 | The multi-layer type sheet for shielding from electromagnetic waves and the method for making it |
US20050109276A1 (en) | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US20050112876A1 (en) | 2003-11-26 | 2005-05-26 | Chih-Ta Wu | Method to form a robust TiCI4 based CVD TiN film |
US7202172B2 (en) | 2003-12-05 | 2007-04-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Microelectronic device having disposable spacer |
US7081407B2 (en) | 2003-12-16 | 2006-07-25 | Lam Research Corporation | Method of preventing damage to porous low-k materials during resist stripping |
US6958286B2 (en) | 2004-01-02 | 2005-10-25 | International Business Machines Corporation | Method of preventing surface roughening during hydrogen prebake of SiGe substrates |
US6893967B1 (en) | 2004-01-13 | 2005-05-17 | Advanced Micro Devices, Inc. | L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials |
US20060033678A1 (en) | 2004-01-26 | 2006-02-16 | Applied Materials, Inc. | Integrated electroless deposition system |
US7012027B2 (en) | 2004-01-27 | 2006-03-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Zirconium oxide and hafnium oxide etching using halogen containing chemicals |
US7291550B2 (en) | 2004-02-13 | 2007-11-06 | Chartered Semiconductor Manufacturing Ltd. | Method to form a contact hole |
JP4698251B2 (en) | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | Movable or flexible shower head mounting |
US20070123051A1 (en) | 2004-02-26 | 2007-05-31 | Reza Arghavani | Oxide etch with nh4-nf3 chemistry |
US7780793B2 (en) | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20060051966A1 (en) | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US7407893B2 (en) | 2004-03-05 | 2008-08-05 | Applied Materials, Inc. | Liquid precursors for the CVD deposition of amorphous carbon films |
US7196342B2 (en) | 2004-03-10 | 2007-03-27 | Cymer, Inc. | Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source |
US7682985B2 (en) | 2004-03-17 | 2010-03-23 | Lam Research Corporation | Dual doped polysilicon and silicon germanium etch |
US7109521B2 (en) | 2004-03-18 | 2006-09-19 | Cree, Inc. | Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls |
US7582555B1 (en) | 2005-12-29 | 2009-09-01 | Novellus Systems, Inc. | CVD flowable gap fill |
US7358192B2 (en) | 2004-04-08 | 2008-04-15 | Applied Materials, Inc. | Method and apparatus for in-situ film stack processing |
US7785672B2 (en) | 2004-04-20 | 2010-08-31 | Applied Materials, Inc. | Method of controlling the film properties of PECVD-deposited thin films |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US7018941B2 (en) | 2004-04-21 | 2006-03-28 | Applied Materials, Inc. | Post treatment of low k dielectric films |
US7115974B2 (en) | 2004-04-27 | 2006-10-03 | Taiwan Semiconductor Manfacturing Company, Ltd. | Silicon oxycarbide and silicon carbonitride based materials for MOS devices |
US7708859B2 (en) | 2004-04-30 | 2010-05-04 | Lam Research Corporation | Gas distribution system having fast gas switching capabilities |
US20050266691A1 (en) | 2004-05-11 | 2005-12-01 | Applied Materials Inc. | Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry |
US8328939B2 (en) | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US8074599B2 (en) | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
KR101197084B1 (en) | 2004-05-21 | 2012-11-07 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | Semiconductor device and manufacturing method thereof |
US7049200B2 (en) | 2004-05-25 | 2006-05-23 | Applied Materials Inc. | Method for forming a low thermal budget spacer |
US7651583B2 (en) | 2004-06-04 | 2010-01-26 | Tokyo Electron Limited | Processing system and method for treating a substrate |
US7122949B2 (en) | 2004-06-21 | 2006-10-17 | Neocera, Inc. | Cylindrical electron beam generating/triggering device and method for generation of electrons |
US20060005856A1 (en) | 2004-06-29 | 2006-01-12 | Applied Materials, Inc. | Reduction of reactive gas attack on substrate heater |
US8349128B2 (en) | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
JP2006049817A (en) | 2004-07-07 | 2006-02-16 | Showa Denko Kk | Plasma treatment method and plasma etching method |
KR100584485B1 (en) | 2004-07-20 | 2006-05-29 | 동부일렉트로닉스 주식회사 | Method for preventing metal corrosion of semiconductor devices |
US20060016783A1 (en) | 2004-07-22 | 2006-01-26 | Dingjun Wu | Process for titanium nitride removal |
US7217626B2 (en) | 2004-07-26 | 2007-05-15 | Texas Instruments Incorporated | Transistor fabrication methods using dual sidewall spacers |
US7192863B2 (en) | 2004-07-30 | 2007-03-20 | Texas Instruments Incorporated | Method of eliminating etch ridges in a dual damascene process |
US20060024954A1 (en) | 2004-08-02 | 2006-02-02 | Zhen-Cheng Wu | Copper damascene barrier and capping layer |
WO2006020424A2 (en) | 2004-08-02 | 2006-02-23 | Veeco Instruments Inc. | Multi-gas distribution injector for chemical vapor deposition reactors |
US20060043066A1 (en) | 2004-08-26 | 2006-03-02 | Kamp Thomas A | Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches |
US20060042752A1 (en) | 2004-08-30 | 2006-03-02 | Rueger Neal R | Plasma processing apparatuses and methods |
US7390710B2 (en) | 2004-09-02 | 2008-06-24 | Micron Technology, Inc. | Protection of tunnel dielectric using epitaxial silicon |
US7115525B2 (en) | 2004-09-02 | 2006-10-03 | Micron Technology, Inc. | Method for integrated circuit fabrication using pitch multiplication |
US7329576B2 (en) | 2004-09-02 | 2008-02-12 | Micron Technology, Inc. | Double-sided container capacitors using a sacrificial layer |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7053003B2 (en) | 2004-10-27 | 2006-05-30 | Lam Research Corporation | Photoresist conditioning with hydrogen ramping |
US20060093756A1 (en) | 2004-11-03 | 2006-05-04 | Nagarajan Rajagopalan | High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films |
US20060097397A1 (en) | 2004-11-10 | 2006-05-11 | Russell Stephen W | Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device |
US7618515B2 (en) | 2004-11-15 | 2009-11-17 | Tokyo Electron Limited | Focus ring, plasma etching apparatus and plasma etching method |
US7256121B2 (en) | 2004-12-02 | 2007-08-14 | Texas Instruments Incorporated | Contact resistance reduction by new barrier stack process |
US20060130971A1 (en) | 2004-12-21 | 2006-06-22 | Applied Materials, Inc. | Apparatus for generating plasma by RF power |
EP1831430A2 (en) | 2004-12-21 | 2007-09-12 | Applied Materials, Inc. | An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US7365016B2 (en) | 2004-12-27 | 2008-04-29 | Dalsa Semiconductor Inc. | Anhydrous HF release of process for MEMS devices |
US7253123B2 (en) | 2005-01-10 | 2007-08-07 | Applied Materials, Inc. | Method for producing gate stack sidewall spacers |
US7829243B2 (en) | 2005-01-27 | 2010-11-09 | Applied Materials, Inc. | Method for plasma etching a chromium layer suitable for photomask fabrication |
JP4475136B2 (en) | 2005-02-18 | 2010-06-09 | 東京エレクトロン株式会社 | Processing system, pre-processing apparatus and storage medium |
JP4506677B2 (en) | 2005-03-11 | 2010-07-21 | 東京エレクトロン株式会社 | Film forming method, film forming apparatus, and storage medium |
US7253118B2 (en) | 2005-03-15 | 2007-08-07 | Micron Technology, Inc. | Pitch reduced patterns relative to photolithography features |
WO2006102180A2 (en) | 2005-03-18 | 2006-09-28 | Applied Materials, Inc. | Contact metallization methods and processes |
WO2006102318A2 (en) | 2005-03-18 | 2006-09-28 | Applied Materials, Inc. | Electroless deposition process on a contact containing silicon or silicide |
KR100610465B1 (en) | 2005-03-25 | 2006-08-08 | 주식회사 하이닉스반도체 | Method for fabricating semiconductor device |
US7611944B2 (en) | 2005-03-28 | 2009-11-03 | Micron Technology, Inc. | Integrated circuit fabrication |
US7442274B2 (en) | 2005-03-28 | 2008-10-28 | Tokyo Electron Limited | Plasma etching method and apparatus therefor |
KR100689826B1 (en) | 2005-03-29 | 2007-03-08 | 삼성전자주식회사 | High density plasma chemical vapor deposition methods using a fluorine-based chemical etching gas and methods of fabricating a semiconductor device employing the same |
US20060228889A1 (en) | 2005-03-31 | 2006-10-12 | Edelberg Erik A | Methods of removing resist from substrates in resist stripping chambers |
US7288482B2 (en) | 2005-05-04 | 2007-10-30 | International Business Machines Corporation | Silicon nitride etching methods |
KR100745067B1 (en) | 2005-05-18 | 2007-08-01 | 주식회사 하이닉스반도체 | Trench isolation in semicontuctor device and the method for fabricating the same |
DE102006038885B4 (en) | 2005-08-24 | 2013-10-10 | Wonik Ips Co., Ltd. | Method for depositing a Ge-Sb-Te thin film |
WO2007035880A2 (en) | 2005-09-21 | 2007-03-29 | Applied Materials, Inc. | Method and apparatus for forming device features in an integrated electroless deposition system |
DE102005047081B4 (en) | 2005-09-30 | 2019-01-31 | Robert Bosch Gmbh | Process for the plasma-free etching of silicon with the etching gas ClF3 or XeF2 |
KR100703014B1 (en) | 2005-10-26 | 2007-04-06 | 삼성전자주식회사 | Silicon oxide etching solution and method of manufacturing a semiconductor device using the same |
US20070099806A1 (en) | 2005-10-28 | 2007-05-03 | Stewart Michael P | Composition and method for selectively removing native oxide from silicon-containing surfaces |
US7884032B2 (en) | 2005-10-28 | 2011-02-08 | Applied Materials, Inc. | Thin film deposition |
EP1780779A3 (en) | 2005-10-28 | 2008-06-11 | Interuniversitair Microelektronica Centrum ( Imec) | A plasma for patterning advanced gate stacks |
US7696101B2 (en) | 2005-11-01 | 2010-04-13 | Micron Technology, Inc. | Process for increasing feature density during the manufacture of a semiconductor device |
WO2007142690A2 (en) | 2005-11-04 | 2007-12-13 | Applied Materials, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US20070107750A1 (en) | 2005-11-14 | 2007-05-17 | Sawin Herbert H | Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers |
JP4918778B2 (en) | 2005-11-16 | 2012-04-18 | 株式会社日立製作所 | Manufacturing method of semiconductor integrated circuit device |
US20070117396A1 (en) | 2005-11-22 | 2007-05-24 | Dingjun Wu | Selective etching of titanium nitride with xenon difluoride |
US7405160B2 (en) | 2005-12-13 | 2008-07-29 | Tokyo Electron Limited | Method of making semiconductor device |
JP2007173383A (en) | 2005-12-20 | 2007-07-05 | Sharp Corp | Method for forming trench element separation region, method for forming silicon nitride film liner, and manufacturing method of semiconductor device |
US7494545B2 (en) | 2006-02-03 | 2009-02-24 | Applied Materials, Inc. | Epitaxial deposition process and apparatus |
US8343280B2 (en) | 2006-03-28 | 2013-01-01 | Tokyo Electron Limited | Multi-zone substrate temperature control system and method of operating |
WO2007112454A2 (en) | 2006-03-28 | 2007-10-04 | Stratusys Inc. | Apparatus and method for processing substrates using one or more vacuum transfer chamber units |
US7780865B2 (en) | 2006-03-31 | 2010-08-24 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
JP5042517B2 (en) | 2006-04-10 | 2012-10-03 | ルネサスエレクトロニクス株式会社 | Manufacturing method of semiconductor device |
US7601607B2 (en) | 2006-05-15 | 2009-10-13 | Chartered Semiconductor Manufacturing, Ltd. | Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects |
JP5578389B2 (en) | 2006-05-16 | 2014-08-27 | Nltテクノロジー株式会社 | Laminated film pattern forming method and gate electrode forming method |
JP2007311540A (en) | 2006-05-18 | 2007-11-29 | Renesas Technology Corp | Method of manufacturing semiconductor device |
US20070266946A1 (en) | 2006-05-22 | 2007-11-22 | Byung-Chul Choi | Semiconductor device manufacturing apparatus and method of using the same |
US7790634B2 (en) | 2006-05-30 | 2010-09-07 | Applied Materials, Inc | Method for depositing and curing low-k films for gapfill and conformal film applications |
US20070277734A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7825038B2 (en) | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US20070281106A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7932181B2 (en) | 2006-06-20 | 2011-04-26 | Lam Research Corporation | Edge gas injection for critical dimension uniformity improvement |
US7416989B1 (en) | 2006-06-30 | 2008-08-26 | Novellus Systems, Inc. | Adsorption based material removal process |
KR20080013174A (en) | 2006-08-07 | 2008-02-13 | 주식회사 하이닉스반도체 | Method for isolation of storagenode in capacitor |
US20080124937A1 (en) | 2006-08-16 | 2008-05-29 | Songlin Xu | Selective etching method and apparatus |
KR100818708B1 (en) | 2006-08-18 | 2008-04-01 | 주식회사 하이닉스반도체 | Semiconductor device manufacturing method including cleaning surface layer |
US8110787B1 (en) | 2006-08-23 | 2012-02-07 | ON Semiconductor Trading, Ltd | Image sensor with a reflective waveguide |
US7575007B2 (en) | 2006-08-23 | 2009-08-18 | Applied Materials, Inc. | Chamber recovery after opening barrier over copper |
US20080063810A1 (en) | 2006-08-23 | 2008-03-13 | Applied Materials, Inc. | In-situ process state monitoring of chamber |
US7452766B2 (en) | 2006-08-31 | 2008-11-18 | Micron Technology, Inc. | Finned memory cells and the fabrication thereof |
CN101153396B (en) | 2006-09-30 | 2010-06-09 | 中芯国际集成电路制造(上海)有限公司 | Plasma etching method |
JP2008103645A (en) | 2006-10-20 | 2008-05-01 | Toshiba Corp | Production method of semiconductor device |
US20080099147A1 (en) | 2006-10-26 | 2008-05-01 | Nyi Oo Myo | Temperature controlled multi-gas distribution assembly |
JP2008109043A (en) | 2006-10-27 | 2008-05-08 | Oki Electric Ind Co Ltd | Semiconductor device manufacturing method and semiconductor device |
US7943005B2 (en) | 2006-10-30 | 2011-05-17 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US7700479B2 (en) | 2006-11-06 | 2010-04-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cleaning processes in the formation of integrated circuit interconnect structures |
US7939422B2 (en) | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
US20080142483A1 (en) | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US20100059889A1 (en) | 2006-12-20 | 2010-03-11 | Nxp, B.V. | Adhesion of diffusion barrier on copper-containing interconnect element |
JP5229711B2 (en) | 2006-12-25 | 2013-07-03 | 国立大学法人名古屋大学 | Pattern forming method and semiconductor device manufacturing method |
US7808053B2 (en) | 2006-12-29 | 2010-10-05 | Intel Corporation | Method, apparatus, and system for flash memory |
KR20080063988A (en) | 2007-01-03 | 2008-07-08 | 삼성전자주식회사 | Etching apparatus using neutral beam |
JP4421618B2 (en) | 2007-01-17 | 2010-02-24 | 東京エレクトロン株式会社 | Manufacturing method of fin-type field effect transistor |
US7728364B2 (en) | 2007-01-19 | 2010-06-01 | International Business Machines Corporation | Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation |
KR100853485B1 (en) | 2007-03-19 | 2008-08-21 | 주식회사 하이닉스반도체 | Method for manufacturing semiconductor device with recess gate |
US20080233709A1 (en) | 2007-03-22 | 2008-09-25 | Infineon Technologies North America Corp. | Method for removing material from a semiconductor |
US7815814B2 (en) | 2007-03-23 | 2010-10-19 | Tokyo Electron Limited | Method and system for dry etching a metal nitride |
CN101657565A (en) * | 2007-04-17 | 2010-02-24 | 株式会社爱发科 | Film forming apparatus |
JP5135879B2 (en) | 2007-05-21 | 2013-02-06 | 富士電機株式会社 | Method for manufacturing silicon carbide semiconductor device |
KR100777043B1 (en) | 2007-05-22 | 2007-11-16 | 주식회사 테스 | Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same |
US8084105B2 (en) | 2007-05-23 | 2011-12-27 | Applied Materials, Inc. | Method of depositing boron nitride and boron nitride-derived materials |
US7807578B2 (en) | 2007-06-01 | 2010-10-05 | Applied Materials, Inc. | Frequency doubling using spacer mask |
US7585716B2 (en) | 2007-06-27 | 2009-09-08 | International Business Machines Corporation | High-k/metal gate MOSFET with reduced parasitic capacitance |
KR100877107B1 (en) | 2007-06-28 | 2009-01-07 | 주식회사 하이닉스반도체 | Method for fabricating interlayer dielectric in semiconductor device |
US8021514B2 (en) | 2007-07-11 | 2011-09-20 | Applied Materials, Inc. | Remote plasma source for pre-treatment of substrates prior to deposition |
JP5047881B2 (en) | 2007-07-13 | 2012-10-10 | 東京応化工業株式会社 | Titanium nitride stripping solution and method for stripping titanium nitride coating |
DE102007033685A1 (en) | 2007-07-19 | 2009-01-22 | Robert Bosch Gmbh | A method of etching a layer on a silicon semiconductor substrate |
US8008166B2 (en) | 2007-07-26 | 2011-08-30 | Applied Materials, Inc. | Method and apparatus for cleaning a substrate surface |
US8765589B2 (en) | 2007-08-31 | 2014-07-01 | Tokyo Electron Limited | Semiconductor device manufacturing method |
JP5347294B2 (en) | 2007-09-12 | 2013-11-20 | 東京エレクトロン株式会社 | Film forming apparatus, film forming method, and storage medium |
US7781332B2 (en) | 2007-09-19 | 2010-08-24 | International Business Machines Corporation | Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer |
JP5194125B2 (en) | 2007-09-25 | 2013-05-08 | ラム リサーチ コーポレーション | Temperature control module for showerhead electrode assembly, showerhead electrode assembly and method for controlling temperature of upper electrode of showerhead electrode assembly |
US8298931B2 (en) | 2007-09-28 | 2012-10-30 | Sandisk 3D Llc | Dual damascene with amorphous carbon for 3D deep via/trench application |
US20090084317A1 (en) | 2007-09-28 | 2009-04-02 | Applied Materials, Inc. | Atomic layer deposition chamber and components |
US8778079B2 (en) | 2007-10-11 | 2014-07-15 | Valence Process Equipment, Inc. | Chemical vapor deposition reactor |
US7976631B2 (en) | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
US8252696B2 (en) | 2007-10-22 | 2012-08-28 | Applied Materials, Inc. | Selective etching of silicon nitride |
US7871926B2 (en) | 2007-10-22 | 2011-01-18 | Applied Materials, Inc. | Methods and systems for forming at least one dielectric layer |
US7964040B2 (en) | 2007-11-08 | 2011-06-21 | Applied Materials, Inc. | Multi-port pumping system for substrate processing chambers |
US7704849B2 (en) | 2007-12-03 | 2010-04-27 | Micron Technology, Inc. | Methods of forming trench isolation in silicon of a semiconductor substrate by plasma |
MX2010005945A (en) | 2007-12-04 | 2011-03-03 | Parabel Ag | Multilayer solar element. |
US8187486B1 (en) | 2007-12-13 | 2012-05-29 | Novellus Systems, Inc. | Modulating etch selectivity and etch rate of silicon nitride thin films |
JP2009170890A (en) | 2007-12-18 | 2009-07-30 | Takashima & Co Ltd | Flexible film type solar cell multilayer body |
US8512509B2 (en) | 2007-12-19 | 2013-08-20 | Applied Materials, Inc. | Plasma reactor gas distribution plate with radially distributed path splitting manifold |
US8018023B2 (en) | 2008-01-14 | 2011-09-13 | Kabushiki Kaisha Toshiba | Trench sidewall protection by a carbon-rich layer in a semiconductor device |
TW200933812A (en) | 2008-01-30 | 2009-08-01 | Promos Technologies Inc | Process for forming trench isolation structure and semiconductor device produced thereby |
US20090194810A1 (en) | 2008-01-31 | 2009-08-06 | Masahiro Kiyotoshi | Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof |
US20090258162A1 (en) | 2008-04-12 | 2009-10-15 | Applied Materials, Inc. | Plasma processing apparatus and method |
JP2009266952A (en) | 2008-04-23 | 2009-11-12 | Seiko Epson Corp | Method for manufacturing and manufacturing apparatus for device |
US7977246B2 (en) | 2008-04-25 | 2011-07-12 | Applied Materials, Inc. | Thermal annealing method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere |
US8252194B2 (en) | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
US8236133B2 (en) | 2008-05-05 | 2012-08-07 | Applied Materials, Inc. | Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US8277670B2 (en) | 2008-05-13 | 2012-10-02 | Lam Research Corporation | Plasma process with photoresist mask pretreatment |
KR100998011B1 (en) | 2008-05-22 | 2010-12-03 | 삼성엘이디 주식회사 | Chemical vapor deposition apparatus |
DE102008026134A1 (en) | 2008-05-30 | 2009-12-17 | Advanced Micro Devices, Inc., Sunnyvale | Microstructure device with a metallization structure with self-aligned air gaps between dense metal lines |
US8161906B2 (en) | 2008-07-07 | 2012-04-24 | Lam Research Corporation | Clamped showerhead electrode assembly |
JP4473344B2 (en) | 2008-07-15 | 2010-06-02 | キヤノンアネルバ株式会社 | Plasma processing method and plasma processing apparatus |
KR20100013980A (en) | 2008-08-01 | 2010-02-10 | 주식회사 하이닉스반도체 | Method of fabricating the trench isolation layer for semiconductor device |
KR100997502B1 (en) | 2008-08-26 | 2010-11-30 | 금호석유화학 주식회사 | Organic antireflective protecting composition layer containing ring-opening phthalic anhydride and synthesis method thereof |
US8871645B2 (en) | 2008-09-11 | 2014-10-28 | Applied Materials, Inc. | Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof |
US7709396B2 (en) | 2008-09-19 | 2010-05-04 | Applied Materials, Inc. | Integral patterning of large features along with array using spacer mask patterning process flow |
US7968441B2 (en) | 2008-10-08 | 2011-06-28 | Applied Materials, Inc. | Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage |
US8563090B2 (en) | 2008-10-16 | 2013-10-22 | Applied Materials, Inc. | Boron film interface engineering |
US7910491B2 (en) | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US20100099263A1 (en) | 2008-10-20 | 2010-04-22 | Applied Materials, Inc. | Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects |
US8173547B2 (en) | 2008-10-23 | 2012-05-08 | Lam Research Corporation | Silicon etch with passivation using plasma enhanced oxidation |
JP5396065B2 (en) | 2008-10-28 | 2014-01-22 | 株式会社日立製作所 | Manufacturing method of semiconductor device |
US20100144140A1 (en) | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
US8058179B1 (en) | 2008-12-23 | 2011-11-15 | Novellus Systems, Inc. | Atomic layer removal process with higher etch amount |
KR20100074508A (en) | 2008-12-24 | 2010-07-02 | 주식회사 동부하이텍 | Method of manufacturing semiconductor device |
JP2010154699A (en) | 2008-12-26 | 2010-07-08 | Hitachi Ltd | Magnetic flux variable type rotating electrical machine |
KR101587601B1 (en) | 2009-01-14 | 2016-01-25 | 삼성전자주식회사 | Method for fabricating nonvolatile memory devices |
KR20100087915A (en) | 2009-01-29 | 2010-08-06 | 삼성전자주식회사 | Semiconductor memory device with cylinder type storage node and method of fabricating the same |
JP5210191B2 (en) | 2009-02-03 | 2013-06-12 | 東京エレクトロン株式会社 | Silicon nitride film dry etching method |
JP5707341B2 (en) | 2009-02-13 | 2015-04-30 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | Apparatus for coupling RF power into the interior of a plasma chamber |
KR20100099535A (en) | 2009-03-03 | 2010-09-13 | 주성엔지니어링(주) | Appratus for treating substrate and method for fabricating the same |
US8368308B2 (en) | 2009-03-05 | 2013-02-05 | Applied Materials, Inc. | Inductively coupled plasma reactor having RF phase control and methods of use thereof |
KR101539699B1 (en) | 2009-03-19 | 2015-07-27 | 삼성전자주식회사 | Three dimensional nonvolatile memory device and method for forming the same |
US8193075B2 (en) | 2009-04-20 | 2012-06-05 | Applied Materials, Inc. | Remote hydrogen plasma with ion filter for terminating silicon dangling bonds |
KR101671158B1 (en) * | 2009-04-21 | 2016-11-01 | 어플라이드 머티어리얼스, 인코포레이티드 | Cvd apparatus for improved film thickness non-uniformity and particle performance |
US8492292B2 (en) | 2009-06-29 | 2013-07-23 | Applied Materials, Inc. | Methods of forming oxide layers on substrates |
US8124531B2 (en) | 2009-08-04 | 2012-02-28 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US7935643B2 (en) | 2009-08-06 | 2011-05-03 | Applied Materials, Inc. | Stress management for tensile films |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
CN102598130A (en) | 2009-08-26 | 2012-07-18 | 威科仪器股份有限公司 | System for fabricating a pattern on magnetic recording media |
US8211808B2 (en) | 2009-08-31 | 2012-07-03 | Applied Materials, Inc. | Silicon-selective dry etch for carbon-containing films |
US20110073136A1 (en) | 2009-09-10 | 2011-03-31 | Matheson Tri-Gas, Inc. | Removal of gallium and gallium containing materials |
US20110061810A1 (en) | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
US8329587B2 (en) | 2009-10-05 | 2012-12-11 | Applied Materials, Inc. | Post-planarization densification |
JP5257328B2 (en) | 2009-11-04 | 2013-08-07 | 東京エレクトロン株式会社 | Substrate processing apparatus, substrate processing method, and storage medium |
US8455364B2 (en) | 2009-11-06 | 2013-06-04 | International Business Machines Corporation | Sidewall image transfer using the lithographic stack as the mandrel |
US8742665B2 (en) | 2009-11-18 | 2014-06-03 | Applied Materials, Inc. | Plasma source design |
US8771538B2 (en) | 2009-11-18 | 2014-07-08 | Applied Materials, Inc. | Plasma source design |
CN102652353B (en) | 2009-12-09 | 2016-12-07 | 诺发系统有限公司 | Novel gap fill integration |
US8202803B2 (en) | 2009-12-11 | 2012-06-19 | Tokyo Electron Limited | Method to remove capping layer of insulation dielectric in interconnect structures |
US20110151677A1 (en) | 2009-12-21 | 2011-06-23 | Applied Materials, Inc. | Wet oxidation process performed on a dielectric material formed from a flowable cvd process |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
JP5710209B2 (en) | 2010-01-18 | 2015-04-30 | 東京エレクトロン株式会社 | Electromagnetic power feeding mechanism and microwave introduction mechanism |
JP5608384B2 (en) | 2010-02-05 | 2014-10-15 | 東京エレクトロン株式会社 | Semiconductor device manufacturing method and plasma etching apparatus |
US8361338B2 (en) | 2010-02-11 | 2013-01-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hard mask removal method |
US8456009B2 (en) | 2010-02-18 | 2013-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure having an air-gap region and a method of manufacturing the same |
JP5450187B2 (en) | 2010-03-16 | 2014-03-26 | 株式会社日立ハイテクノロジーズ | Plasma processing apparatus and plasma processing method |
US8435902B2 (en) | 2010-03-17 | 2013-05-07 | Applied Materials, Inc. | Invertable pattern loading with dry etch |
US8288268B2 (en) | 2010-04-29 | 2012-10-16 | International Business Machines Corporation | Microelectronic structure including air gap |
US8475674B2 (en) | 2010-04-30 | 2013-07-02 | Applied Materials, Inc. | High-temperature selective dry etch having reduced post-etch solid residue |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8373239B2 (en) | 2010-06-08 | 2013-02-12 | International Business Machines Corporation | Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric |
US20120009796A1 (en) | 2010-07-09 | 2012-01-12 | Applied Materials, Inc. | Post-ash sidewall healing |
US8278203B2 (en) | 2010-07-28 | 2012-10-02 | Sandisk Technologies Inc. | Metal control gate formation in non-volatile storage |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
KR20120029291A (en) | 2010-09-16 | 2012-03-26 | 삼성전자주식회사 | Semiconductor devices and methods of fabricating the same |
US8183134B2 (en) | 2010-10-19 | 2012-05-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces |
WO2012058377A2 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Methods for etching oxide layers using process gas pulsing |
JP5544343B2 (en) | 2010-10-29 | 2014-07-09 | 東京エレクトロン株式会社 | Deposition equipment |
US8133349B1 (en) | 2010-11-03 | 2012-03-13 | Lam Research Corporation | Rapid and uniform gas switching for a plasma etch process |
US8389416B2 (en) | 2010-11-22 | 2013-03-05 | Tokyo Electron Limited | Process for etching silicon with selectivity to silicon-germanium |
KR20120058962A (en) | 2010-11-30 | 2012-06-08 | 삼성전자주식회사 | Fabricating method of semiconductor device |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
KR101529578B1 (en) | 2011-01-14 | 2015-06-19 | 성균관대학교산학협력단 | Apparatus and method for treating substrate using plasma |
US20120180954A1 (en) | 2011-01-18 | 2012-07-19 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
WO2012118951A2 (en) | 2011-03-01 | 2012-09-07 | King Abdullah University Of Science And Technology | Silicon germanium mask for deep silicon etching |
FR2972563B1 (en) | 2011-03-07 | 2013-03-01 | Altis Semiconductor Snc | METHOD FOR TREATING AN OXIDIZED METAL NITRIDE LAYER |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
CN103430285B (en) | 2011-03-22 | 2016-06-01 | 应用材料公司 | Liner assembly for chemical vapor deposition chamber |
JP6003011B2 (en) | 2011-03-31 | 2016-10-05 | 東京エレクトロン株式会社 | Substrate processing equipment |
US8415250B2 (en) | 2011-04-29 | 2013-04-09 | International Business Machines Corporation | Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device |
US20120285621A1 (en) | 2011-05-10 | 2012-11-15 | Applied Materials, Inc. | Semiconductor chamber apparatus for dielectric processing |
US9012283B2 (en) | 2011-05-16 | 2015-04-21 | International Business Machines Corporation | Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture |
US8562785B2 (en) | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US8637372B2 (en) | 2011-06-29 | 2014-01-28 | GlobalFoundries, Inc. | Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate |
KR20110086540A (en) | 2011-07-12 | 2011-07-28 | 조인숙 | Method of selective film etching with fluorine compound |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
KR101271247B1 (en) | 2011-08-02 | 2013-06-07 | 주식회사 유진테크 | Equipment for manufacturing semiconductor |
US20130045605A1 (en) | 2011-08-18 | 2013-02-21 | Applied Materials, Inc. | Dry-etch for silicon-and-nitrogen-containing films |
US8735291B2 (en) | 2011-08-25 | 2014-05-27 | Tokyo Electron Limited | Method for etching high-k dielectric using pulsed bias power |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US20130260564A1 (en) | 2011-09-26 | 2013-10-03 | Applied Materials, Inc. | Insensitive dry removal process for semiconductor integration |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8900364B2 (en) | 2011-11-29 | 2014-12-02 | Intermolecular, Inc. | High productivity vapor processing system |
US20130298942A1 (en) | 2012-05-14 | 2013-11-14 | Applied Materials, Inc. | Etch remnant removal |
US9034773B2 (en) | 2012-07-02 | 2015-05-19 | Novellus Systems, Inc. | Removal of native oxide with high selectivity |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US8772888B2 (en) | 2012-08-10 | 2014-07-08 | Avalanche Technology Inc. | MTJ MRAM with stud patterning |
US8747680B1 (en) | 2012-08-14 | 2014-06-10 | Everspin Technologies, Inc. | Method of manufacturing a magnetoresistive-based device |
WO2014035933A1 (en) | 2012-08-28 | 2014-03-06 | Applied Materials, Inc. | Methods and apparatus for forming tantalum silicate layers on germanium or iii-v semiconductor devices |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US20140099794A1 (en) | 2012-09-21 | 2014-04-10 | Applied Materials, Inc. | Radical chemistry modulation and control using multiple flow pathways |
US9018022B2 (en) | 2012-09-24 | 2015-04-28 | Lam Research Corporation | Showerhead electrode assembly in a capacitively coupled plasma processing apparatus |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
WO2014092856A1 (en) | 2012-12-14 | 2014-06-19 | The Penn State Research Foundation | Ultra-high speed anisotropic reactive ion etching |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9093389B2 (en) | 2013-01-16 | 2015-07-28 | Applied Materials, Inc. | Method of patterning a silicon nitride dielectric film |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US20140234466A1 (en) | 2013-02-21 | 2014-08-21 | HGST Netherlands B.V. | Imprint mold and method for making using sidewall spacer line doubling |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US20140262031A1 (en) | 2013-03-12 | 2014-09-18 | Sergey G. BELOSTOTSKIY | Multi-mode etch chamber source assembly |
TWI591211B (en) | 2013-03-13 | 2017-07-11 | 應用材料股份有限公司 | Methods of etching films comprising transition metals |
US20140273451A1 (en) | 2013-03-13 | 2014-09-18 | Applied Materials, Inc. | Tungsten deposition sequence |
US9006106B2 (en) | 2013-03-14 | 2015-04-14 | Applied Materials, Inc. | Method of removing a metal hardmask |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9276011B2 (en) | 2013-03-15 | 2016-03-01 | Micron Technology, Inc. | Cell pillar structures and integrated flows |
CN105142702A (en) | 2013-03-15 | 2015-12-09 | 皮博士研究所有限责任公司 | Single-use needle assembly and method |
US8946076B2 (en) | 2013-03-15 | 2015-02-03 | Micron Technology, Inc. | Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US20140308758A1 (en) | 2013-04-10 | 2014-10-16 | Applied Materials, Inc. | Patterning magnetic memory |
US20140311581A1 (en) | 2013-04-19 | 2014-10-23 | Applied Materials, Inc. | Pressure controller configuration for semiconductor processing applications |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US20140342569A1 (en) | 2013-05-16 | 2014-11-20 | Applied Materials, Inc. | Near surface etch selectivity enhancement |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9082826B2 (en) | 2013-05-24 | 2015-07-14 | Lam Research Corporation | Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features |
US10808317B2 (en) | 2013-07-03 | 2020-10-20 | Lam Research Corporation | Deposition apparatus including an isothermal processing zone |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8980758B1 (en) | 2013-09-17 | 2015-03-17 | Applied Materials, Inc. | Methods for etching an etching stop layer utilizing a cyclical etching process |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9396963B2 (en) | 2013-11-06 | 2016-07-19 | Mattson Technology | Mask removal process strategy for vertical NAND device |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US20150170879A1 (en) | 2013-12-17 | 2015-06-18 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US20150170943A1 (en) | 2013-12-17 | 2015-06-18 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US20150171008A1 (en) | 2013-12-17 | 2015-06-18 | GLOBAL FOUNDRIES Singapore Ptd. Ltd. | Integrated circuits with dummy contacts and methods for producing such integrated circuits |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US20150214066A1 (en) | 2014-01-27 | 2015-07-30 | Applied Materials, Inc. | Method for material removal in dry etch reactor |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
-
2013
- 2013-12-17 US US14/108,692 patent/US9287095B2/en not_active Expired - Fee Related
-
2016
- 2016-03-14 US US15/068,811 patent/US20160217981A1/en not_active Abandoned
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20170200587A1 (en) * | 2016-01-07 | 2017-07-13 | Applied Materials, Inc. | Atomic layer etching system with remote plasma source and dc electrode |
US20170229309A1 (en) * | 2016-02-04 | 2017-08-10 | Applied Materials, Inc. | Flow distribution plate for surface fluorine reduction |
US10204795B2 (en) * | 2016-02-04 | 2019-02-12 | Applied Materials, Inc. | Flow distribution plate for surface fluorine reduction |
US10858727B2 (en) | 2016-08-19 | 2020-12-08 | Applied Materials, Inc. | High density, low stress amorphous carbon film, and process and equipment for its deposition |
WO2019147450A1 (en) * | 2018-01-24 | 2019-08-01 | Applied Materials, Inc. | Side inject designs for improved radical concentrations |
US10847337B2 (en) | 2018-01-24 | 2020-11-24 | Applied Materials, Inc. | Side inject designs for improved radical concentrations |
US11501945B2 (en) | 2018-01-24 | 2022-11-15 | Applied Materials, Inc. | Side inject designs for improved radical concentrations |
CN109755159A (en) * | 2018-12-29 | 2019-05-14 | 武汉华星光电技术有限公司 | Dry etching board and dry etching method |
Also Published As
Publication number | Publication date |
---|---|
US20150170924A1 (en) | 2015-06-18 |
US9287095B2 (en) | 2016-03-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9287095B2 (en) | Semiconductor system assemblies and methods of operation | |
US11049698B2 (en) | Dual-channel showerhead with improved profile | |
US10062585B2 (en) | Oxygen compatible plasma source | |
US10541113B2 (en) | Chamber with flow-through source | |
US20150170879A1 (en) | Semiconductor system assemblies and methods of operation | |
US20150170943A1 (en) | Semiconductor system assemblies and methods of operation | |
US9293568B2 (en) | Method of fin patterning | |
KR102129867B1 (en) | Systems and processes for plasma filtering | |
US11056406B2 (en) | Stack of multiple deposited semiconductor layers | |
US10424487B2 (en) | Atomic layer etching processes | |
US20190304756A1 (en) | Semiconductor chamber coatings and processes | |
US20200090907A1 (en) | Systems and processes for plasma tuning | |
WO2015094596A1 (en) | Semiconductor system assemblies and methods of operation | |
US20210183620A1 (en) | Chamber with inductive power source |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: APPLIED MATERIALS, INC., CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NGUYEN, ANDREW;RAMASWAMY, KARTIK;NEMANI, SRINIVAS D.;AND OTHERS;SIGNING DATES FROM 20151130 TO 20160113;REEL/FRAME:037964/0612 |
|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |