CN1516895A - Barrier enhancement process for copper interconnects - Google Patents

Barrier enhancement process for copper interconnects Download PDF

Info

Publication number
CN1516895A
CN1516895A CNA028119231A CN02811923A CN1516895A CN 1516895 A CN1516895 A CN 1516895A CN A028119231 A CNA028119231 A CN A028119231A CN 02811923 A CN02811923 A CN 02811923A CN 1516895 A CN1516895 A CN 1516895A
Authority
CN
China
Prior art keywords
technology
enhancement layer
layer
metal
alloy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA028119231A
Other languages
Chinese (zh)
Inventor
C・H・Jb
C·H·Jb
吲捣
I·伊瓦诺夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mattson Technology Inc
Original Assignee
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Inc filed Critical Mattson Technology Inc
Publication of CN1516895A publication Critical patent/CN1516895A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

A damascene process for introducing copper into metallization layers in microelectronic structures includes a step of forming an enhancement layer of a metal alloy, such as a copper alloy or Co-W-P, over the barrier layer, using PVD, CVD or electrochemical deposition prior to electrochemically depositing copper metallization. The enhancement layer has a thickness from 10 ANGSTROM to 100 ANGSTROM and conformally covers the discontinuities, seams and grain boundary defects in the barrier layer. The enhancement layer provides a conductive surface onto which a metal layer, such as copper metallization, may be applied with electrochemical deposition. Alternatively, a seed layer may be deposited over the enhancement layer prior to copper metallization.

Description

The barrier layer enhanced process that is used for copper-connection
Technical field
The present invention relates to the film enhancement layer is deposited to electrochemical deposition technology on the existing ultra-thin barrier layer, with repair-deficiency with strengthen the barrier properties on barrier layer.The film enhancement layer of deposit is as the barrier layer and be used for the inculating crystal layer of copper-plating technique subsequently.
The cross reference of related application
It is No.60/298 that the application requires the sequence number of application on July 25 calendar year 2001,138 U.S.. the priority of provisional application.
Background technology
Need metallization pattern to interconnect a plurality of devices to form integrated circuit.For the chip of high performance ultra-large integrated (ULSI), use six layers or more metal layers usually.Along with manufactory reduce device size and with more device package on integrated circuit (IC) chip, expectation increases the quantity of layer.
The signal propagation delays that the performance of integrated circuit (IC) chip is interconnected limits, and signal propagation delays also is called " RC " and postpones.In order to improve circuit speed, importantly reduce R (resistance) and the C (electric capacity) relevant with interconnection.Recently, having introduced the aluminum metallization in the manufacturing of copper metallization replacement integrated circuit, is that copper has lower resistivity and higher current-carrying capacity owing to compare with aluminium.
Copper metallization requires the processing different with aluminum metallization.Composition after the metal deposit that replaces using in the interconnection of formation aluminium uses mosaic technology to form copper-connection usually.In mosaic technology, conductor fig at first etches in the dielectric material.Then, fill etched figure with copper.Use chemico-mechanical polishing (" CMP ") step on zone (field), to remove excessive copper then.Use via hole (via-hole) to connect the different metal layer that forms in the integrated circuit (IC) chip.When filling and polishing conductor lines figure and via hole figure respectively, technology often is called " singly inlaying " technology.When filling conductor lines and via hole figure simultaneously, technology often is called " dual damascene " technology.
In known mosaic technology, before introducing copper, barrier layer and inculating crystal layer are deposited on the patterned dielectric layer surface successively.Need the barrier layer to be diffused in the device region to prevent copper.When contact silicon, copper loss has been gone bad the silicon device operation.Usually, select thin refractory metal or metal nitride as the barrier layer.Representational barrier material comprises tantalum, tantalum nitride, tungsten, tungsten nitride, titanium and titanium nitride.Need inculating crystal layer to be provided as nuclear location for electrochemical deposition reaction provides conductibility also to electroplate for copper subsequently.Usually, thin copper layer is deposited on the barrier layer as inculating crystal layer.
One of the most important requirement that is used for the mosaic technology of copper is to make the copper complete filling of deposit have the etched line of high depth-width ratio (degree of depth is calculated divided by width gauge) or the little geometry in groove and hole.Often use the electroplating technology cement copper to be because (" CVD " compares, and this technology has slit filling capacity preferably with physical vapor deposition (" PVD ") or chemical vapor deposition.Because the electrochemical copper depositing technics can be deposited on more copper in the little groove rather than outside the groove, therefore usually be called " the efficient filling " (super-filling).
The PVD technology for example comprises various evaporations and sputtering technology, for example DC and/or RF plasma sputtering, bias sputtering, magnetron sputtering, ion plating or ionized metal plasma sputter.PVD technology is because their anisotropy and directionality produce non-conformal deposited usually.The CVD technology comprises CVD, low pressure chemical vapor deposition, high pressure CVD and the metallorganic CVD of for example hot CVD, plasma enhancing.CVD technology usually produces the deposit of conformal, has homogeneous thickness basically on the whole surface of bottom surface that comprises zone (field) and opening and side.
At present, main by PVD technology barrier layer and inculating crystal layer as sputter and ionization sputter.Often, vacuum is not destroyed to avoid surface contamination simultaneously in barrier layer and inculating crystal layer deposit successively in two different vacuum chambers.Key factor in this depositing technics is film thickness, particularly etching line or the side of groove and path and the film thickness on the bottom in the etched figure.PVD technology forms thin rete usually in these etched figures rather than on the smooth zone (field) of dielectric material.The step coverage existing problems of these layers.Film must continuous and zero defect.Hole in the barrier layer or defective will endanger the integrated level of device.Produce hole or defective in the copper film that hole in the inculating crystal layer or defective will cause electroplating.
Improve step and cover, now attempted using CVD technology barrier layer and inculating crystal layer.But the output of CVD technology does not have PVD technology good, and CVD technology is more expensive.Often have relatively poor adhesiveness, higher impurity and relatively poor crystal orientation by the copper seed layer of CVD technology deposit, when extra copper electrochemical have problems when being deposited on this inculating crystal layer.Sometimes PVD is used in combination with CVD, passes through the independent copper seed layer of PVD technology deposit thus on the copper seed layer of CVD deposit, has further increased the expense of CVD technology.Therefore, has above-indicated step covering problem but still preferred although be used for the PVD technology of the barrier layer of copper-connection and inculating crystal layer.
Improvement to the PVD deposition technology does not solve with the barrier layer of PVD deposit and the film covering problem of inculating crystal layer.Along with device size continues to reduce, the barrier film on the trenched side-wall need be less than 10 nanometers in the future.The technology that needs combination is to satisfy stricter requirement.
U.S. patent No.6, the method that 136,707 second copper seed layer of having instructed first copper seed layer that CVD is formed and PVD to form make up.U.S. patent No.6,197,181 disclose the method that will be made up by first copper seed layer of alkali plating solution electrolytic deposition and second copper seed layer that forms by PVD.The treatment step that these two patents all need to add is to obtain PVD copper seed layer adhesiveness preferably.Yet, the problem that bad interface causes between the barrier layer that disclosed method can not fix the defect in these patents or barrier layer and the copper seed layer.
Therefore, manufacturing industry is sought cement copper electrochemically to high depth-width ratio hole and the better method in the groove.
Summary of the invention
The present invention includes the technology and the equipment that metal are applied to microelectronic workpiece, wherein microelectronic workpiece comprises the surface that is provided with one or more micro groove structures in it.More generally, microelectronic workpiece is a semiconductor wafer, for example silicon or gallium arsenide semiconductor wafer.Preferably, metal forms metal layer for the copper that use is inlayed or dual-damascene technics applies in groove in semiconductor wafer or hole or path or other structure.
In technology according to the present invention, step comprises:
(a) on the surface of microelectronic workpiece, be included on the wall of micro groove structure and form the barrier layer;
(b) form enhancement layer on the barrier layer, wherein said enhancement layer is made up of metal alloy; And
(c) plate metal on the enhancement layer to fill the micro groove structure.
Preferably, use as electrochemical deposition technologies such as electroless plating or electroplating technology formation enhancement layer, thickness is below 100 , most preferably from 10 to 100 .Alternatively, can use CVD or PVD technology to form enhancement layer.
In one embodiment, enhancement layer is formed by copper alloy, for example Cu-Al, Cu-Mg and/or Cu-Zn.In another embodiment, enhancement layer is by forming as bianry alloy compositions such as Co-P or as ternary alloy three-partalloy compositions such as Co-W-P.
Enhancement layer is covering barrier layer conformally, or even covering barrier layer has the place of seam, discontinuous part or grain boundary defects.For semiconductor silicon wafer, the barrier layer can be titanium, titanium nitride or other known barrier material.Enhancement layer has conductibility, is enough to allow depositing metal on it, preferably copper.After this, for example remove excessive metal from (field) surface, zone by chemico-mechanical polishing.Metals deposited is stayed in the microelectronic structure, forms the interconnection or the metal layer that need.
In another embodiment, processing step comprises:
(a) on the surface of microelectronic workpiece, be included on the wall of micro groove structure and form the barrier layer;
(b) enhancement layer of formation metal alloy on the barrier layer;
(c) on enhancement layer, form inculating crystal layer; And
(d) on enhancement layer plated metal to fill the micro groove structure.
In this alternative, inculating crystal layer comprises another layer of metal alloy, comprises that perhaps plan is deposited on the metal level in the microelectronic structure.Thus, inculating crystal layer can be copper alloy, as two bianry alloys of Co-P, or as the ternary alloy three-partalloy of Co-W-P.The inculating crystal layer that forms preferably has the thickness of 50 to 500 .
Can in the production line that comprises a plurality of equipment of making microelectronic circuit or parts, carry out mosaic technology, wherein use the one or more equipment in a plurality of equipment that the interconnect metallization in the mosaic technology is applied to the surface of microelectronic workpiece, be used to form microelectronic circuit or parts.Microelectronic workpiece is preferably silicon or gallium arsenide semiconductor wafer, has formed porose in wafer or groove or path, is suitable for metallization and forms microelectronic circuit or parts.At this moment, one or more devices comprise:
Use first depositing technics that the barrier layer is applied to the device on microelectronic workpiece surface, wherein the barrier layer is not suitable for the body electrochemical deposition of interconnect metallization usually;
Use second depositing technics that enhancement layer is applied to device on the barrier layer, wherein enhancement layer is formed by alloy composite, and this alloy composite is applicable to that usually electrochemistry applies the predetermined thickness metal subsequently, represents the body portion of interconnect metallization; And
On enhancement layer, electrochemically apply the device of metal.
Preferably, the device that applies enhancement layer is the equipment that is used for electrochemical deposition, for example is used for the equipment of electroless plating or electroplating technology.Perhaps, the device that applies enhancement layer can be the equipment that is used for CVD or PVD technology.The device that applies enhancement layer can conformally be applied to enhancement layer on the barrier layer, and the thickness that applies is 100 or still less, preferred 10 are thick to 100 .Enhancement layer is preferably by forming as bianry alloys such as copper alloys such as Cu-Al, Cu-Mg and/or Cu-Zn, Co-P or as ternary alloy three-partalloys such as Co-W-P, perhaps even can be the mixture of these alloys.
The device of electrochemistry metallizing to the enhancement layer can coated copper as the metal in the mosaic technology.In case copper is incorporated in metal layer or the microelectronic structure, provide surface, zone (field) to remove the device of part copper metal from microelectronic workpiece.Preferably, the device of removing the part copper metal comprises chemical-mechanical polisher.
This equipment can comprise first Room that applies the barrier layer and second Room that applies enhancement layer.In addition, optionally additional inculating crystal layer and copper metallization can be deposited on the workpiece, and in second Room to the workpiece coating enhancement layer.Thus, carry out enhancement layer, the optional electrochemical deposition of inculating crystal layer and copper metal in can the single chamber in equipment.
Description of drawings
Can understand the present invention by reference fully below in conjunction with detailed description and claims of accompanying drawing.
Figure 1A is the profile that etching forms the semiconductor silicon wafer of medium figure groove;
Figure 1B is the profile with semiconductor silicon wafer of groove, shows wherein that deposit is from the teeth outwards equably as the thin barrier layer of tantalum or tantalum nitride;
Fig. 2 is the profile with semiconductor silicon wafer of groove, and groove has been coated with thin barrier layer, and shows the blemish that is formed on usually in the thin barrier layer;
Fig. 2 A is the amplification profile of semiconductor silicon wafer groove of the coating of Fig. 2;
Fig. 3 is the profile with semiconductor silicon wafer of groove, at first applies thin barrier layer according to the present invention, then for stopping enhancement layer.
Fig. 4 is the profile of the semiconductor silicon wafer of Fig. 3, has wherein used electrochemical deposition method to fill groove with copper;
Fig. 5 is the profile of the semiconductor silicon wafer of Fig. 4, and polished surface is removed after the excessive copper, has stayed the damascene conductor figure of finishing;
Fig. 6 is the profile of alternative, and wherein semiconductor silicon wafer has the damascene conductor figure of finishing, and wherein uses before the copper filling groove, and copper seed layer has been deposited on and has stopped on the enhancement layer; And
Fig. 7 is the deposition rate of Co-W-P alloy barrier reinforcing membrane on the barrier layer of the 75C that represents with dust and curve chart with the time of minute representing.
Embodiment
With reference to Figure 1A, as SiO 2Comprise the semiconductor wafer shown in the local amplification profile Deng silicon dielectric material 10.Dielectric material 10 has the groove 12 that forms in it.
The surface-coated of dielectric material 10 has thin barrier layer 14, preferably uses PVD technology, yet also can use CVD technology.The barrier layer is generally thin refractory metal or metal nitride.Representational barrier material comprises tantalum, tantalum nitride, tantalum silicon nitride, tungsten, tungsten nitride, tungsten silicon nitride, titanium, titanium nitride and titanium silicon nitride and other ternary nitride.
Shown in Figure 1A, barrier layer 14 forms pantostrat or the film that does not have discontinuous part or blemish.For this barrier layer, this is desirable surface coverage.Barrier layer thickness on the interior smooth lower surface of zone (field) and groove usually from 100 to 500 , depend on the depth-width ratio and the opening size of groove, the barrier layer thickness on the trenched side-wall is 100 or still less.For the very little opening with big degree of depth, the deposited film on the sidewall is too thin, produces discontinuous part and blemish.
Next with reference to figure 2 and 2A, be formed on barrier layer 16 on the dielectric material 10 and be presented at and have the surface coverage defective in the groove 12.As shown in Figure 2, barrier layer 16 does not have covering groove sidewall and flat bottom surface glossily.Seam 18 is stayed the barrier layer not to be had in the bottom corner of overwrite media material.Discontinuous part 20 is along the fracture part in the covering of sidewall.The intrinsic adhering blemish of copper seed layer that on the barrier layer, forms subsequently in the mosaic technology that crystal boundary 22 is represented to have suppressed known.
Most of faults in the barrier layer relate to the copper diffusion of crystal boundary, are because the crystal boundary diffusion is far away from the diffusion by body." filling " crystal boundary has the barrier layer of grain boundary defects with raising barrier properties is now proposed.For example, usually in oxygen atmosphere annealing TiN barrier layer with at crystal boundary place " filling " oxygen.The other method that reduces the diffusion of crystal boundary place is that other material is added in the initial barrier metal to form alloy.The material that adds accumulates in crystal boundary (also being called isolation) usually.Regulate alloy composite to satisfy different requirements.For example, the copper alloy as Cu-Sn, Cu-Zn, Cu-Mg or Cu-Al can be used as the diffusion barrier that is used for copper.The metal that adds in the alloy accumulates in the crystal boundary surface or the scope of freedom usually, and has prevented that copper atom from moving.Existing known Cu-Sn and Cu-Zn are by anti-block diffusion the having slowed down aerial corrosion of Cu.Recently, having studied and used Cu-Al as the diffusion barrier that is used for copper, is because Al often isolates at crystal boundary place and surface.
One of the most difficult problem is to make between the inculating crystal layer of initial barrier layer and deposit on it to obtain good adhesiveness during the deposit inculating crystal layer on the barrier layer.The copper of plating adheres to barrier layer surface relatively poorly.The seed crystal enhancement layer why Here it is introduces in the U.S. patent 6,197,181 directly is not deposited on the barrier layer, but is deposited to the reason on the copper seed layer of PVD deposit.The CVD copper seed layer that directly is deposited on the barrier layer also has relatively poor adhesiveness, often uses the PVD copper seed layer to improve the adhesiveness of CVD copper seed layer.
Use CVD technology, PVD technology or electrochemical process deposition preventing enhancement layer 24 conformally on barrier layer 16, preferred electrochemical process or CVD technology.Most preferably as the electrochemical deposition technology of electroless plating and electroplating technology.Stop that enhancement layer is thick to 100 from 10 , and covered in the barrier layer 16 defective that exists, for example stitch 18, discontinuous part 20 and crystal boundary 22.Stop that enhancement layer has good step and covers.
Stop that enhancement layer 24 is intended to strengthen the performance of diffusion impervious layer and the inculating crystal layer of conduct copper-plating technique subsequently.Thus, the deposition preventing enhancement layer can need independent copper seed layer.
Stop that enhancement layer is by adhering to the barrier layer and also allowing copper-plated subsequently conducting metal to form.Preferably, stop that enhancement layer is formed by a kind of binary or the ternary metal alloy material that are selected among following: cobalt-phosphorus (Co-P) or cobalt-tungsten-phosphorus (Co-W-P); , perhaps can be the mixture of these alloys perhaps by copper alloy as Cu-Al, Cu-Mg, Cu-Sn and/or Cu-Zn.
Preferably, deposit is as stopping that the alloy material of enhancement layer is Co-W-P.The electrochemical deposition technology that is used for Co-W-P at length is presented in the U.S. patent 5,695,810, here is incorporated herein by reference.The common deposition temperature that is used for this alloy is from room temperature to 90 ℃.Yet at 90 ℃, the electrolytic aqueous solution by evaporation loss can be too much, preferred thus as 75 ℃ lower temperature.For given deposit chemical substance, can control the thickness of the Co-W-P of deposit by control deposition time and temperature.In electrochemical deposition technology, the Co-W-P alloy material is deposited on the TiN barrier layer, as shown in Figure 6 to the speed of 200 with about 100 of per minute under 75 ℃.
Preferred electrochemical deposition technology deposition preventing enhancement layer.The hardware compatibility that has used in the copper-plating technique of this technology and standard and the copper-connection manufacturing.Therefore by new process chamber is installed, be used to stop that the new electrochemical deposition technology of enhancement layer can easily combine with existing plating equipment in existing systems.Suitable bonding apparatus constitutes the Figure 12 that is presented in the U.S. patent 6,017,437.In conjunction with device structure reduced equipment cost, and allow simple wafer technique flow sequence.After the deposition preventing enhancement layer, wafer can directly be sent to the copper facing assembly to finish plating technic, does not leave plating equipment simultaneously.
Stop that enhancement layer 24 is coated in after the barrier layer 16, etched figure is filled with electro-coppering shown in Figure 4.After this, preferably by chemico-mechanical polishing (" CMP ") step, excessive copper is removed on polishing area (field) surface.Damascene conductor graphical display complete after the CMP is in Fig. 5.
In alternative, two individual courses can be deposited on the barrier layer.As shown in Figure 6, the amplification profile of medium wafer material 10 has formation groove 12 within it.Barrier layer 16 is deposited on the smooth bottom and the sidewall surfaces of groove, and has crystal boundary, seam and the discontinuous part of pointing out among the last embodiment in it.Stop that enhancement layer 24 is coated on the barrier layer 16 once more.After this, inculating crystal layer 28 is formed on and stops on the enhancement layer 24.Inculating crystal layer 28 can form alloy, for example is used to form to stop enhancement layer 24 or can be the copper metal.Though can pass through CVD, PVD or electrochemical deposition technology deposit inculating crystal layer, preferred electrochemical deposition technology.In addition, more economical be to use compatible depositing technics, and preferred in identical equipment deposition preventing enhancement layer and inculating crystal layer.
Example
Example 1
The single enhancement layer that stops is deposited on the TiN barrier layer.The TiN barrier layer sputters on the silica dioxide medium material.Clean and wash the TiN barrier layer surface then.Deposit thin electroless plating Co-W-P layer on the TiN barrier layer then.The electrolyte that is used for deposit is made up of following:
CoClx6H 2O 30g/l
(NH4) 2WO 4 10g/l
Na 3C 6H 5O 7xH 2O 80g/l
NaH 2PO 2xH 2O 20g/l
Be adjusted to pH=9.5 with KOH
Deposition temperature is 75 ℃, about 1 minute of deposition time.The film of deposit (about 100 ) has good scattering nature, and successfully is used as the inculating crystal layer of copper-plating technique subsequently.
Example 2
The tantalum barrier layer of sputter is coated to the silica dioxide medium substrate.Because existing known directly Co-W-P being deposited to has a spot of adhesiveness on the tantalum, so the cobalt of sputter thin layer (about 100 ) is to tantalum surface.Then, by electroless plating at about 1 minute Co-W-P layer of 75 ℃ of deposits to the Co surface of sputter.The film (about 200 ) of combination obtains satisfied adhesiveness.The copper Direct Electroplating is to the Co-W-P layer then.In this example, the Co layer is for stopping enhancement layer, and Co-W-P is for being used for copper-plated inculating crystal layer.
This example shows according to a second embodiment of the present invention: (1) can use two different layers---stop enhancement layer and inculating crystal layer; And (2) use different deposition technology deposition preventing enhancement layer and inculating crystal layer.
Show the present invention by DETAILED DESCRIPTION OF THE PREFERRED and example.Can carry out multiple change to form and details in technical staff's the ability in the art.Therefore, the present invention must be weighed by claims, rather than the description of example or preferred embodiment.

Claims (61)

  1. One kind with washing to the technology of microelectronic workpiece, microelectronic workpiece comprises the surface that is provided with one or more micro groove structures in it, this technology may further comprise the steps:
    (d) on the surface of microelectronic workpiece, be included on the wall of micro groove structure and form the barrier layer;
    (e) form enhancement layer on the barrier layer, wherein said enhancement layer is made up of metal alloy; And
    (f) plate metal on the enhancement layer to fill the micro groove structure.
  2. 2. according to the technology of claim 1, wherein use electrochemical deposition technology to form enhancement layer.
  3. 3. according to the technology of claim 2, wherein electrochemical deposition technology is selected from electroless plating and electroplating technology
  4. 4. according to the technology of claim 1, wherein use CVD technology to form enhancement layer.
  5. 5. according to the technology of claim 1, wherein use PVD technology to form enhancement layer.
  6. 6. according to the technology of claim 1, wherein the thickness of enhancement layer is 100 or still less.
  7. 7. according to the technology of claim 1, wherein the thickness of enhancement layer is formed and has the thickness range of 10 to 100 .
  8. 8. according to the technology of claim 1, wherein there are seam, discontinuous part or grain boundary defects in the barrier layer that so forms, and enhancement layer covering barrier layer conformally wherein.
  9. 9. according to the technology of claim 1, wherein enhancement layer is formed by copper alloy.
  10. 10. according to the technology of claim 9, wherein copper alloy is selected from the mixture of Cu-Al, Cu-Mg, Cu-Zn, Cu-Sn and these alloys thereof.
  11. 11. according to the technology of claim 1, wherein enhancement layer is formed by the bianry alloy composition.
  12. 12. according to the technology of claim 11, wherein alloy is Co-P.
  13. 13. according to the technology of claim 1, wherein enhancement layer is formed by the ternary alloy three-partalloy composition.
  14. 14. according to the technology of claim 13, wherein alloy is Co-P-W.
  15. 15. according to the technology of claim 1, the metal that wherein is electroplated onto on the enhancement layer is a copper.
  16. 16. the technology according to claim 1 also comprises:
    (e) remove part metals from the surface of microelectronic workpiece.
  17. 17., wherein remove by chemico-mechanical polishing according to the technology of claim 16.
  18. 18. according to the technology of claim 1, wherein microelectronic workpiece is silicon or gallium arsenide semiconductor wafer.
  19. 19. metal layer that the technology that adopts claim 1 forms in microelectronic workpiece.
  20. 20. one kind with washing to the technology of microelectronic workpiece, microelectronic workpiece comprises the surface that is provided with one or more micro groove structures in it, this technology comprises:
    (a) on the surface of microelectronic workpiece, be included on the wall of micro groove structure and form the barrier layer;
    (b) enhancement layer of formation metal alloy on the barrier layer;
    (c) on enhancement layer, form inculating crystal layer; And
    (d) on enhancement layer plated metal to fill the micro groove structure.
  21. 21., wherein use electrochemical deposition technology to form enhancement layer according to the technology of claim 20.
  22. 22. according to the technology of claim 21, wherein electrochemical deposition technology is selected from electroless plating and electroplating technology.
  23. 23., wherein use CVD technology to form enhancement layer according to the technology of claim 20.
  24. 24., wherein use PVD technology to form enhancement layer according to the technology of claim 20.
  25. 25. according to the technology of claim 20, wherein the thickness of enhancement layer is 100 or still less.
  26. 26. according to the technology of claim 20, wherein the thickness of enhancement layer is the thickness ranges of 10 to 100 .
  27. 27. according to the technology of claim 20, wherein there are seam, discontinuous part or grain boundary defects in the barrier layer that so forms, and enhancement layer covering barrier layer conformally wherein.
  28. 28. according to the technology of claim 20, wherein enhancement layer is formed by copper alloy.
  29. 29. according to the technology of claim 28, wherein copper alloy is selected from the mixture of Cu-Al, Cu-Mg, Cu-Zn, Cu-Sn and these alloys thereof.
  30. 30. according to the technology of claim 20, wherein enhancement layer is formed by the bianry alloy composition.
  31. 31. according to the technology of claim 30, wherein alloy is Co-P.
  32. 32. according to the technology of claim 20, wherein enhancement layer is formed by the ternary alloy three-partalloy composition.
  33. 33. according to the technology of claim 32, wherein alloy is Co-P-W.
  34. 34. according to the technology of claim 20, the metal that wherein is electroplated onto on the enhancement layer is a copper.
  35. 35. the technology according to claim 20 also comprises:
    (e) remove part metals from the surface of microelectronic workpiece.
  36. 36., wherein remove by chemico-mechanical polishing according to the technology of claim 35.
  37. 37. according to the technology of claim 20, wherein microelectronic workpiece is silicon or gallium arsenide semiconductor wafer
  38. 38. metal layer that the technology that adopts claim 20 forms in microelectronic workpiece.
  39. 39. in the production line that comprises a plurality of equipment of making microelectronic circuit or parts, use one or more equipment of a plurality of equipment in mosaic technology, interconnect metallization to be applied to the surface of microelectronic workpiece, be used to form microelectronic circuit or parts, one or more equipment comprise:
    Use first depositing technics that the barrier layer is applied to the device on microelectronic workpiece surface, wherein the barrier layer is not suitable for the body electrochemical deposition of interconnect metallization usually;
    Use second depositing technics that enhancement layer is applied to device on the barrier layer, wherein enhancement layer is formed by alloy composite, and this alloy composite is applicable to that usually electrochemistry applies the predetermined thickness metal subsequently, represents the body portion of interconnect metallization; And
    On enhancement layer, electrochemically apply the device of metal.
  40. 40. according to the production line of claim 39, the device that wherein applies enhancement layer is the equipment that is used for electrochemical deposition.
  41. 41. according to the production line of claim 40, the device that wherein applies enhancement layer is selected from the electrochemical deposition technology of electroless plating and electroplating technology.
  42. 42. according to the production line of claim 39, the device that wherein applies enhancement layer is the device that is used for CVD technology.
  43. 43. according to the production line of claim 39, the device that wherein applies enhancement layer is the device that is used for PVD technology.
  44. 44. according to the production line of claim 39, the device that wherein applies enhancement layer can conformally be coated to enhancement layer on the barrier layer, the thickness of coating is 100 or still less.
  45. 45. according to the production line of claim 39, wherein enhancement layer is formed by a kind of metal alloy that is selected from Cu-Al, Cu-Mg, Cu-Zn, Cu-Sn, Co-P and Co-W-P and their mixture thereof.
  46. 46. according to the production line of claim 39, wherein on enhancement layer the device of electrochemistry metallizing can coated copper as metal.
  47. 47. the production line according to claim 39 also comprises:
    Remove the device of part metals from the surface of microelectronic workpiece.
  48. 48. according to the production line of claim 47, the device of wherein removing the part copper metal comprises chemical-mechanical polisher.
  49. 49. according to the production line of claim 39, wherein microelectronic workpiece is silicon or gallium arsenide semiconductor wafer.
  50. 50. one kind is applied to the lip-deep device of the microelectronic workpiece that is used to form microelectronic circuit or parts with interconnect metallization, comprises in mosaic technology:
    Use first depositing technics that the barrier layer is coated to the device on microelectronic workpiece surface, wherein the barrier layer is not suitable for the body electrochemical deposition of interconnect metallization usually;
    Use second depositing technics that enhancement layer is coated to device on the barrier layer, wherein enhancement layer is formed by alloy composite, and this alloy composite is applicable to that usually electrochemistry applies the predetermined thickness metal subsequently, represents the body portion of interconnect metallization; And
    The device of metallizing electrochemically on enhancement layer.
  51. 51. according to the device of claim 50, the device that wherein applies enhancement layer is the equipment that is used for electrochemical deposition.
  52. 52. according to the device of claim 51, the device that wherein applies enhancement layer is selected from the electrochemical deposition technology of electroless plating and electroplating technology.
  53. 53. according to the device of claim 51, wherein electrochemical deposition equipment comprise a chamber, one or more electrode, one or more negative electrode and connect one or more electrodes and one or more negative electrode to the treatment fluid of microelectronic workpiece.
  54. 54. according to the device of claim 53, wherein treatment fluid is the electrolyte that is used for electro-coppering or metal alloy, metal alloy is selected from Cu-Al, Cu-Mg, Cu-Zn, Cu-Sn, Co-P and Co-W-P and their mixture thereof.
  55. 55. according to the device of claim 50, the device that wherein applies enhancement layer can conformally be coated to enhancement layer on the barrier layer, the thickness of coating is 100 or still less.
  56. 56. according to the device of claim 50, wherein enhancement layer is formed by a kind of metal alloy that is selected from Cu-Al, Cu-Mg, Cu-Zn, Cu-Sn, Co-P and Co-W-P.
  57. 57. according to the device of claim 50, wherein on enhancement layer the device of electrochemistry metallizing can coated copper as metal.
  58. 58. according to the device of claim 50, it is first indoor that the device that wherein applies the barrier layer is positioned at, it is indoor that the device that applies enhancement layer is positioned at equipment second.
  59. 59. according to the device of claim 50, the device that wherein applies enhancement layer is positioned at the first indoor of equipment, it is indoor that the device that applies metal on enhancement layer is positioned at equipment second.
  60. 60. according to the device of claim 50, the device that wherein applies enhancement layer is first indoor, the device that applies metal on enhancement layer is included in the first indoor same apparatus of equipment.
  61. 61. according to the device of claim 50, wherein microelectronic workpiece is silicon or gallium arsenide semiconductor wafer.
CNA028119231A 2001-06-14 2002-06-14 Barrier enhancement process for copper interconnects Pending CN1516895A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US29813801P 2001-06-14 2001-06-14
US60/298,138 2001-06-14

Publications (1)

Publication Number Publication Date
CN1516895A true CN1516895A (en) 2004-07-28

Family

ID=23149211

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA028119231A Pending CN1516895A (en) 2001-06-14 2002-06-14 Barrier enhancement process for copper interconnects

Country Status (5)

Country Link
US (2) US20030010645A1 (en)
JP (1) JP2004533123A (en)
CN (1) CN1516895A (en)
DE (1) DE10296935T5 (en)
WO (1) WO2002103782A2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102412191A (en) * 2011-05-13 2012-04-11 上海华力微电子有限公司 Transmission electron microscopy sample preparation method capable of detecting Damascus seed crystal layer and barrier layer
CN102496597A (en) * 2011-12-30 2012-06-13 中国科学院宁波材料技术与工程研究所 Forming method for Cu interconnect line diffusion barrier of integrated circuit
CN102576675A (en) * 2009-10-27 2012-07-11 株式会社爱发科 Wiring layer, semiconductor device, and liquid crystal display device using semiconductor device
CN103789764A (en) * 2012-10-30 2014-05-14 三菱电机株式会社 Method of manufacturing semiconductor device, and semiconductor device
CN110073039A (en) * 2016-12-16 2019-07-30 托普索公司 The depositing coating on the interconnection for solid oxide cell heap
CN110528041A (en) * 2019-08-13 2019-12-03 广州兴森快捷电路科技有限公司 For the electroplating processing method of wafer, wafer and wiring board
CN110997555A (en) * 2017-08-07 2020-04-10 罗伯特·博世有限公司 Micromechanical device and corresponding production method
WO2021169794A1 (en) * 2020-02-24 2021-09-02 长鑫存储技术有限公司 Semiconductor structure manufacturing method and semiconductor structure

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
CN100390105C (en) 2003-03-26 2008-05-28 圣戈本陶瓷及塑料股份有限公司 Silicon carbide ceramic components having oxide layer
US20060283716A1 (en) * 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
WO2005038084A2 (en) * 2003-10-17 2005-04-28 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US7193323B2 (en) * 2003-11-18 2007-03-20 International Business Machines Corporation Electroplated CoWP composite structures as copper barrier layers
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7514353B2 (en) * 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060246217A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7867906B2 (en) * 2005-06-22 2011-01-11 Nec Corporation Semiconductor device and method for manufacturing same
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070210448A1 (en) * 2006-03-10 2007-09-13 International Business Machines Corporation Electroless cobalt-containing liner for middle-of-the-line (mol) applications
JP4878518B2 (en) * 2006-07-28 2012-02-15 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US20090117731A1 (en) * 2007-11-01 2009-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnection structure and method for making the same
US20090127711A1 (en) * 2007-11-15 2009-05-21 International Business Machines Corporation Interconnect structure and method of making same
JP5388191B2 (en) * 2009-05-26 2014-01-15 Jx日鉱日石金属株式会社 Plating object having through silicon via and method for forming the same
US8237191B2 (en) * 2009-08-11 2012-08-07 International Business Machines Corporation Heterojunction bipolar transistors and methods of manufacture
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9324606B2 (en) * 2014-01-09 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned repairing process for barrier layer
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20220165852A1 (en) * 2020-11-23 2022-05-26 Applied Materials, Inc. Methods and apparatus for metal fill in metal gate stack

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5695810A (en) * 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6010960A (en) * 1997-10-29 2000-01-04 Advanced Micro Devices, Inc. Method and system for providing an interconnect having reduced failure rates due to voids
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
DE69929607T2 (en) * 1998-06-30 2006-07-27 Semitool, Inc., Kalispell METALIZATION STRUCTURES FOR MICROELECTRONIC APPLICATIONS AND METHOD FOR PRODUCING THESE STRUCTURES
US6174799B1 (en) * 1999-01-05 2001-01-16 Advanced Micro Devices, Inc. Graded compound seed layers for semiconductors
US6596624B1 (en) * 1999-07-31 2003-07-22 International Business Machines Corporation Process for making low dielectric constant hollow chip structures by removing sacrificial dielectric material after the chip is joined to a chip carrier
JP4428832B2 (en) * 1999-08-27 2010-03-10 富士通株式会社 Metal wiring structure, semiconductor device, and manufacturing method of semiconductor device
US6740580B1 (en) * 1999-09-03 2004-05-25 Chartered Semiconductor Manufacturing Ltd. Method to form copper interconnects by adding an aluminum layer to the copper diffusion barrier
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6136707A (en) * 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6562715B1 (en) * 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102576675B (en) * 2009-10-27 2014-12-24 株式会社爱发科 Wiring layer, semiconductor device, and liquid crystal display device using semiconductor device
CN102576675A (en) * 2009-10-27 2012-07-11 株式会社爱发科 Wiring layer, semiconductor device, and liquid crystal display device using semiconductor device
CN102412191B (en) * 2011-05-13 2014-02-05 上海华力微电子有限公司 Transmission electron microscopy sample preparation method capable of detecting Damascus seed crystal layer and barrier layer
CN102412191A (en) * 2011-05-13 2012-04-11 上海华力微电子有限公司 Transmission electron microscopy sample preparation method capable of detecting Damascus seed crystal layer and barrier layer
CN102496597A (en) * 2011-12-30 2012-06-13 中国科学院宁波材料技术与工程研究所 Forming method for Cu interconnect line diffusion barrier of integrated circuit
CN103789764B (en) * 2012-10-30 2016-06-08 三菱电机株式会社 The manufacture method of semiconductor element, semiconductor element
CN103789764A (en) * 2012-10-30 2014-05-14 三菱电机株式会社 Method of manufacturing semiconductor device, and semiconductor device
CN110073039A (en) * 2016-12-16 2019-07-30 托普索公司 The depositing coating on the interconnection for solid oxide cell heap
CN110073039B (en) * 2016-12-16 2021-05-28 托普索公司 Deposition of coatings on interconnects for solid oxide cell stacks
CN110997555A (en) * 2017-08-07 2020-04-10 罗伯特·博世有限公司 Micromechanical device and corresponding production method
CN110997555B (en) * 2017-08-07 2023-09-26 罗伯特·博世有限公司 Micromechanical device and corresponding manufacturing method
CN110528041A (en) * 2019-08-13 2019-12-03 广州兴森快捷电路科技有限公司 For the electroplating processing method of wafer, wafer and wiring board
WO2021169794A1 (en) * 2020-02-24 2021-09-02 长鑫存储技术有限公司 Semiconductor structure manufacturing method and semiconductor structure

Also Published As

Publication number Publication date
WO2002103782A3 (en) 2003-10-16
US20030010645A1 (en) 2003-01-16
JP2004533123A (en) 2004-10-28
US20060076244A1 (en) 2006-04-13
WO2002103782A2 (en) 2002-12-27
DE10296935T5 (en) 2004-04-22

Similar Documents

Publication Publication Date Title
CN1516895A (en) Barrier enhancement process for copper interconnects
US6824665B2 (en) Seed layer deposition
US10804151B2 (en) Systems and methods for producing flat surfaces in interconnect structures
CN1655334A (en) Method for fabrication of a contact structure
CN1120241A (en) Refractory metal capped low resistivity metal conductor lines and vias formed using PVD and CVD
US7879720B2 (en) Methods of forming electrical interconnects using electroless plating techniques that inhibit void formation
JP2001185553A (en) Method for improving electroplating fill
US6911229B2 (en) Structure comprising an interlayer of palladium and/or platinum and method for fabrication thereof
CN1477695A (en) Method for making semiconductor device
KR20060085952A (en) Method of forming wiring structure and semiconductor device
JP2001068433A (en) Bonding of seed layer not continuous nor massive to barrier layer
US20050029662A1 (en) Semiconductor production method
EP1351289A1 (en) Method and apparatus for forming fine circuit interconnects
US8053894B2 (en) Surface treatment of metal interconnect lines
US20080156636A1 (en) Homogeneous Copper Interconnects for BEOL
CN107564851B (en) Dual damascene fill
WO2011018478A1 (en) Electrolyte and method for the electroplating of copper on a barrier layer, and semiconductor substrate obtained with said method
EP1204141A2 (en) Method for forming interconnects and semiconductor device
US6946386B2 (en) Process for producing ultrathin homogenous metal layers
KR100701675B1 (en) Method for forming copper line in semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication