KR20100099535A - Appratus for treating substrate and method for fabricating the same - Google Patents

Appratus for treating substrate and method for fabricating the same Download PDF

Info

Publication number
KR20100099535A
KR20100099535A KR1020090018083A KR20090018083A KR20100099535A KR 20100099535 A KR20100099535 A KR 20100099535A KR 1020090018083 A KR1020090018083 A KR 1020090018083A KR 20090018083 A KR20090018083 A KR 20090018083A KR 20100099535 A KR20100099535 A KR 20100099535A
Authority
KR
South Korea
Prior art keywords
gas distribution
gas
plate
distribution plate
space
Prior art date
Application number
KR1020090018083A
Other languages
Korean (ko)
Inventor
최선홍
이승호
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR1020090018083A priority Critical patent/KR20100099535A/en
Priority to CN2010800033950A priority patent/CN102239543A/en
Priority to US12/746,505 priority patent/US20110048325A1/en
Priority to PCT/KR2010/001209 priority patent/WO2010101369A2/en
Priority to JP2011552876A priority patent/JP2012519956A/en
Priority to TW99106061A priority patent/TW201038763A/en
Publication of KR20100099535A publication Critical patent/KR20100099535A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof

Abstract

PURPOSE: A substrate processing apparatus and a method for manufacturing the same are provided to prevent the generation of foreign materials due to the decomposition of a process gas by installing a refrigerant flowing space in a gas distribution plate. CONSTITUTION: A first process gas flows through a first gas distribution plate(134). The first gas distribution plate includes a first gas introduction pipe(134a) through which the first process gas is introduced. The first process gas and a second process gas passes through a second gas distribution plate(136). The firs gas distribution plate includes a second gas introduction pipe(136a) through which the second process gas is introduced. A third gas distribution plate sprays the first and the second process gases toward a substrate loading stand.

Description

기판처리장치 및 그의 제조방법{Appratus for Treating Substrate and Method for Fabricating the same}Substrate processing apparatus and its manufacturing method {Appratus for Treating Substrate and Method for Fabricating the same}

본 발명은 기판처리장치에 관한 것으로, 보다 구체적으로는 가스분배수단을 포함하는 기판처리장치에 관한 것이다.The present invention relates to a substrate processing apparatus, and more particularly, to a substrate processing apparatus including a gas distribution means.

일반적으로, 반도체 소자, 표시장치 및 박막 태양전지를 제조하기 위해서는 기판에 특정 물질의 박막을 증착하는 박막증착공정, 감광성 물질을 사용하여 이들 박막 중 선택된 영역을 노출 또는 은폐시키는 포토공정, 선택된 영역의 박막을 제거하여 패터닝하는 식각공정 등을 거치게 된다. 이들 공정 중 박막증착공정 및 식각공정 등은 진공상태로 최적화된 기판처리장치에서 진행한다. In general, in order to manufacture a semiconductor device, a display device, and a thin film solar cell, a thin film deposition process of depositing a thin film of a specific material on a substrate, a photo process of exposing or hiding selected areas of the thin films using a photosensitive material, The thin film is removed and patterned through an etching process. Among these processes, a thin film deposition process and an etching process are performed in a substrate processing apparatus optimized in a vacuum state.

기판처리장치에서, 반응공간을 가진 공정챔버의 내부에 균일한 공정가스를 분포시키기 위하여 가스분배판을 사용한다. 일반적으로 기판 상에 박막을 증착시키기 위하여 주요한 방법으로 화학적 기상 증착(Chemical Vapor Phase Deposition: CVD)을 사용한다. CVD 방법을 사용하는 경우, 가스분배판의 온도가 상승하여 공정 챔버의 리드와 가스분배판 사이에서 공정가스가 분해 및 반응으로 분말(powder) 또는 이물질(particle)이 발생할 수 있다. 따라서, 분말 또는 이물질의 발생을 억제하기 위하여, 가스분배판을 냉각하기 위한 냉각장치를 설치한다. 그러나, 냉각장치의 설치는 기판처리장치의 원가를 상승시키는 원인이 된다.In a substrate processing apparatus, a gas distribution plate is used to distribute a uniform process gas inside a process chamber having a reaction space. In general, chemical vapor deposition (CVD) is used as a main method for depositing a thin film on a substrate. In the case of using the CVD method, the temperature of the gas distribution plate rises, and thus, powder or particles may be generated as the process gas is decomposed and reacted between the lead and the gas distribution plate of the process chamber. Therefore, in order to suppress the generation of powder or foreign matter, a cooling device for cooling the gas distribution plate is provided. However, the installation of the cooling device causes the cost of the substrate processing device to increase.

그리고, 종래기술에서 2 종류의 공정가스를 독립적으로 동시에 분사하기 위한 분사홀을 형성하고, 공정온도에 따라 승온되는 가스분배판을 냉각시키기 위해 냉매가 유동하는 공간을 확보하기 위하여, 일반적으로 3 개 이상의 가스분배판으로 구성되는 가스분배수단을 제작하여야 한다. 이러한 가스분배수단은 다수의 핀 타입의 튜브를 적절하게 배열하고 수차례의 브레이징(brazing)에 의해 결합시켜 제작한다. 그런데, 가스분배수단의 면적도 증가함에 따라, 튜브의 수도 증가하여, 브레이징에 결합에 있어서 페일(fail)이 발생할 확율이 높아진다. 또한, 반복되는 브레이징에 의해 열변형이 발생하고, 브레이징 부위에 응력이 내재되어 리크(leak)가 발생할 수 있다.In addition, in the prior art, in order to form two injection holes for simultaneously injecting two kinds of process gases independently, and to secure a space in which the refrigerant flows to cool the gas distribution plate heated according to the process temperature, generally three Gas distribution means composed of the above gas distribution plates shall be manufactured. Such gas distribution means are manufactured by arranging a plurality of fin-type tubes appropriately and joining by several brazings. However, as the area of the gas distribution means also increases, the number of tubes increases, so that the probability of failing in bonding to the brazing increases. In addition, thermal deformation may occur due to repeated brazing, and stress may be inherent in the brazing site, thereby causing leakage.

상기와 같은 종래기술의 문제를 해결하기 위하여, 본 발명은 굴삭 또는 판재 가공에 의해 제작된 다수의 통과홀이 형성되는 제 1 가스분배판과 다수의 튜브의 결합에 의해 제작되어 다수의 통과홀과 연통되는 다수의 노즐이 설치되는 제 2 가 스분배판에 의해, 안정적으로 2 종류 이상의 가스를 독립적으로 분사할 수 있는 가스분배수단을 포함하는 기판처리장치를 제공하는 것을 목적으로 한다.In order to solve the problems of the prior art as described above, the present invention is manufactured by the combination of the first gas distribution plate and the plurality of tubes formed with a plurality of through-holes made by excavation or plate processing and a plurality of through-holes and An object of the present invention is to provide a substrate processing apparatus including gas distribution means capable of stably injecting two or more kinds of gases stably by a second gas distribution plate provided with a plurality of communicating nozzles.

본 발명은 냉매의 온도를 적정온도로 조절하기 위해, 다수의 분사노즐을 가지는 가스분배판에 온도측정수단을 설치한 가스분배수단을 포함한 기판처리장치를 제공하는 것을 다른 목적으로 한다. Another object of the present invention is to provide a substrate processing apparatus including gas distribution means in which a temperature measuring means is provided on a gas distribution plate having a plurality of injection nozzles in order to adjust the temperature of the refrigerant to an appropriate temperature.

본 발명은 제 1 내지 제 3 가스분배판의 각각을 다수의 제 1 내지 3 서브 가스분배판으로 구성하는 것에 의해, 제작이 용이한 가스분배수단을 포함하는 기판처리장치를 제공하는 것을 목적으로 한다. An object of the present invention is to provide a substrate processing apparatus including gas distribution means that is easy to manufacture by configuring each of the first to third gas distribution plates with a plurality of first to third sub gas distribution plates. .

상기와 같은 목적을 달성하기 위한 본 발명에 따른 기판처리장치의 가스분배수단은, 제 1 공정가스를 도입하는 제 1 가스도입관과 연결되고, 상기 제 1 공정가스를 통과시키는 다수의 제 1 통과홀을 포함하는 제 1 가스분배판; 제 2 공정가스를 도입하는 제 2 가스도입관과 연결되고, 상기 다수의 제 1 통과홀과 정렬되어 상기 제 1 공정가스를 통과시키는 다수의 제 2 통과홀 및 상기 제 2 공정가스를 통과시키는 다수의 제 3 통과홀을 포함하는 제 2 가스분배판; 상기 다수의 제 2 및 제 3 통과홀과 정렬되어 상기 제 1 및 제 2 공정가스를 각각 분사하는 다수의 제 1 및 제 2 노즐과 냉매가 유동하는 공간을 포함하는 제 3 가스분배판;을 포함하는 것을 특징으로 한다.Gas distribution means of the substrate processing apparatus according to the present invention for achieving the above object is connected to a first gas introduction pipe for introducing a first process gas, a plurality of first passages for passing the first process gas A first gas distribution plate including a hole; A plurality of second passage holes that are connected to a second gas introduction pipe for introducing a second process gas and aligned with the plurality of first passage holes to allow the first process gas to pass through and the second process gas to pass through the second process gas; A second gas distribution plate comprising a third through hole of the second gas distribution plate; And a third gas distribution plate aligned with the plurality of second and third passage holes and including a plurality of first and second nozzles for injecting the first and second process gases, respectively, and a space in which a refrigerant flows. Characterized in that.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 제 1 가스분배판 은, 상기 제 1 가스도입관에서 공급되는 상기 제 1 공정가스를 수용하는 공간을 포함하는 하우징과, 상기 공간의 내부에 설치되고 상기 제 1 가스도입관으로부터 도입되는 상기 제 1 공정가스를 균일하기 분포시키기 위한 분포수단을 포함하는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, the first gas distribution plate includes a housing including a space for accommodating the first process gas supplied from the first gas introduction pipe, and inside the space. And distribution means for uniformly distributing the first process gas installed and introduced from the first gas introduction pipe.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 제 1 가스분배판은, 상기 제 1 가스도입관에서 공급되는 상기 제 1 공정가스를 수용하는 공간을 포함하는 하우징과, 상기 공간의 내부에 설치되고 상기 제 1 가스도입관으로부터 도입되는 상기 제 1 공정가스를 균일하기 분포시키기 위한 분포수단을 가지는 다수의 제 1 서브 가스분배판을 포함하는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, the first gas distribution plate includes a housing including a space for accommodating the first process gas supplied from the first gas introduction pipe, and inside the space. And a plurality of first sub gas distribution plates having distribution means for uniformly distributing the first process gas introduced from the first gas introduction pipe.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 하우징의 내측벽은 단차부를 포함하고, 상기 단차부에 상기 분포수단이 위치하는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, the inner wall of the housing includes a stepped portion, and the distribution means is located in the stepped portion.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 제 1 서브 가스분배판은 부채꼴 형태이고, 상기 제 1 가스분배판의 중심부와 인접한 단부에서 원호처리되는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, the first sub gas distribution plate is in the shape of a fan, characterized in that the arc processing at the end portion adjacent to the central portion of the first gas distribution plate.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 분포수단은 플레이트와 상기 플레이트를 천공하는 다수의 공급홀을 포함하는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, the distribution means comprises a plate and a plurality of supply holes for puncturing the plate.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 제 2 가스분배판은 상기 제 2 가스도입관으로부터 공급되는 제 2 공정가스를 수용하는 버퍼공간과 상기 버퍼공간으로부터 공급되는 상기 제 2 공정가스를 수용하는 제 2 공간을 가지 는 제 2 하우징을 포함하고, 상기 제 1 가스분배판의 상기 하우징의 측벽은 상기 제 2 하우징의 상기 버퍼공간의 커버하는 두께를 가지는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, the second gas distribution plate is a buffer space for receiving the second process gas supplied from the second gas introduction pipe and the second process gas supplied from the buffer space. And a second housing having a second space for accommodating the sidewall, wherein the side wall of the housing of the first gas distribution plate has a thickness covering the buffer space of the second housing.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 제 2 가스분배판은, 상기 제 2 가스도입관과 연결되고 상기 제 2 공정가스를 수용하는 공간을 제공하는 하우징; 상기 공간에 상기 다수의 제 2 통과홀을 내장한 다수의 필라; 상기 하우징의 하부를 천공한 상기 다수의 제 3 통과홀;을 포함하는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, the second gas distribution plate, the housing is connected to the second gas introduction pipe and provides a space for receiving the second process gas; A plurality of pillars in which the plurality of second passage holes are embedded in the space; And a plurality of third through holes formed through the lower portion of the housing.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 제 2 가스분배판은, 상기 공간의 내부에 설치되는 격벽; 상기 하우징의 측벽과 상기 격벽에 의해 구분되고, 상기 제 2 가스도입관으로부터 공급되는 상기 제 2 공정가스를 수용하는 버퍼공간;을 포함하는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, the second gas distribution plate, the partition wall is provided in the interior of the space; And a buffer space divided by the side wall of the housing and the partition wall and accommodating the second process gas supplied from the second gas introduction pipe.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 제 2 가스분배판은, 상기 격벽에 상기 버퍼공간의 상기 제 2 공정가스를 상기 공간으로 공급하기 위해 설치된 공급홀을 포함하는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, the second gas distribution plate, characterized in that it comprises a supply hole provided in the partition wall for supplying the second process gas of the buffer space to the space. .

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 제 2 가스분배판은, 상기 제 2 가스도입관과 연결되고 상기 제 2 공정가스를 수용하는 공간을 제공하는 하우징; 상기 공간에 상기 다수의 제 2 통과홀을 내장한 다수의 필라; 상기 하우징의 하부를 천공한 상기 다수의 제 3 통과홀;을 가지는 다수의 제 2 서브 가스분배판을 포함하는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, the second gas distribution plate, the housing is connected to the second gas introduction pipe and provides a space for receiving the second process gas; A plurality of pillars in which the plurality of second passage holes are embedded in the space; And a plurality of second sub gas distribution plates having a plurality of third through holes formed in the lower part of the housing.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 제 3 가스분배판 은, 상기 다수의 제 1 및 제 2 노즐이 설치되고 상기 냉매가 유동하는 상기 공간을 포함하는 하우징과 상기 하우징에 연결되어 상기 냉매를 공급 또는 배출시키는 냉매유동관을 포함하는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, the third gas distribution plate is connected to the housing and the housing including the space in which the plurality of first and second nozzles are installed and the refrigerant flows. It characterized in that it comprises a refrigerant flow pipe for supplying or discharging the refrigerant.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 하우징은 상기 공간의 측면을 감싸는 측벽, 상기 측벽의 상부에 위치하고, 상기 다수의 제 1 및 제 2 노즐과 연통되는 상판, 및 상기 측벽의 하부에 위치하고, 상기 다수의 제 1 및 제 2 노즐과 연통되는 하판을 포함하는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, the housing is located on the side wall surrounding the side of the space, the upper side of the side wall, the upper plate in communication with the plurality of first and second nozzles, and the lower side of the side wall Located in, characterized in that it comprises a lower plate in communication with the plurality of first and second nozzles.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 하우징은 상기 공간의 측면을 감싸는 측벽 및 상기 제 2 가스분배판과 직접 접촉하는 상기 다수의 제 1 및 제 2 노즐이 위치하는 하판을 포함하는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, the housing includes a side wall surrounding the side of the space and a lower plate on which the plurality of first and second nozzles in direct contact with the second gas distribution plate are located. It is characterized by.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 제 3 가스분배판은, 상기 다수의 제 1 및 제 2 노즐이 설치되고 상기 냉매가 유동하는 상기 공간을 포함하는 하우징과 상기 하우징에 연결되어 상기 냉매를 공급 또는 배출시키는 냉매유동관을 가지는 제 3 서브 가스분배판을 포함하는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, the third gas distribution plate is connected to the housing and the housing including the space in which the plurality of first and second nozzles are installed and the refrigerant flows. And a third sub gas distribution plate having a refrigerant flow tube for supplying or discharging the refrigerant.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 제 1 가스분배판과 상기 제 2 가스분배판은 알루미늄으로 제작되고, 제 3 가스분배판은 스테인레스 스틸 또는 알루미늄으로 제작되는 것을 특징으로 한다.In the gas distribution means of the substrate treating apparatus as described above, the first gas distribution plate and the second gas distribution plate are made of aluminum, and the third gas distribution plate is made of stainless steel or aluminum.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 제 3 가스분배판에 온도측정수단을 설치하는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, a temperature measuring means is provided on the third gas distribution plate.

상기와 같은 기판처리장치의 가스분배수단에 있어서, 상기 제 2 가스분배판 및 상기 제 3 가스분배판에 각각 제 1 및 제 2 온도측정수단을 설치하는 것을 특징으로 한다.In the gas distribution means of the substrate processing apparatus as described above, first and second temperature measuring means are provided on the second gas distribution plate and the third gas distribution plate, respectively.

상기와 같은 목적을 달성하기 위한 기판처리장치는, 반응공간을 제공하는 공정챔버; 상기 반응공간에 설치되고, 제 1 공정가스를 도입하는 제 1 가스도입관과 연결되고, 상기 제 1 공정가스를 통과시키는 다수의 제 1 통과홀을 포함하는 제 1 가스분배판, 제 2 공정가스를 도입하는 제 2 가스도입관과 연결되고, 상기 다수의 제 1 통과홀과 정렬되어 상기 제 1 공정가스를 통과시키는 다수의 제 2 통과홀 및 상기 제 2 공정가스를 통과시키는 다수의 제 3 통과홀을 포함하는 제 2 가스분배판, 및 상기 다수의 제 2 및 제 3 통과홀과 정렬되어 상기 제 1 및 제 2 공정가스를 각각 분사하는 다수의 제 1 및 제 2 노즐과 냉매가 유동하는 공간을 포함하는 제 3 가스분배판을 포함하는 가스분배수단; 상기 가스분배수단과 대향하고, 기판을 안치하는 기판안치수단;을 포함하는 것을 특징으로 한다.Substrate processing apparatus for achieving the above object, the process chamber for providing a reaction space; A first gas distribution plate and a second process gas installed in the reaction space and connected to a first gas introduction pipe for introducing a first process gas and including a plurality of first passage holes through which the first process gas passes; A plurality of second passage holes connected to a second gas introduction pipe for introducing a plurality of second passage holes to pass through the first process gas and aligned with the plurality of first passage holes to pass the second process gas; A second gas distribution plate including a hole, and a plurality of first and second nozzles which are aligned with the plurality of second and third passage holes to inject the first and second process gases, respectively, and a space in which the refrigerant flows. Gas distribution means comprising a third gas distribution plate comprising a; And substrate mounting means facing the gas distribution means, the substrate placing means being disposed thereon.

상기와 같은 기판처리장치에 있어서, 상기 공정챔버는 챔버몸체와 챔버리드로 구성되고, 상기 챔버리드에 상기 제 1 가스분배판이 결합되는 것을 특징으로 한다.In the substrate processing apparatus as described above, the process chamber is composed of a chamber body and a chamber lead, characterized in that the first gas distribution plate is coupled to the chamber lead.

상기와 같은 기판처리장치에 있어서, 상기 챔버리드는 상기 제 1 가스분배판과 대응되는 부분에 형성되고 상기 제 1 가스도입관과 연결되는 함몰부를 포함하고, 상기 제 1 가스분배판은 상기 제 1 공정가스를 수용하는 공간을 포함하고, 상기 함몰부와 상기 공간 사이에 상기 제 1 공정가스를 균일하게 분포시키는 배플을 포함하는 것을 특징으로 한다.In the substrate processing apparatus as described above, the chamber lead includes a recess formed in a portion corresponding to the first gas distribution plate and connected to the first gas introduction pipe, and the first gas distribution plate is the first gas distribution plate. And a space for accommodating the process gas, and a baffle for uniformly distributing the first process gas between the recess and the space.

상기와 같은 기판처리장치에 있어서, 상기 챔버리드에는 냉매가 순환하는 냉매유로가 형성되는 것을 특징으로 한다.In the substrate processing apparatus as described above, the chamber lead is characterized in that the refrigerant passage through which the refrigerant is circulated.

상기와 같은 기판처리장치에 있어서, 상기 챔버리드와 이격되어 상기 제 1 가스분배판이 설치되는 것을 특징으로 한다.In the substrate processing apparatus as described above, the first gas distribution plate is installed spaced apart from the chamber lead.

상기와 같은 목적을 달성하기 위한 기판처리장치의 가스분배수단의 제조방법은, 제 1 공정가스를 도입하는 제 1 가스도입관과 연결되고, 상기 제 1 공정가스를 통과시키는 다수의 제 1 통과홀을 포함하는 제 1 가스분배판을 형성하는 단계; 제 2 공정가스를 도입하는 제 2 가스도입관과 연결되고, 상기 다수의 제 1 통과홀과 정렬되어 상기 제 1 공정가스를 통과시키는 다수의 제 2 통과홀 및 상기 제 2 공정가스를 통과시키는 다수의 제 3 통과홀을 포함하는 제 2 가스분배판을 형성하는 단계; 상기 다수의 제 2 및 제 3 통과홀과 정렬되어 상기 제 1 및 제 2 공정가스를 각각 분사하는 다수의 제 1 및 제 2 노즐과 냉매가 유동하는 공간을 포함하는 제 3 가스분배판을 형성하는 단계; 상기 제 1 가스분배판에 상기 제 2 가스분배판과, 상기 제 2 가스분배판에 상기 제 3 가스분배판을 결합시키는 단계;를 포함하는 것을 특징으로 한다.A method of manufacturing the gas distribution means of the substrate processing apparatus for achieving the above object is connected to the first gas introduction pipe for introducing a first process gas, a plurality of first through holes for passing the first process gas Forming a first gas distribution plate comprising a; A plurality of second passage holes that are connected to a second gas introduction pipe for introducing a second process gas and aligned with the plurality of first passage holes to allow the first process gas to pass through and the second process gas to pass through the second process gas; Forming a second gas distribution plate including a third through hole of the second gas distribution plate; Forming a third gas distribution plate including a plurality of first and second nozzles which are aligned with the plurality of second and third through holes, respectively, and a space in which a refrigerant flows, and a plurality of first and second nozzles respectively injecting the first and second process gases; step; And coupling the second gas distribution plate to the first gas distribution plate and the third gas distribution plate to the second gas distribution plate.

상기와 같은 기판처리장치의 가스분배수단의 제조방법에 있어서, 상기 제 3 가스분배판은, 제 1 판재 및 제 2 판재를 준비하는 단계; 상기 제 1 판재 및 상기 제 2 판재에 상기 다수의 제 1 및 제 2 노즐과 대응되는 다수의 개구를 천공하는 단계; 상기 제 1 판재 및 상기 제 2 판재의 사이에, 상기 다수의 개구와 대응되도록 다수의 튜브를 배열시키는 단계; 상기 제 1 판재 및 상기 제 2 판재와 상기 다 수의 튜브를 브레이징 방법을 이용하여 접합시키는 단계; 상기 제 1 판재 및 상기 제 2 판재의 주변부를 제 3 판재와 결합시켜 하우징을 형성하는 단계; 상기 하우징의 측벽에 냉매를 공급 및 배출하는 냉매유동관을 연결하는 단계;를 포함하는 것을 특징으로 한다.In the method of manufacturing a gas distribution means of the substrate processing apparatus as described above, the third gas distribution plate, comprising the steps of preparing a first plate and a second plate; Drilling a plurality of openings corresponding to the plurality of first and second nozzles in the first plate and the second plate; Arranging a plurality of tubes between the first plate and the second plate to correspond to the plurality of openings; Bonding the first plate and the second plate to the plurality of tubes using a brazing method; Coupling a peripheral portion of the first plate and the second plate with a third plate to form a housing; And connecting a refrigerant flow tube for supplying and discharging the refrigerant to the side wall of the housing.

상기와 같은 기판처리장치의 가스분배수단의 제조방법에 있어서, 상기 제 3 가스분배판은, 판재를 준비하는 단계; 상기 판재에 상기 다수의 제 1 및 제 2 노즐과 대응되는 다수의 개구를 천공하는 단계; 상기 판재의 상기 다수의 개구와 대응되도록 다수의 튜브를 배열시키는 단계; 상기 판재와 상기 다수의 튜브를 브레이징 방법을 이용하여 접합시키는 단계; 상기 판재의 주변부를 제 3 판재와 결합시켜 하우징을 형성하는 단계; 상기 다수의 제 1 및 제 2 노즐의 상부를 상기 제 2 가스분배판의 하부에 접촉시켜 연결시키는 단계; 상기 하우징의 측벽에 냉매를 공급 및 배출하는 냉매유동관을 연결하는 단계;를 포함하는 것을 특징으로 한다.In the method of manufacturing a gas distribution means of the substrate processing apparatus as described above, the third gas distribution plate, the step of preparing a plate; Drilling a plurality of openings corresponding to the plurality of first and second nozzles in the plate; Arranging a plurality of tubes to correspond with the plurality of openings of the plate; Joining the plate with the plurality of tubes using a brazing method; Coupling a peripheral portion of the plate with a third plate to form a housing; Connecting upper portions of the plurality of first and second nozzles to contact lower portions of the second gas distribution plate; And connecting a refrigerant flow tube for supplying and discharging the refrigerant to the side wall of the housing.

본 발명의 실시예에 따른 가스분배수단을 포함하는 기판처리장치는 다음과 같은 효과가 있다.Substrate processing apparatus including a gas distribution means according to an embodiment of the present invention has the following effects.

2 종류의 공정가스를 독립적으로 동시에 분사하고, 3 개의 가스분배판으로 구성되는 가스분배판에 있어서, 공정가스를 기판 상에 분사하는 노즐을 포함하는 가스분배판에 냉매가 유동하는 공간을 설치함으로써, 공정가스의 분해에 따른 이물 질의 발생을 방지하고, 또한 가스분배수단의 열변형을 예방할 수 있다. 2 개의 가스분배판은 굴삭 또는 판재 가공에 의해 제작하고, 노즐을 포함하는 가스분배판 만을 브레이징 방법을 사용하여 제작함으로써, 단순화된 구조를 실현하고 제작비용을 절감할 수 있다. In a gas distribution plate composed of three gas distribution plates which simultaneously inject two kinds of process gases independently, by providing a space in which a refrigerant flows in a gas distribution plate including a nozzle for injecting the process gas onto a substrate. In addition, it is possible to prevent the occurrence of foreign substances due to the decomposition of the process gas, and also to prevent thermal deformation of the gas distribution means. The two gas distribution plates are manufactured by excavation or sheet metal processing, and only the gas distribution plates including nozzles are manufactured by using a brazing method, thereby realizing a simplified structure and reducing manufacturing costs.

노즐을 포함한 가스분배판에 온도측정수단을 설치하여, 브레이징 방법으로 가공할 때 또는 기판처리공정 중에 가스분배판이 적정온도 이상으로 승온하는 경우, 가공작업 또는 기판처리공정을 중단하는 신호를 제공하고, 중단신호에 의한 작업 또는 기판처리공정을 자동적으로 중단할 수 있어, 제작과정 또는 기판처리과정에서 발생되는 문제를 예방할 수 있다. By providing a temperature measuring means to the gas distribution plate including the nozzle, when processing by the brazing method or when the gas distribution plate rises above the proper temperature during the substrate processing process, it provides a signal to stop the processing or substrate processing process, It is possible to automatically stop the work or the substrate processing process by the stop signal, it is possible to prevent problems caused during the manufacturing process or substrate processing.

제 1 내지 제 3 가스분배판의 각각을 다수의 제 1 내지 3 서브 가스분배판으로 구성하는 것에 의해, 가스분배수단의 제작이 용이하다. By constructing each of the first to third gas distribution plates with a plurality of first to third sub gas distribution plates, the gas distribution means can be easily manufactured.

도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나 본 발명은 실시예에서 설명하는 내용으로 한정하는 것이 아니라, 서로 다른 다양한 형태로 구현될 수 있고, 단지 본 발명의 실시예는 통상의 지식을 가진 자에 본 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다. 도면상에서 동일 부호는 동일한 요소를 지칭한다. With reference to the drawings will be described a preferred embodiment of the present invention; However, the present invention is not limited to the contents described in the embodiments, but may be embodied in various different forms, and only the embodiments of the present invention to fully inform the person skilled in the art the scope of the present invention. It is provided. Like numbers refer to like elements in the figures.

도 1은 본 발명의 제 1 실시예에 따른 기판처리장치의 모식도이고, 도 2는 본 발명의 제 1 실시예에 따른 기판처리장치의 가스분배수단의 상세 단면도이고, 도 3은 본 발명의 제 1 실시예에 따른 가스분배수단의 분해 사시도이고, 도 4a 내지 도 4c는 본 발명의 제 1 실시예에 따른 제 3 가스분배판의 제조 사시도이고, 도 5는 본 발명의 제 1 실시예에 따른 제 2 가스분배판의 평면도이다. 도 1 내지 도 5를 참조하여, 본 발명의 제 1 실시예를 설명하면 다음과 같다.1 is a schematic diagram of a substrate processing apparatus according to a first embodiment of the present invention, FIG. 2 is a detailed cross-sectional view of a gas distribution means of the substrate processing apparatus according to the first embodiment of the present invention, and FIG. 4 is an exploded perspective view of a gas distribution means according to an embodiment, Figures 4a to 4c is a perspective view of manufacturing a third gas distribution plate according to a first embodiment of the present invention, Figure 5 is a first embodiment of the present invention It is a top view of a 2nd gas distribution board. Referring to Figures 1 to 5, the first embodiment of the present invention will be described.

도 1과 같이, 기판처리장치(110)는 반응공간을 제공하는 공정챔버(112), 공정챔버(112) 내부의 상부에 위치하며, 공정가스를 공급하는 가스분배수단(114), 가스분배수단(114)과 대향하고 기판(116)이 안치되는 기판안치수단(118), 기판(116)을 출입시키기 위한 기판출입구(120), 및 반응공간의 반응가스 및 부산물을 배출하기 위한 배출구(122)를 포함하여 구성된다. 가스분배수단(114)은 RF전원(124)과 연결되고, 가스분배수단(114)과 RF전원(124) 사이에는 임피던스 정합을 위한 매처(126)가 설치된다. 그러나, 가스분배수단(114)이 RF전원(124)과 연결되지 않고, 단순히 공정가스를 반응공간에 공급하여 성막하는 CVD(Chemical Vapor Depostion)방법을 사용할 수 있다. As shown in FIG. 1, the substrate treating apparatus 110 is located in a process chamber 112 that provides a reaction space, an upper portion of the process chamber 112, and gas distribution means 114 and gas distribution means for supplying a process gas. A substrate holding means 118 facing the 114 and having the substrate 116 seated thereon, a substrate entrance 120 for entering and exiting the substrate 116, and an outlet 122 for discharging the reaction gas and by-products of the reaction space; It is configured to include. The gas distribution means 114 is connected to the RF power supply 124, and a matcher 126 for impedance matching is installed between the gas distribution means 114 and the RF power supply 124. However, the gas distribution means 114 is not connected to the RF power source 124, but a chemical vapor deposition (CVD) method of supplying a process gas to the reaction space and forming a film may be used.

공정챔버(112)는 챔버몸체(128)와 챔버몸체(110)에 착탈가능하도록 결합되어 반응공간을 밀봉시키는 챔버리드(130)를 포함한다. 챔버몸체(110)는 상부가 개방된 원통형 또는 다각형의 형태로 제작되고, 챔버리드(130)는 챔버몸체(110)와 대응되는 형태를 가지는 플레이트(plate) 형상으로 제작된다. 도면에서 도시하지 않았지만, 챔버리드(130)와 챔버몸체(110)는 밀봉부재, 예를 들면 오링(O-ring) 또는 가스켓을 개재하고, 고정부재를 사용하여 결합시킨다. The process chamber 112 includes a chamber lead 130 that is detachably coupled to the chamber body 128 and the chamber body 110 to seal the reaction space. The chamber body 110 is manufactured in a cylindrical or polygonal shape with an open top, and the chamber lead 130 is manufactured in a plate shape having a shape corresponding to that of the chamber body 110. Although not shown in the drawings, the chamber lid 130 and the chamber body 110 are interposed between a sealing member, for example, an O-ring or a gasket, and are coupled using a fixing member.

도 2와 같이, 반응공간에서 기판(116)을 처리할 때, 반응공간의 온도가 가스분배수단(114)과 결합되는 챔버리드(130)에 전달되어, 챔버리드(130)의 온도가 상승하는 것을 방지하기 위해, 온도조절수단으로써 제 1 냉매가 제 1 냉매순환장치(도시하지 않음)에 의해 순환하는 제 1 유로(146)를 설치한다. 제 1 냉매가 챔버리드(130)의 내부에 설치되는 제 1 유로(146)를 순환하면서, 반응공간의 승온에 따라 챔버리드(130)의 온도가 상승하는 것을 억제하고, 부가적으로 챔버리드(130)의 상부 또는 인접하여 설치되는 주변장치가 승온되는 것을 방지한다. As illustrated in FIG. 2, when the substrate 116 is processed in the reaction space, the temperature of the reaction space is transferred to the chamber lead 130 coupled with the gas distribution means 114, thereby increasing the temperature of the chamber lead 130. In order to prevent that, the first flow path 146 through which the first refrigerant is circulated by the first refrigerant circulation device (not shown) is provided as the temperature adjusting means. While the first refrigerant circulates through the first flow path 146 provided inside the chamber lid 130, the temperature of the chamber lid 130 is suppressed from rising as the temperature of the reaction space increases, and additionally, the chamber lid ( The peripheral device installed above or adjacent to 130 is prevented from being heated up.

도 1과 같이, 기판안치수단(118)은 지지대(132)에 의해 지지되고, 지지대(132)에 의해 승하강 및 회전한다. 지지대(132)는 구동력을 제공하는 구동수단(131)과 연결된다. 지지대(132)와 구동수단(131)의 사이에는, 지지대(132)가 승하강 및 회전할 때 기밀을 유지하기 위한 벨로우즈(도시하지 않음) 및 회전 밀봉수단(도시하지 않음)으로써 마그네틱 실이 연결된다. 기판안치수단(118)은 기판(116)과 동일한 형태로 제작된다. 도 1에서는 하나의 기판(116)이 안치되는 기판안치수단(118)을 도시하였지만, 다수의 기판(116)이 안치될 수 있도록, 기판안치수 단(118)은 기판이 안치되는 다수의 서셉터와, 다수의 서셉터의 각각이 설치되는 다수의 삽입구를 가지는 디스크로 구성될 수 있다. As shown in FIG. 1, the substrate mounting means 118 is supported by the support 132, and is moved up and down by the support 132. The support 132 is connected to the driving means 131 for providing a driving force. Between the support 132 and the drive means 131, a magnetic seal is connected by bellows (not shown) and rotational sealing means (not shown) to maintain airtightness when the support 132 is raised and lowered and rotated. do. The substrate setter 118 is manufactured in the same form as the substrate 116. Although FIG. 1 illustrates a substrate mounting means 118 in which one substrate 116 is placed, the substrate mounting stage 118 may include a plurality of susceptors on which a substrate is placed so that a plurality of substrates 116 may be placed therein. In addition, each of the plurality of susceptors may be composed of a disk having a plurality of insertion openings.

도 2 및 도 3과 같이, 가스분배수단(114)은, 제 1 공정가스를 공급받아 수용하고, 제 1 공정가스를 통과시키는 제 1 가스분배판(134), 제 2 공정가스를 공급받아 수용하고 제 1 및 제 2 공정가스를 통과시키는 제 2 가스분배판(136), 및 제 1 및 제 2 공정가스를 기판안치대(118)의 방향으로 분사하는 제 3 가스분배판(138)을 포함한다. 2 and 3, the gas distribution means 114 receives and receives the first process gas, receives the first gas distribution plate 134 and the second process gas through which the first process gas passes. And a second gas distribution plate 136 for passing the first and second process gases, and a third gas distribution plate 138 for injecting the first and second process gases in the direction of the substrate support 118. do.

제 1 가스분배판(134)은 챔버리드(130)의 중앙부를 관통하여 제 1 공정가스를 도입하는 제 1 가스도입관(134a), 제 1 공정가스를 수용하는 제 1 공간(160)을 가지는 제 1 하우징(134b), 제 1 가스도입관(134a)으로부터 공급되는 제 1 공정가스를 제 1 하우징(134b)의 내부에 균일하게 분포시키기 위한 분포수단으로써 배플(baffle)(134c), 및 제 1 하우징(134b)의 저면에 설치되고, 제 1 공정가스를 통과시키기 위한 다수의 제 1 통과홀(134d)을 포함하여 구성된다.The first gas distribution plate 134 has a first gas introduction pipe 134a through which the first process gas is introduced through the central portion of the chamber lead 130, and a first space 160 containing the first process gas. Baffle 134c as a distribution means for uniformly distributing the first process gas supplied from the first housing 134b and the first gas introducing pipe 134a into the first housing 134b, and 1 is provided on the bottom of the housing 134b, and comprises a plurality of first through holes 134d for passing the first process gas.

제 2 가스분배판(136)은 챔버리드(130)를 관통하여 제 2 공정가스를 도입하는 제 2 가스도입관(136a), 제 2 공정가스를 수용하는 제 2 공간(162)을 가지는 제 2 하우징(136b), 제 2 하우징(136b)의 측면공간을 격벽(140)에 의해 분할하고, 제 2 가스도입관(136a)과 연결되어, 제 2 공정가스를 제 2 공간(162)에 공급하기 전에 제 2 공정가스를 수용하는 버퍼공간(136c), 다수의 제 1 통과홀(134d)과 연통되어 제 1 공정가스를 통과시키는 다수의 제 2 통과홀(136d), 제 2 하우징(136b)의 저면에 설치되고 제 2 공정가스를 통과시키는 다수의 제 3 통과홀(136e)을 포함하여 구성된다. The second gas distribution plate 136 has a second gas introduction pipe 136a for introducing the second process gas through the chamber lead 130 and a second space 162 for receiving the second process gas. The side space of the housing 136b and the second housing 136b is divided by the partition wall 140 and connected to the second gas introduction pipe 136a to supply the second process gas to the second space 162. The buffer space 136c for accommodating the second process gas, the plurality of second passage holes 136d communicating with the plurality of first passage holes 134d and passing the first process gas, and the second housing 136b. It is configured to include a plurality of third through-hole 136e which is installed on the bottom surface and passes the second process gas.

버퍼공간(136c)은 제 2 하우징(136b)의 측면에 설치되고, 제 2 공정가스를 제 2 공간(162)에 균일하게 공급하기 위해 격벽(140)에는 공급구(142)가 설치된다. 격벽(140)은 제 2 하우징(136b)의 측벽을 따라 측벽과 일정간격을 두고 측벽의 내부에 형성된다. 격벽(140)과 제 2 하우징(136b)의 측벽 사이에 버퍼공간(136c)이 형성되고, 버퍼공간(136c)은 제 2 가스도입관으로부터 공급되는 제 2 공정가스를 수용한다. 버퍼공간(136c)은 가스분배수단(114)의 형태에 따라, 원형 또는 다각형의 링 형상을 가진다. The buffer space 136c is installed at the side of the second housing 136b, and a supply port 142 is provided at the partition wall 140 to uniformly supply the second process gas to the second space 162. The partition wall 140 is formed inside the sidewall at a predetermined distance from the sidewall along the sidewall of the second housing 136b. A buffer space 136c is formed between the partition wall 140 and the side wall of the second housing 136b, and the buffer space 136c accommodates the second process gas supplied from the second gas introduction pipe. The buffer space 136c has a ring shape of circular or polygonal shape, depending on the shape of the gas distribution means 114.

그러나, 제 2 가스도입관(136a)이 다수로 설치되고, 각각의 제 2 가스도입관(136a)이 제 2 하우징(136b)의 측면에 연결되는 경우에는 서로 차폐되는 다수의 버퍼공간(136c)이 형성될 수 있다. 또한 다수의 버퍼공간(136c)은 서로 연통될 수 있다. 다시 말하면, 제 2 가스분배판(136)이 사각형의 형태인 경우, 각각의 변에 하나의 제 2 가스도입관(136a)과 버퍼공간(136c)이 설치될 수 있다. 그리고, 격벽(140)에 설치되는 공급구(142)는 연속적으로 연장되고 동일한 높이를 가지는 슬릿(slit) 형태 또는 단속적으로 연장되어 고립패턴으로 형성되는 다수의 개구로 형 성될 수 있다. However, when a plurality of second gas introduction pipes 136a are installed, and each second gas introduction pipe 136a is connected to the side of the second housing 136b, a plurality of buffer spaces 136c shielded from each other. This can be formed. In addition, the plurality of buffer spaces 136c may be in communication with each other. In other words, when the second gas distribution plate 136 has a rectangular shape, one second gas introduction pipe 136a and a buffer space 136c may be installed at each side. In addition, the supply port 142 installed in the partition wall 140 may be formed of a plurality of openings that are continuously extended and have a slit form having the same height or are intermittently extended to form an isolated pattern.

제 3 가스분배판(138)은, 제 2 냉매가 유동하는 제 3 공간(164)을 가지는 제 3 하우징(138a), 제 3 하우징(138a)의 내부에 형성되고 다수의 제 2 통과홀(136d)의 각각과 연통되고 제 1 공정가스를 분사하는 다수의 제 1 노즐(138b), 다수의 제 3 통과홀(136e)과 연통되고 제 2 공정가스를 분사하는 다수의 제 2 노즐(138c) 및 제 3 하우징(138a)과 연결되어 제 2 냉매를 순환시키는 냉매유동관(152)을 포함하여 구성된다. 냉매유동관(152)은 제 3 공간(164)에 제 2 냉매를 공급하는 냉매공급관과 제 3 공간(164)의 냉매를 배출하는 냉매배출관을 포함한다. 냉매유동관(152)은 챔버리드(130)를 관통하여 공정챔버(112)의 내부로 인입되어 제 3 하우징(138a)의 측면에 연결된다. 제 2 냉매는 제 2 냉매순환장치(도시하지 않음)에 순환한다.The third gas distribution plate 138 is formed in the third housing 138a having the third space 164 through which the second refrigerant flows, the third housing 138a, and the plurality of second through holes 136d. A plurality of first nozzles 138b communicating with each of the plurality of nozzles and injecting the first process gas, a plurality of second nozzles 138c communicating with the plurality of third through holes 136e and injecting the second process gas; It is configured to include a refrigerant flow tube 152 connected to the third housing 138a to circulate the second refrigerant. The refrigerant flow pipe 152 includes a refrigerant supply pipe for supplying a second refrigerant to the third space 164 and a refrigerant discharge pipe for discharging the refrigerant in the third space 164. The refrigerant flow tube 152 is introduced into the process chamber 112 through the chamber lead 130 and connected to the side of the third housing 138a. The second refrigerant is circulated to a second refrigerant circulation device (not shown).

기판처리장치(110)에서, 대략적으로 1000도 이상의 고온으로 기판(116) 상에 박막을 증착하는 공정을 장시간 진행하면, 가스분배수단(114)이 내열온도 이상으로 과열될 수 있다. 특히, 기판안치수단(118)과 대향하는 가스분배수단(114)의 제 3 가스분배판(138)에서 과열현상이 심하게 발생된다. 따라서, 가스분배수단(114)의 과열을 방지하기 위한 냉각장치로 제 3 가스분배판(138)의 내부에 제 2 냉매가 순환하는 제 2 냉매순환장치를 설치한다. 그리고, 제 2 냉매순환장치에서 이상이 발생하는 경우를 대비하여, 제 3 가스분배판(138)에 제 1 열전쌍(thermo couple)(144)을 설치하여, 가스분배판(114)의 온도를 측정하고, 내열온도 이상으로 과열되면 공정챔버(112)의 가열을 중지하도록 한다.In the substrate processing apparatus 110, when the process of depositing a thin film on the substrate 116 at a high temperature of about 1000 degrees or more is performed for a long time, the gas distribution means 114 may be overheated at a heat resistance temperature or higher. In particular, overheating is severely generated in the third gas distribution plate 138 of the gas distribution means 114 facing the substrate setter 118. Therefore, a second refrigerant circulation device in which the second refrigerant circulates inside the third gas distribution plate 138 is provided as a cooling device for preventing overheating of the gas distribution means 114. In addition, in case an abnormality occurs in the second refrigerant circulation device, a first thermocouple 144 is installed on the third gas distribution plate 138 to measure the temperature of the gas distribution plate 114. And, if overheated above the heat resistance temperature to stop the heating of the process chamber 112.

또한, 제 2 가스분배판(136)에 제 2 열전쌍(도시하지 않음)을 설치할 수 있다. 제 3 가스분배판(138) 및 제 2 가스분배판(136)의 각각의 온도를 제 1 및 제 2 열전쌍으로 측정하고, 제 2 및 제 3 가스분배판(136, 138)의 온도를 비교하여 제 2 냉매의 온도를 조절한다. 제 2 및 제 3 가스분배판(136, 138)의 온도차이가 크게 되면, 열팽창의 차이에 기인하여, 서로 연통되는 다수의 제 2 통과홀(136d)과 다수의 제 1 노즐(138b) 및, 다수의 제 3 통과홀(136e)과 다수의 제 2 노즐(138c)이 오정렬될 수 있다. 따라서, 제 2 및 제 3 가스분배판(136, 138)이 서로 온도차이가 발생하지 않도록 제 2 냉매의 온도를 조절함으로써, 열팽창에 기인한 다수의 제 2 통과홀(136d)과 다수의 제 1 노즐(138b) 및, 다수의 제 3 통과홀(136e)과 다수의 제 2 노즐(138c)이 오정렬되는 것을 방지할 수 있다.In addition, a second thermocouple (not shown) may be provided in the second gas distribution plate 136. The temperature of each of the third gas distribution plate 138 and the second gas distribution plate 136 is measured by the first and second thermocouples, and the temperatures of the second and third gas distribution plates 136 and 138 are compared. The temperature of the second refrigerant is adjusted. When the temperature difference between the second and third gas distribution plates 136 and 138 becomes large, due to the difference in thermal expansion, the plurality of second passage holes 136d and the plurality of first nozzles 138b communicating with each other, The plurality of third through holes 136e and the plurality of second nozzles 138c may be misaligned. Therefore, the second and third gas distribution plates 136 and 138 adjust the temperature of the second refrigerant so that a temperature difference does not occur from each other, so that the plurality of second through holes 136d and the plurality of first holes due to thermal expansion are caused. It is possible to prevent misalignment of the nozzle 138b and the plurality of third through holes 136e and the plurality of second nozzles 138c.

도 2 및 도 3과 같이, 가스분배수단(114)의 제 1 가스분배판(134)은 챔버리드(130)에 고정되고, 챔버리드(130)와 제 1 가스분배판(134) 사이에, 제 1 가스도입관(134a)을 통하여 도입되는 제 1 공정가스를 수용하는 제 1 공간(160)이 형성된다. 제 1 가스분배판(134)과 대응되는 챔버리드(130)에는 함몰부(148)가 형성되고, 함몰부(148)과 제 1 하우징(134b)에 의해서 형성되는 제 1 공간(160) 사이에는 배플(134c)가 설치된다. 배플(134c)은 플레이트(149)와 플레이트(149)를 천공한 다수의 공급홀(150)로 구성되고, 함물부(148)의 제 1 공정가스를 제 1 공간(160)으로 균일하게 공급하기 위한 기능을 한다. 2 and 3, the first gas distribution plate 134 of the gas distribution means 114 is fixed to the chamber lead 130, between the chamber lead 130 and the first gas distribution plate 134, A first space 160 is formed to accommodate the first process gas introduced through the first gas introduction pipe 134a. A depression 148 is formed in the chamber lead 130 corresponding to the first gas distribution plate 134, and between the depression 148 and the first space 160 formed by the first housing 134b. The baffle 134c is provided. The baffle 134c includes a plate 149 and a plurality of supply holes 150 boring the plate 149 to uniformly supply the first process gas of the contents 148 to the first space 160. To function.

가능하면, 함몰부(148)의 제 1 공정가스를 제 1 공간(160)에 균일하게 공급하기 위하여, 다수의 공급홀(150) 중 어느 하나라도 제 1 가스도입관(134a)과 일치되지 않도록 한다. 다시 말하면, 제 1 가스도입관(134a)을 통하여 공급되는 제 1 공정가스가 배플(134c)에 의해 반사되고 함몰부(148)에 수용된 후에 다수의 공급홀(150)을 통하여 제 1 공간(160)으로 공급되게 한다.If possible, in order to uniformly supply the first process gas of the depression 148 to the first space 160, so that any one of the plurality of supply holes 150 does not coincide with the first gas introduction pipe 134a. do. In other words, after the first process gas supplied through the first gas introduction pipe 134a is reflected by the baffle 134c and received in the depression 148, the first space 160 through the plurality of supply holes 150. To be supplied).

제 1 가스분배판(134)은 가공성이 용이한 알루미늄을 사용하여 제작한다. 벌크(bulk) 알루미늄을 사용하여 내부를 굴삭하여 제 1 공정가스를 수용하는 제 1 공간(160)을 형성하고, 제 1 공간(160)의 저면을 천공하여 제 1 공정가스를 통과시키기 위한 다수의 제 1 통과홀(134d)을 형성한다. 벌크 알루미늄을 사용하지 않고, 판재의 알루미늄을 용접 등의 방법으로 서로 결합시키고, 하부의 천공하여 제 1 가스분배판(134)을 형성할 수 있다. The first gas distribution plate 134 is manufactured using aluminum having easy workability. Bulk aluminum is used to excavate the interior to form a first space 160 to receive the first process gas, and to drill the bottom surface of the first space 160 to pass the first process gas. The first through hole 134d is formed. Instead of using bulk aluminum, the aluminum of the plate may be bonded to each other by welding or the like, and the lower portion may be perforated to form the first gas distribution plate 134.

제 1 하우징(134b)의 측벽은, 최소한 제 2 가스분배판(136)에서 제 2 하우징(136b)에 설치되는 버퍼공간(136c)을 복개할 수 있을 정도의 두께를 가지도록 가공한다. 제 1 하우징(134b)의 측벽이 버퍼공간(136c)을 커버할 수 있을 정도의 두께를 가지는 이유는, 버퍼공간(136c)과 연결되는 제 2 가스도입관(136a)이 챔버리드(130) 및 제 1 하우징(134b)의 측벽을 통하여 인입되기 때문이다. 따라서, 제 1 하우징(134b)의 측벽 두께는, 제 2 하우징(136b)의 측벽 및 버퍼공간(136c)의 너비를 합한 두께와 동일한 두께와 되도록 가공하는 것이 바람직하다. The side wall of the first housing 134b is processed to have a thickness sufficient to cover at least the buffer space 136c installed in the second housing 136b from the second gas distribution plate 136. The side wall of the first housing 134b has a thickness sufficient to cover the buffer space 136c because the second gas introduction pipe 136a connected to the buffer space 136c includes the chamber lead 130 and It is because it pulls in through the side wall of the 1st housing 134b. Therefore, the side wall thickness of the first housing 134b is preferably processed to have the same thickness as the sum of the widths of the side walls of the second housing 136b and the buffer space 136c.

제 1 가스분배판(134)의 다수의 제 1 통과홀(134d)과 제 2 가스분배판(136)의 다수의 제 2 통과홀(136d)이 연통되도록 정렬시킨 후에, 제 2 가스분배판(136)을 제 1 가스분배판(134)에 결합시킨다. 제 2 가스분배판(136)은 가공성이 용이한 알루미늄을 사용하여 제작한다. 벌크 알루미늄에 상하를 관통하는 다수의 제 2 통과홀(136d)을 형성하고, 벌크 알루미늄의 양측과 다수의 제 2 통과홀(136d) 사이를 굴삭하여 버퍼공간(136c)과 제 2 공정가스를 수용하는 제 2 공간(162)을 형성한다. 그리고, 다수의 제 2 통과홀(136d) 사이를 천공하여 다수의 제 3 통과홀(136e)을 형성한다. After the plurality of first through holes 134d of the first gas distribution plate 134 and the plurality of second through holes 136d of the second gas distribution plate 136 are aligned, the second gas distribution plate ( 136 is coupled to the first gas distribution plate 134. The second gas distribution plate 136 is manufactured using aluminum which is easily workable. A plurality of second through holes 136d penetrating up and down are formed in the bulk aluminum, and excavated between both sides of the bulk aluminum and the plurality of second through holes 136d to accommodate the buffer space 136c and the second process gas. The second space 162 is formed. Then, a plurality of third through holes 136e are formed by drilling through the plurality of second through holes 136d.

도 3 및 도 5와 같이, 벌크 알루미늄을 저면이 일정한 두께을 유지하도록 굴삭하여, 제 2 통과홀(136d)이 내장되는 다수의 필라(pillar)(166)를 형성한다. 다수의 필라(166)의 하부는 다수의 제 3 통과홀(136e)이 형성되는 제 2 하우징(136b)의 저면을 구성한다. 다수의 필라(166)는 고립패턴으로 형성되고, 다수의 필라(166) 사이는 굴삭되어 서로 연통되는 제 2 공간(162)을 형성한다. 다수의 필라(166)의 각각은 제 2 통과홀(136d)과 같은 형태인 원통형으로 형성될 수 있지만, 가공의 편의성을 고려하여, 도 5와 같이 사각형 형태로 형성할 수 있다. 3 and 5, the bulk aluminum is excavated to maintain a constant thickness, thereby forming a plurality of pillars 166 in which the second through holes 136d are embedded. A lower portion of the plurality of pillars 166 constitutes a bottom surface of the second housing 136b in which the plurality of third through holes 136e are formed. The plurality of pillars 166 are formed in an isolated pattern, and the plurality of pillars 166 are excavated to form a second space 162 communicating with each other. Each of the pillars 166 may be formed in a cylindrical shape having the same shape as the second through hole 136d, but may be formed in a quadrangular shape as shown in FIG. 5 in consideration of convenience of processing.

다수의 필라(166)의 각각을 사각형 형태로 형성하는 경우, 제 2 공정가스가 원활하게 유동하기 위하여, 모서리 부분을 곡면(rounding)처리할 수 있다. 벌크 알루미늄의 굴삭에 의해, 제 2 공간(162)이 형성되는 제 2 하우징(136b)의 측벽과, 버퍼공간(136c)을 구분시키는 격벽(140)이 형성된다. 격벽(140)을 가공하여, 격벽의 상부에 제 2 공정가스가 공급되는 공급홀(142)을 형성한다. When each of the pillars 166 is formed in a quadrangular shape, the corner portions may be rounded to smoothly flow the second process gas. Excavation of the bulk aluminum forms a sidewall of the second housing 136b in which the second space 162 is formed, and a partition wall 140 separating the buffer space 136c. The partition wall 140 is processed to form a supply hole 142 through which the second process gas is supplied.

도 3 및 도 5에서는, 하나의 필라(166)에 하나의 제 2 통과홀(136d)이 내장되는 것을 도시하였지만, 필요에 따라, 하나의 필라(166)에 2 개이 이상의 제 2 통과홀(136d)을 내장시킬 수 있다. 그러나, 하나의 필라(166)에 2 개 이상의 제 2 통과홀(136d)을 내장시키는 경우는, 상대적으로 제 3 통과홀(136e)이 제 2 통과홀(136d)보다 적은 개수로 설치되기 때문에, 다수의 제 1 및 제 2 통과홀(134d, 136d)을 통과하는 제 2 공정가스가 제 1 공정가스보다 많은 유량으로 공급되는 경우에 적당하다. 따라서, 제 1 및 제 2 공정가스의 공급비율을 고려하여, 하나의 필라(166)에 내장되는 제 2 통과홀(136d)의 개수를 조절하여 설계한다. 3 and 5 illustrate that one pillar 166d is built in one pillar 166, but two or more second passage holes 136d in one pillar 166 as necessary. ) Can be embedded. However, when two or more second through holes 136d are embedded in one pillar 166, since the third through holes 136e are installed in a smaller number than the second through holes 136d, It is suitable when the second process gas passing through the plurality of first and second passage holes 134d and 136d is supplied at a higher flow rate than the first process gas. Therefore, in consideration of the supply ratio of the first and second process gas, the number of second through holes 136d embedded in one pillar 166 is adjusted and designed.

제 1 가스분배판(134)의 다수의 제 1 통과홀(134d)과 제 2 가스분배판(136)의 다수의 제 2 통과홀(136d)이 연통되도록 정렬시키고, 제 2 가스분배판(136)을 제 1 가스분배판(134)에 결합시키면, 제 1 가스분배판(134)의 제 1 하우징(134b)의 하부와 다수의 필라(166)의 상부가 면접촉한다. 따라서, 제 1 공정가스는 기밀을 유지하면서, 제 1 가스분배판(134)의 다수의 제 1 통과홀(134d)을 통하여 제 2 가 스분배판(136)의 다수의 제 2 통과홀(136d)에 전달된다. A plurality of first through holes 134d of the first gas distribution plate 134 and a plurality of second through holes 136d of the second gas distribution plate 136 are aligned to communicate with each other, and the second gas distribution plate 136 ) Is coupled to the first gas distribution plate 134, the lower portion of the first housing 134b of the first gas distribution plate 134 and the upper portion of the plurality of pillars 166 are in surface contact. Accordingly, the plurality of second through holes 136 d of the second gas distribution plate 136 through the plurality of first through holes 134 d of the first gas distribution plate 134 while maintaining the airtightness. Is delivered).

하나의 제 3 통과홀(136e)에서 인접한 제 2 통과홀(136d)의 거리는 모두 동일하다. 다시 말하면, 4 개의 제 2 통과홀(136d)의 중심에 제 3 통과홀(136e)이 위치한다. 제 2 가스분배판(136)을 제 1 가스분배판(134)와 체결하였을 때, 제 2 가스도입관(136a)은 챔버리드(130)와 제 1 가스분배판(134)을 통하여 버퍼공간(136c)에 인입된다. 버퍼공간(136c)과 제 2 공간(162)의 가공에 의해, 버퍼공간(136c)과 제 2 공간(162) 사이에는 격벽(140)이 형성되고, 버퍼공간(136c)에 수용된 제 2 공정가스는 공급홀(142)을 통하여 제 2 공간(162)으로 공급된다.The distances of the adjacent second through holes 136d from one third through hole 136e are all the same. In other words, the third through hole 136e is positioned at the center of the four second through holes 136d. When the second gas distribution plate 136 is fastened to the first gas distribution plate 134, the second gas introduction pipe 136a passes through the chamber lead 130 and the first gas distribution plate 134. 136c). By processing the buffer space 136c and the second space 162, a partition wall 140 is formed between the buffer space 136c and the second space 162, and the second process gas accommodated in the buffer space 136c. Is supplied to the second space 162 through the supply hole 142.

제 2 가스분배판(136)의 다수의 제 2 및 제 3 통과홀(136d, 136e)의 각각을 제 3 가스분배판(138)의 다수의 제 1 및 제 2 노즐(138b, 138c)과 연통되도록 제 3 가스분배판(138)을 제 2 가스분배판(136)에 체결한다. 제 3 가스분배판(138)은 내열성 및 내부식성이 강한 스테인레스 스틸 또는 알루미늄을 사용하여 제작한다. Each of the plurality of second and third through holes 136d and 136e of the second gas distribution plate 136 communicates with the plurality of first and second nozzles 138b and 138c of the third gas distribution plate 138. The third gas distribution plate 138 is fastened to the second gas distribution plate 136 as much as possible. The third gas distribution plate 138 is manufactured using stainless steel or aluminum having strong heat resistance and corrosion resistance.

제 3 가스분배판(138)은, 도 4a와 같이, 스테인레스 스틸의 재료를 사용하는 제 1 및 제 2 판재(170, 172)를 준비하고, 다수의 제 1 및 제 2 노즐(138b, 138c)에 대응되는 다수의 제 1 및 제 2 개구(174, 176)를 천공하는 단계, 도 4b와 같이, 제 1 및 제 2 공정가스를 분사하기 위한 다수의 제 1 및 제 2 노즐(138b, 138c)로 사용하기 위한 핀 타입의 다수의 튜브(178)를 준비하는 단계, 다수의 튜브(178)를 다수의 제 1 및 제 2 개구(174, 176)에 삽입하여 배열시키는 단계, 다수의 튜브(178)가 배열된 제 1 및 제 2 판재(170, 172) 상에 용가재를 포함하는 페이스트(paste)(180)를 도포하는 단계, As shown in FIG. 4A, the third gas distribution plate 138 prepares the first and second plate members 170 and 172 using a stainless steel material, and the plurality of first and second nozzles 138b and 138c. Drilling a plurality of first and second openings 174, 176 corresponding to the plurality of first and second nozzles 138b, 138c for injecting the first and second process gases, as shown in FIG. 4B. Preparing a plurality of fin-type tubes 178 for use as a furnace, inserting and arranging the plurality of tubes 178 into the plurality of first and second openings 174 and 176, the plurality of tubes 178 Applying a paste (180) containing filler material on the first and second plate (170, 172) is arranged,

도 4c와 같이, 브레이징(brazing) 처리하여, 다수의 튜브(178)를 제 1 및 제 2 판재(170, 172)에 결합시켜 제 1 및 제 2 공정가스를 분사시킬 수 있는 다수의 제 1 및 제 2 노즐(138b, 138c)을 형성하는 단계, 제 3 공간(164)의 외부에 위치하고, 제 1 및 판재(170)로부터 돌출된 다수의 튜브(178)를 절단하는 단계, 및 제 1 및 제 2 판재(170, 172) 사이의 측면을 스테인레 스틸을 사용하는 측면 판재(182)를 배열시키고, 용접 등의 방법을 이용하여 결합시킴으로써, 제 2 냉매가 유동하는 제 3 공간(164)을 가지는 제 3 하우징(138a)을 형성하는 단계를 포함하여 제작된다. 제 3 하우징(138a)의 측면에는 챔버리드(130)를 관통하여, 가스분배수단(114)의 측면으로 인입되는 냉매유동관(152)이 연결된다.제 3 냉매의 유동에 의해, 가스분배수단(114)을 냉각시킨다. As shown in FIG. 4C, a plurality of first and second caps may be brazed to couple the plurality of tubes 178 to the first and second plate members 170 and 172 to inject the first and second process gases. Forming second nozzles 138b, 138c, cutting a plurality of tubes 178 located outside of third space 164 and protruding from first and plate 170, and first and first By arranging the side plates 182 using stainless steel and joining the side surfaces between the two plates 170 and 172 by using a method such as welding, the second space 164 has a third space in which the second refrigerant flows. And forming the third housing 138a. A coolant flow tube 152 penetrates through the chamber lid 130 to the side of the gas distributing means 114 and is connected to the side of the third housing 138a. By the flow of the third coolant, the gas distributing means ( Cool 114).

도 4b에서, 다수의 제 1 및 제 2 개구(174, 176)에 삽입된 다수의 튜브(178)는 제 1 및 제 2 판재(170, 172)의 외부로 돌출되고, 용가재를 포함한 페이스트는 제 1 판재(170, 172)의 상부에 도포된다. 다시 말하면, 제 1 판재(170)의 상부에 도포되는 페이스트는 제 3 공간(164)의 외부에 위치하고, 제 2 판재(172)의 상부에 도포되는 페이스트는 제 3 공간(164)의 내부에 위치하게 된다. 그리고, 도 4c와 같 이, 제 3 공간(164)의 외부에 위치하고, 제 1 및 제 2 판재(170, 172)로부터 돌출된 다수의 튜브(178)를 절단하여, 제 1 및 제 2 판재(170, 172)와 다수의 튜브(178)가 동일한 평면을 유지하도록 한다.In FIG. 4B, the plurality of tubes 178 inserted into the plurality of first and second openings 174, 176 protrude out of the first and second plate members 170, 172, and the paste including the filler material is removed. 1 is applied to the top of the plate (170, 172). In other words, the paste applied on the upper portion of the first plate 170 is located outside the third space 164, and the paste applied on the upper portion of the second plate 172 is located inside the third space 164. Done. 4C, the plurality of tubes 178, which are located outside the third space 164 and protrude from the first and second plate members 170 and 172, are cut to form the first and second plate members ( 170 and 172 and multiple tubes 178 maintain the same plane.

도 4a 내지 도 4c에서는 도시하지 않았지만, 제 1 또는 제 2 판재(170, 172)에 온도측정수단, 예를 들면 열전쌍을 설치하여, 브레이징 처리과정에서 측정된 온도가 적정온도를 초과하는 경우, 작업을 중단시킬 수 있다. 핀 타입(pin type)의 다수의 튜브(tube)는 제 1 및 제 2 판재(170, 172)와 동일한 재료를 사용하지만, 필요에 따라 다른 재료의 사용도 가능하다. 브레이징은 450°C 이상의 온도에서 접합하고자 하는 두 개의 모재를 용융점(melting point) 이하에서 용가재(filler metal)를 첨가하여 접합하는 방법이고, 접합 대상의 모재, 용가재를 포함한 페이스트의 종류에 따라 브레이징의 온도가 달라질 수 있다.Although not shown in FIGS. 4A to 4C, when a temperature measuring means, for example, a thermocouple is installed on the first or second plate 170 or 172, and the temperature measured during the brazing process exceeds an appropriate temperature, the operation is performed. Can be stopped. Many tubes of the pin type use the same material as the first and second plates 170, 172, but other materials may be used if desired. Brazing is a method of joining two base materials to be joined at a temperature of 450 ° C or higher by adding filler metal below the melting point, and depending on the type of paste including the base material and the filler material. The temperature may vary.

제 2 가스분배판(136)의 다수의 제 2 통과홀(136d) 및 다수의 제 3 통과홀(136e)의 각각이 제 3 가스분배판(138)의 다수의 제 1 및 제 2 노즐(138b, 138c)이 연통되도록 정렬시키고, 제 3 가스분배판(138)을 제 2 가스분배판(136)에 결합시키면, 제 2 가스분배판(136)의 제 2 하우징(136b)의 하부와 제 3 가스분배판(138)의 제 3 하우징(138a)의 상부가 면접촉한다. 따라서, 제 1 및 제 2 공정가스가 기밀을 유지하면서, 다수의 제 2 및 제 3 통과홀(136d, 136e)과 다수의 제 1 및 제 2 노즐(138b, 138c)을 통하여 기판안치수단(118)으로 분사된다.Each of the plurality of second through holes 136d and the plurality of third through holes 136e of the second gas distribution plate 136 includes the plurality of first and second nozzles 138b of the third gas distribution plate 138. , 138c are aligned so as to communicate with each other, and the third gas distribution plate 138 is coupled to the second gas distribution plate 136, the lower portion and the third of the second housing 136b of the second gas distribution plate 136. The upper portion of the third housing 138a of the gas distribution plate 138 is in surface contact. Thus, substrate holding means 118 through the plurality of second and third through holes 136d and 136e and the plurality of first and second nozzles 138b and 138c while maintaining the airtightness of the first and second process gases. Sprayed).

도 2 및 도 3에서는, 챔버리드(130)에 가스분배수단(114)이 결합되는 형태를 도시하였지만, 챔버리드(130)에서 이격되어 가스분배수단(114)이 설치될 수 있다. 챔버리드(130)과 가스분배수단(114)이 이격되는 경우에는, 제 1 가스분배판(134)의 상부에는 제 1 가스도입관(134a)과 연결되는 후방 플레이트가 별도로 설치된다. 본 발명의 실시예에서, 기판(116) 상에 GaN를 형성하는 경우, 제 1 공정가스로 TMG을 사용하고, 제 2 공정가스로 NH3을 사용한다. In FIGS. 2 and 3, the gas distribution means 114 is coupled to the chamber lead 130, but the gas distribution means 114 may be installed to be spaced apart from the chamber lead 130. When the chamber lead 130 and the gas distribution means 114 are spaced apart from each other, a rear plate connected to the first gas introduction pipe 134a is separately installed on the upper portion of the first gas distribution plate 134. In the embodiment of the present invention, when GaN is formed on the substrate 116, TMG is used as the first process gas and NH 3 is used as the second process gas.

도 6은 본 발명의 제 2 실시예에 따른 가스분배수단의 분해 사시도이고, 도 7a 내지 도 7c는 본 발명의 제 2 실시예에 따른 제 3 가스분배판의 제조 사시도이다. 본 발명의 제 2 실시예에서는, 제 1 실시예의 가스분배수단과 비교하여, 동일한 기능을 가지면서 구성요소를 간략화하여, 원가절감에 기여할 수 있다. 본 발명의 제 2 실시예에서 제 1 실시예와 동일한 구성요소에 대해서는 동일한 부호를 사용한다.6 is an exploded perspective view of a gas distribution means according to a second embodiment of the present invention, Figure 7a to 7c is a perspective view of the manufacturing of the third gas distribution plate according to a second embodiment of the present invention. In the second embodiment of the present invention, compared with the gas distribution means of the first embodiment, the components can be simplified while contributing to cost reduction. In the second embodiment of the present invention, the same reference numerals are used for the same components as those of the first embodiment.

도 6과 같이, 가스분배수단(114)은, 제 1 공정가스를 공급받아 수용하고, 제 1 공정가스를 통과시키는 제 1 가스분배판(134), 제 2 공정가스를 공급받아 수용하고 제 1 및 제 2 공정가스를 통과시키는 제 2 가스분배판(136), 및 제 1 및 제 2 공정가스를 기판안치대(118)의 방향으로 분사하는 제 3 가스분배판(138)을 포함한다. As shown in FIG. 6, the gas distribution means 114 receives and receives the first process gas, receives the first gas distribution plate 134 and the second process gas through which the first process gas passes, and receives the first process gas. And a second gas distribution plate 136 for passing the second process gas, and a third gas distribution plate 138 for injecting the first and second process gases in the direction of the substrate support 118.

제 1 가스분배판(134)은 챔버리드(130)의 중앙부를 관통하여 제 1 공정가스를 도입하는 제 1 가스도입관(134a), 제 1 공정가스를 수용하는 제 1 공간(160)을 가지는 제 1 하우징(134b), 제 1 가스도입관(134a)으로부터 공급되는 제 1 공정가스를 제 1 하우징(134b)의 내부에 균일하게 분포시키기 위한 분포수단으로써 배플(baffle)(134c), 및 제 1 하우징(134b)의 저면에 설치되고, 제 1 공정가스를 통과시키기 위한 다수의 제 1 통과홀(134d)을 포함하여 구성된다. 제 1 하우징(134b)은, 제 1 공간(160)을 감싸는 제 1 측벽(190a) 및 제 1 측벽(190a)의 하부에 위치하고, 다수의 제 1 통과홀(134d)이 설치되는 제 1 하판(190b)을 포함한다.The first gas distribution plate 134 has a first gas introduction pipe 134a through which the first process gas is introduced through the central portion of the chamber lead 130, and a first space 160 containing the first process gas. Baffle 134c as a distribution means for uniformly distributing the first process gas supplied from the first housing 134b and the first gas introducing pipe 134a into the first housing 134b, and 1 is provided on the bottom of the housing 134b, and comprises a plurality of first through holes 134d for passing the first process gas. The first housing 134b is positioned below the first sidewall 190a and the first sidewall 190a surrounding the first space 160, and includes a first lower plate on which a plurality of first through holes 134d are installed. 190b).

제 2 가스분배판(136)은 챔버리드(130)를 관통하여 제 2 공정가스를 도입하는 제 2 가스도입관(136a), 제 2 공정가스를 수용하는 제 2 공간(162)을 가지는 제 2 하우징(136b), 제 2 하우징(136b)의 측면공간을 격벽(140)에 의해 분할하고, 제 2 가스도입관(136a)과 연결되어, 제 2 공정가스를 제 2 공간(162)에 공급하기 전에 제 2 공정가스를 수용하는 버퍼공간(136c), 다수의 제 1 통과홀(134d)과 연통되어 제 1 공정가스를 통과시키는 다수의 제 2 통과홀(136d), 제 2 하우징(136b)의 저면에 설치되고 제 2 공정가스를 통과시키는 다수의 제 3 통과홀(136e)을 포함하여 구성된다. The second gas distribution plate 136 has a second gas introduction pipe 136a for introducing the second process gas through the chamber lead 130 and a second space 162 for receiving the second process gas. The side space of the housing 136b and the second housing 136b is divided by the partition wall 140 and connected to the second gas introduction pipe 136a to supply the second process gas to the second space 162. The buffer space 136c for accommodating the second process gas, the plurality of second passage holes 136d communicating with the plurality of first passage holes 134d and passing the first process gas, and the second housing 136b. It is configured to include a plurality of third through-hole 136e which is installed on the bottom surface and passes the second process gas.

제 2 하우징(136b)은 제 2 공간(162)의 주변부를 감싸는 제 2 측벽(192a) 및 제 2 측벽(192a)의 하부에 위치하고, 다수의 제 1 관통홀(134d) 및 다수의 제 3 통과홀(136e)이 형성되는 제 2 하판(192b)으로 구성된다. 버퍼공간(136c)은 제 2 하우징(136b)의 측면공간에 설치되고, 제 2 공정가스를 제 2 공간(162)에 균일하게 공급하기 위해 격벽(140)에는 공급구(142)가 설치된다. 격벽(140)은 제 2 하우징(136b)의 측벽(190a)을 따라 측벽(190a)과 일정간격을 두고 형성된다. 격벽(140)과 제 2 하우징(136b)의 측벽(190a) 사이에 버퍼공간(136c)이 형성되고, 버퍼공간(136c)은 제 2 가스도입관으로부터 공급되는 제 2 공정가스를 수용한다. 버퍼공간(136c)은 가스분배수단(114)의 형태에 따라, 원형 또는 다각형의 링 형상을 가진다. The second housing 136b is positioned below the second sidewall 192a and the second sidewall 192a surrounding the periphery of the second space 162, and includes a plurality of first through holes 134d and a plurality of third passages. It consists of the 2nd lower board 192b in which the hole 136e is formed. The buffer space 136c is installed in the side space of the second housing 136b, and the supply port 142 is installed in the partition wall 140 to uniformly supply the second process gas to the second space 162. The partition wall 140 is formed at a predetermined distance from the side wall 190a along the side wall 190a of the second housing 136b. A buffer space 136c is formed between the partition wall 140 and the sidewall 190a of the second housing 136b, and the buffer space 136c accommodates the second process gas supplied from the second gas introduction pipe. The buffer space 136c has a ring shape of circular or polygonal shape, depending on the shape of the gas distribution means 114.

그러나, 제 2 가스도입관(136a)이 다수로 설치되고, 각각의 제 2 가스도입관(136a)이 제 2 하우징(136b)의 측벽(190a)에 연결되는 경우에는 서로 차폐되는 다수의 버퍼공간(136c)이 형성될 수 있다. 또한 다수의 버퍼공간(136c)은 서로 연통될 수 있다. 다시 말하면, 제 2 가스분배판(136)이 사각형의 형태인 경우, 각각의 변에 하나의 제 2 가스도입관(136a)과 버퍼공간(136c)이 설치될 수 있다. 그리고, 격벽(140)에 설치되는 공급구(142)는 연속적으로 연장되고 동일한 높이를 가지는 슬릿(slit) 형태 또는 단속적으로 연장되어 고립패턴으로 형성되는 다수의 개구로 형성될 수 있다. However, when a plurality of second gas introduction pipes 136a are installed, and each second gas introduction pipe 136a is connected to the side wall 190a of the second housing 136b, a plurality of buffer spaces shielded from each other. 136c may be formed. In addition, the plurality of buffer spaces 136c may be in communication with each other. In other words, when the second gas distribution plate 136 has a rectangular shape, one second gas introduction pipe 136a and a buffer space 136c may be installed at each side. In addition, the supply port 142 installed on the partition wall 140 may be formed of a plurality of openings that are continuously extended and have a slit shape having the same height or are intermittently extended to form an isolated pattern.

제 3 가스분배판(138)은, 제 2 냉매가 유동하는 제 3 공간(164)을 가지는 제 3 하우징(138a), 제 3 하우징(138a)의 내부에 형성되고 다수의 제 2 통과홀(136d)의 각각과 연통되고 제 1 공정가스를 분사하는 다수의 제 1 노즐(138b), 다수의 제 3 통과홀(136e)과 연통되고 제 2 공정가스를 분사하는 다수의 제 2 노즐(138c) 및 제 3 하우징(138a)과 연결되어 제 2 냉매를 순환시키는 냉매유동관(도시하지 않음)을 포함하여 구성된다. 제 3 하우징(138a)은 제 3 공간(164)을 감싸는 제 3 측벽(194a) 및 제 3 측벽(194a)의 하부에 위치하고, 다수의 제 1 및 제 2 노즐(138b, 138c)이 설치되는 제 3 하판(194b)을 포함한다.The third gas distribution plate 138 is formed in the third housing 138a having the third space 164 through which the second refrigerant flows, the third housing 138a, and the plurality of second through holes 136d. A plurality of first nozzles 138b communicating with each of the plurality of nozzles and injecting the first process gas, a plurality of second nozzles 138c communicating with the plurality of third through holes 136e and injecting the second process gas; And a refrigerant flow tube (not shown) connected to the third housing 138a to circulate the second refrigerant. The third housing 138a is positioned below the third sidewall 194a and the third sidewall 194a surrounding the third space 164 and includes a plurality of first and second nozzles 138b and 138c installed therein. And three lower plates 194b.

냉매유동관은 제 3 공간(164)에 제 2 냉매를 공급하는 냉매공급관과 제 3 공간(164)의 냉매를 배출하는 냉매배출관을 포함한다. 냉매유동관(152)은 챔버리드(130)를 관통하여 공정챔버(112)의 내부로 인입되어 제 3 하우징(138a)의 제 3 측벽(194a)에 연결된다. 제 2 냉매는 제 2 냉매순환장치(도시하지 않음)에 순환한다.The refrigerant flow pipe includes a refrigerant supply pipe for supplying a second refrigerant to the third space 164 and a refrigerant discharge pipe for discharging the refrigerant in the third space 164. The refrigerant flow tube 152 is introduced into the process chamber 112 through the chamber lead 130 and connected to the third sidewall 194a of the third housing 138a. The second refrigerant is circulated to a second refrigerant circulation device (not shown).

제 3 가스분배판(138)은, 도 7a와 같이, 스테인레스 스틸 또는 알루미늄의 재료를 사용하는 판재(220)를 준비하고, 다수의 제 1 및 제 2 노즐(138b, 138c)에 대응되는 다수의 제 1 및 제 2 개구(174, 176)를 천공하는 단계, 도 7b와 같이, 제 1 및 제 2 공정가스를 분사하기 위한 다수의 제 1 및 제 2 노즐(138b, 138c)로 사용하기 위한 핀 타입의 다수의 튜브(178)를 준비하는 단계, 다수의 튜브(178)를 다수의 제 1 및 제 2 개구(174, 176)에 삽입하여 배열시키는 단계, 다수의 튜브(178) 가 배열된 판재(220) 상에 용가재를 포함하는 페이스트(paste)(180)를 도포하는 단계, As shown in FIG. 7A, the third gas distribution plate 138 prepares a plate 220 using a material of stainless steel or aluminum, and corresponds to a plurality of first and second nozzles 138b and 138c. Drilling the first and second openings 174, 176, pins for use as a plurality of first and second nozzles 138b, 138c for injecting the first and second process gases, as shown in FIG. 7B. Preparing a plurality of tubes 178 of a type; inserting and arranging the plurality of tubes 178 into the plurality of first and second openings 174 and 176; a plate material in which the plurality of tubes 178 are arranged Applying a paste 180 comprising filler material on 220,

도 7c와 같이, 브레이징(brazing) 처리하여, 다수의 튜브(178)를 판재(220)에 결합시켜 제 1 및 제 2 공정가스를 분사시킬 수 있는 다수의 제 1 및 제 2 노즐(138b, 138c)을 형성하는 단계, 및 제 3 공간(164)을 측면을 감싸고 판재(220)의 주연부와 연결되도록 스테인레 스틸 또는 알루미늄을 사용하는 측면 판재(182)를 배열시키고, 용접 등의 방법을 이용하여 결합시킴으로써, 제 2 냉매가 유동하는 제 3 공간(164)을 가지는 제 3 하우징(138a)을 형성하는 단계를 포함하여 제작된다. 제 3 하우징(138a)의 측면에는 챔버리드(130)를 관통하여, 가스분배수단(114)의 측면으로 인입되는 냉매유동관(152)이 연결된다.제 3 냉매의 유동에 의해, 가스분배수단(114)을 냉각시킨다. As shown in FIG. 7C, a plurality of first and second nozzles 138b and 138c may be brazed to couple the plurality of tubes 178 to the plate 220 to inject the first and second process gases. ) And arranging the side plate 182 using stainless steel or aluminum so as to surround the third space 164 and connect with the periphery of the plate 220, and by welding or the like. By combining, forming a third housing 138a having a third space 164 through which the second refrigerant flows. A coolant flow tube 152 penetrates through the chamber lid 130 to the side of the gas distributing means 114 and is connected to the side of the third housing 138a. By the flow of the third coolant, the gas distributing means ( Cool 114).

본 발명의 제 2 실시예에서는, 제 3 가스분배판(138)의 제 3 하우징(138a)은 상판을 포함하지 않고, 제 3 측벽(194a) 및 제 3 하판(194b)으로 구성되고, 다수의 제 2 통과홀(136d) 및 제 3 통과홀(136e)과 연통되는 튜브 형태의 다수의 제 1 및 제 2 노즐(138b, 138c)이 제 2 가스분배판(136)을 구성하는 제 2 하우징(136b)의 제 2 하판(192b)과 직접 접촉한다. 다수의 제 1 및 제 2 노즐(138b, 138c)은 일정한 두께를 가진 튜브형태이므로, 다수의 제 1 및 제 2 노즐(138b, 138c)의 상부가 제 2 하판(192b)의 하부에서 면접촉한다. 따라서, 제 2 실시예는 제 3 가스분배 판(138)를 제 1 실시예와 비교하여 단수한 공정에 의해 형성된다.In the second embodiment of the present invention, the third housing 138a of the third gas distribution plate 138 does not include an upper plate and is composed of a third sidewall 194a and a third lower plate 194b, and a plurality of The second housing constituting the second gas distribution plate 136 includes a plurality of first and second nozzles 138b and 138c in the form of tubes communicating with the second through hole 136d and the third through hole 136e. It is in direct contact with the second lower plate 192b of 136b. Since the plurality of first and second nozzles 138b and 138c have a tube shape having a constant thickness, the upper portion of the plurality of first and second nozzles 138b and 138c is in surface contact with the lower portion of the second lower plate 192b. . Therefore, the second embodiment is formed by a process in which the third gas distribution plate 138 is compared with the first embodiment in a simpler manner.

도 8은 본 발명의 제 3 실시예에 따른 가스분배수단의 분해 사시도이고, 도 9는 본 발명의 제 3 실시예에 따른 기판안치수단의 평면도이다. 본 발명의 제 3 실시예는, 제 1 및 제 2 실시예와 비교하여 가스분배수단이 대형화하는 경우, 제 1 내지 제 3 가스분배판을 분할하여 제작한 것을 특징으로 한다. 본 발명의 제 3 실시예에서 제 1 및 제 2 실시예와 동일한 구성요소에 대해서는 동일한 부호를 사용한다.8 is an exploded perspective view of a gas distribution means according to a third embodiment of the present invention, and FIG. 9 is a plan view of a substrate placing means according to a third embodiment of the present invention. The third embodiment of the present invention is characterized in that the first to third gas distribution plates are divided and manufactured when the gas distribution means is enlarged as compared with the first and second embodiments. In the third embodiment of the present invention, the same reference numerals are used for the same components as those of the first and second embodiments.

도 8과 같이, 가스분배수단(114)은, 제 1 공정가스를 공급받아 수용하고, 제 1 공정가스를 통과시키는 제 1 가스분배판(134), 제 2 공정가스를 공급받아 수용하고 제 1 및 제 2 공정가스를 통과시키는 제 2 가스분배판(136), 및 제 1 및 제 2 공정가스를 공정챔버의 기판안치대(도시하지 않음)의 방향으로 분사하는 제 3 가스분배판(138)을 포함한다. As illustrated in FIG. 8, the gas distribution unit 114 receives and receives the first process gas, receives the first gas distribution plate 134 and the second process gas through which the first process gas passes, and receives the first process gas. And a second gas distribution plate 136 through which the second process gas passes, and a third gas distribution plate 138 that injects the first and second process gases in a direction of a substrate stabilizer (not shown) of the process chamber. It includes.

제 1 가스분배판(134)은 챔버리드(130)를 관통하여 제 1 공정가스를 도입하는 제 1 가스도입관(134a), 제 1 공정가스를 수용하는 제 1 공간(160)을 가지는 제 1 하우징(134b), 제 1 가스도입관(134a)으로부터 공급되는 제 1 공정가스를 제 1 하우징(134b)의 내부에 균일하게 분포시키기 위한 분포수단으로써 배플(baffle)(134c), 및 제 1 하우징(134b)의 저면에 설치되고, 제 1 공정가스를 통 과시키기 위한 다수의 제 1 통과홀(134d)을 포함하는 다수의 제 1 서브 가스분배판(200)으로 구성된다.The first gas distribution plate 134 has a first gas introduction pipe 134a through which the chamber lead 130 is introduced to introduce the first process gas, and a first space 160 containing the first process gas. A baffle 134c and a first housing as distribution means for uniformly distributing the first process gas supplied from the housing 134b and the first gas introduction pipe 134a into the first housing 134b. It is provided in the bottom surface of 134b, and consists of the several 1st sub gas distribution board 200 containing the several 1st passage hole 134d for passing a 1st process gas.

제 1 서브 가스분배판(200)은 공정챔버의 형태에 따라 다르게 형성된다. 본 발명의 제 3 실시예에서는 원통형의 공정챔버을 사용하고 기판으로 원형의 웨이퍼를 다수 적재하여 처리하는 경우에 적당하도록, 제 1 서브 가스분배판(200)을 부채꼴 형태로 제작하고, 제 1 가스분배판(134)의 중심부에 인접한 제 1 서브 가스분배판(200)의 단부는 원호형태로 처리한다. 다수의 제 1 서브 가스분배판(200)을 조합하여, 제 1 가스분배판(134)을 조립하면, 중앙부에 공동을 가지는 원형이 된다. The first sub gas distribution plate 200 is formed differently according to the shape of the process chamber. In the third embodiment of the present invention, the first sub gas distribution plate 200 is manufactured in the shape of a fan and the first gas distribution is suitable for the case where a large number of circular wafers are loaded and processed as a substrate using a cylindrical process chamber. An end portion of the first sub gas distribution plate 200 adjacent to the center of the plate 134 is processed in an arc shape. When the first gas distribution plate 134 is assembled by combining a plurality of first sub gas distribution plates 200, a circular shape having a cavity in the center portion is formed.

기판으로써 웨이퍼를 사용하고, 기판안치수단(118)에 다수의 기판(116)을 적재하는 경우, 도 9와 같이, 기판안치수단(118)은 기판(116)이 안치되는 다수의 서셉터(210)과 다수의 서셉터(210)이 설치되는 디스크(212)로 구성된다. 제 1 가스분배판(134)이 원형인 경우, 다수의 제 1 서브 가스분배판(200)은 제 1 가스분배판(134)의 중심을 지난 다수의 직선에 의해 분할되고, 다수의 제 1 서브 가스분배판(200)은 동일한 크기를 가진다. 제 1 가스분배판(134)이 6 개의 제 1 서브 가스분배판(200)으로 구성되는 경우, 제 1 가스분배판(134)의 중심부와 인접한 각각의 제 1 서브 가스분배판(200)의 각도는 60도이다. 제 1 가스분배판(134)이 사각형인 경우, 제 1 서브 가스분배판(200)을 균일한 크기의 다수의 사각형으로 분할한다.When using a wafer as a substrate and loading a plurality of substrates 116 into the substrate placing means 118, as shown in FIG. 9, the substrate placing means 118 includes a plurality of susceptors 210 on which the substrate 116 is placed. ) And a disk 212 on which a plurality of susceptors 210 are installed. When the first gas distribution plate 134 is circular, the plurality of first sub gas distribution plates 200 are divided by a plurality of straight lines passing through the center of the first gas distribution plate 134 and the plurality of first sub plates. The gas distribution plate 200 has the same size. When the first gas distribution plate 134 is composed of six first sub gas distribution plates 200, an angle of each first sub gas distribution plate 200 adjacent to the central portion of the first gas distribution plate 134. Is 60 degrees. When the first gas distribution plate 134 is a quadrangle, the first sub gas distribution plate 200 is divided into a plurality of quadrangles having a uniform size.

제 1 하우징(134b)은 제 1 공간(160)을 감싸는 제 1 측벽(190a) 및 제 1 측벽(190a)의 하부에 위치하고, 다수의 제 1 통과홀(134d)이 설치되는 제 1 하판(190b)을 포함한다. 도 9와 같이, 다수의 서셉터(210)는 디스크(212)의 중앙부에는 설치되지 않는다. 따라서, 디스크(212)의 중앙부에는 기판(116)이 안치되지 않기 때문에, 제 1 가스분배판(134)의 중앙부를 공동(202)으로 형성하여도, 기판(116)을 처리하는 공정에 영향을 주지 않는다. The first housing 134b is positioned below the first sidewall 190a and the first sidewall 190a surrounding the first space 160, and includes a first lower plate 190b in which a plurality of first through holes 134d are installed. ). As shown in FIG. 9, the plurality of susceptors 210 are not installed at the center of the disk 212. Therefore, since the substrate 116 is not settled in the central portion of the disk 212, even if the central portion of the first gas distribution plate 134 is formed as the cavity 202, the process of processing the substrate 116 is affected. Do not give.

또한. 제 1 가스분배판(134)의 중앙부에 동공을 형성하기 위해, 제 1 서브 가스분배판(200)의 단부를 원호처리하기 때문에, 제 1 서브 가스분배판(200)의 제작 및 조립이 용이하다. 제 1 서브 가스분배판(200)의 단부를 공정챔버의 중심부까지 연장하여 형성하게 되면, 단부와 대응되는 제 1 하우징(134b)의 제 1 하판(190b)에 다수의 제 1 통과홀(134d)을 균일하게 형성되기 어려워진다.Also. Since the end portion of the first sub gas distribution plate 200 is circularly arced to form a pupil in the center portion of the first gas distribution plate 134, the first sub gas distribution plate 200 is easily manufactured and assembled. . When the end of the first sub gas distribution plate 200 is formed to extend to the center of the process chamber, a plurality of first through holes 134d are formed in the first lower plate 190b of the first housing 134b corresponding to the end. It becomes difficult to form uniformly.

다수의 제 1 서브 가스분배판(200)의 제 1 공간(160)에 제 1 공정가스를 공급하기 위해, 제 1 가스도입관(134a)은 다수의 제 1 서브 가스도입관(204)로 분기된다. 제 1 서브 가스도입관(204)은 제 1 서브 가스분배판(200)에 하나 또는 2 이상으로 균일하게 연결된다. 제 1 서브 가스도입관(204)은 챔버리드(130)에 매설되어, 제 1 서브 가스분배판(200)의 중심부에서 제 1 공정가스를 공급하거나, 또는 공정챔버의 외부에서 제 1 가스도입관(134a)로부터 제 1 서브 가스도입관(204)으로 분기되고, 제 1 서브 가스도입관(204)이 챔버리드(130)를 관통하여, 제 1 서브 가 스분배판(200)의 제 1 공간(160)에 제 1 공정가스를 공급할 수 있다.In order to supply the first process gas to the first space 160 of the plurality of first sub gas distribution plates 200, the first gas introducing pipe 134a branches into the plurality of first sub gas introducing pipes 204. do. The first sub gas introducing pipe 204 is uniformly connected to the first sub gas distribution plate 200 by one or two or more. The first sub gas introduction pipe 204 is embedded in the chamber lead 130 to supply the first process gas in the center of the first sub gas distribution plate 200 or the first gas introduction pipe from the outside of the process chamber. Branched from 134a to the first sub gas introducing pipe 204, the first sub gas introducing pipe 204 penetrates through the chamber lead 130, and the first space of the first sub gas distribution plate 200. The first process gas may be supplied to the 160.

제 3 실시예에서는 제 1 및 제 2 실시예와 다르게, 챔버리드(130)에 함몰부(148)을 형성하지 않을 수 있다. 제 1 하우징(134b)의 측벽(190a)의 내주연을 따라 단차부(230)를 설치하고, 단차부(230)에 배플(134c)을 위치시키면, 제 1 하우징(134b)의 내부에서 배플(134c)의 상측에 제 1 서브 가스도입관(204)으로부터 공급되는 제 1 공정가스를 수용하는 수용공간(232)이 형성된다. 배플(134c)은 수용공간(232)의 제 1 공정가스를 제 1 공간(160)으로 균일하게 공급하는 기능을 한다.In the third embodiment, unlike the first and second embodiments, the recess 148 may not be formed in the chamber lead 130. When the stepped portion 230 is installed along the inner circumference of the side wall 190a of the first housing 134b and the baffle 134c is positioned on the stepped portion 230, the baffle ( An accommodating space 232 is formed above the 134c to accommodate the first process gas supplied from the first sub gas introducing pipe 204. The baffle 134c functions to uniformly supply the first process gas in the accommodation space 232 to the first space 160.

제 2 가스분배판(136)은 챔버리드(130)를 관통하여 제 2 공정가스를 도입하는 제 2 가스도입관(도 1의 136a), 제 2 공정가스를 수용하는 제 2 공간(162)을 가지는 제 2 하우징(136b), 제 2 하우징(136b)의 측면공간을 격벽(140)에 의해 분할하고, 제 2 가스도입관(136a)과 연결되어, 제 2 공정가스를 제 2 공간(162)에 공급하기 전에 제 2 공정가스를 수용하는 버퍼공간(136c), 다수의 제 1 통과홀(134d)과 연통되어 제 1 공정가스를 통과시키는 다수의 제 2 통과홀(136d), 제 2 하우징(136b)의 저면에 설치되고 제 2 공정가스를 통과시키는 다수의 제 3 통과홀(136e)을 포함하는 다수의 제 2 서브 가스분배판(206)으로 구성된다. The second gas distribution plate 136 includes a second gas introduction pipe (136a in FIG. 1) for introducing the second process gas through the chamber lid 130, and a second space 162 for receiving the second process gas. The side spaces of the second housing 136b and the second housing 136b are divided by the partition wall 140, and are connected to the second gas introduction pipe 136a to supply the second process gas to the second space 162. A buffer space 136c for receiving the second process gas, a plurality of second passage holes 136d communicating with the plurality of first passage holes 134d and allowing the first process gas to pass therethrough, and a second housing ( It is composed of a plurality of second sub gas distribution plates 206 installed on the bottom of 136b and including a plurality of third through holes 136e through which the second process gas passes.

제 2 서브 가스분배판(206)은 제 1 서브 가스분배판(200)과 동일한 형태로 제작된다. 따라서, 제 1 서브 가스분배판(200)과 동일하게 제 2 서브 가스분배 판(206)은 부채꼴 형태로 제작되고, 제 2 가스분배판(136)의 중심과 인접한 제 2 서브 가스분배판(206)의 단부는 원호형태로 처리된다. 그리고, 제 2 가스분배판(136)을 조립하기 위하여 다수의 제 2 서브 가스분배판(200)을 조립하면, 제 2 가스분배판(136)은 중앙부에 공동을 가지는 원형이 된다. 제 2 하우징(136b)은 제 2 공간(162)의 주변부를 감싸는 제 2 측벽(192a) 및 제 2 측벽(192a)의 하부에 위치하고, 다수의 제 1 관통홀(134d) 및 다수의 제 3 통과홀(136e)이 형성되는 제 2 저면(192b)으로 구성된다. The second sub gas distribution plate 206 is manufactured in the same form as the first sub gas distribution plate 200. Accordingly, similarly to the first sub gas distribution plate 200, the second sub gas distribution plate 206 is formed in a fan shape, and the second sub gas distribution plate 206 adjacent to the center of the second gas distribution plate 136 is formed. The end of) is treated in the form of an arc. When the plurality of second sub gas distribution plates 200 are assembled to assemble the second gas distribution plates 136, the second gas distribution plates 136 are circular having a cavity at the center thereof. The second housing 136b is positioned below the second sidewall 192a and the second sidewall 192a surrounding the periphery of the second space 162, and includes a plurality of first through holes 134d and a plurality of third passages. It consists of the 2nd bottom surface 192b in which the hole 136e is formed.

버퍼공간(136c)은 제 2 하우징(136b)의 측면공간에 설치되고, 제 2 공정가스를 제 2 공간(162)에 균일하게 공급하기 위해 격벽(140)에는 공급구(142)가 설치된다. 격벽(140)은 제 2 하우징(136b)의 측벽을 따라 측벽과 일정간격을 두고 측벽의 내부에 형성된다. 격벽(140)과 제 2 하우징(136b)의 측벽 사이에 버퍼공간(136c)이 형성되고, 버퍼공간(136c)은 제 2 가스도입관으로부터 공급되는 제 2 공정가스를 수용한다. 격벽(140)에 설치되는 공급구(142)는 연속적으로 연장되고 동일한 높이를 가지는 슬릿(slit) 형태 또는 단속적으로 연장되어 고립패턴으로 형성되는 다수의 개구로 형성될 수 있다. The buffer space 136c is installed in the side space of the second housing 136b, and the supply port 142 is installed in the partition wall 140 to uniformly supply the second process gas to the second space 162. The partition wall 140 is formed inside the sidewall at a predetermined distance from the sidewall along the sidewall of the second housing 136b. A buffer space 136c is formed between the partition wall 140 and the side wall of the second housing 136b, and the buffer space 136c accommodates the second process gas supplied from the second gas introduction pipe. The supply port 142 installed in the partition wall 140 may be formed of a plurality of openings that are continuously extended and have a slit shape having the same height or are intermittently extended to form an isolation pattern.

제 3 가스분배판(138)은, 제 2 냉매가 유동하는 제 3 공간(164)을 가지는 제 3 하우징(138a), 제 3 하우징(138a)의 내부에 형성되고 다수의 제 2 통과홀(136d)의 각각과 연통되고 제 1 공정가스를 분사하는 다수의 제 1 노즐(138b), 다수의 제 3 통과홀(136e)과 연통되고 제 2 공정가스를 분사하는 다수의 제 2 노즐(138c) 및 제 3 하우징(138a)과 연결되어 제 2 냉매를 순환시키는 냉매유동관)을 포함하는 다수의 제 3 서브 가스분배판(208)으로 구성된다. The third gas distribution plate 138 is formed in the third housing 138a having the third space 164 through which the second refrigerant flows, the third housing 138a, and the plurality of second through holes 136d. A plurality of first nozzles 138b communicating with each of the plurality of nozzles and injecting the first process gas, a plurality of second nozzles 138c communicating with the plurality of third through holes 136e and injecting the second process gas; And a third sub gas distribution plate 208 including a refrigerant flow tube connected to the third housing 138a to circulate the second refrigerant.

냉매유동관은 제 3 공간(164)에 제 2 냉매를 공급하는 냉매공급관과 제 3 공간(164)의 냉매를 배출하는 냉매배출관을 포함한다. 냉매유동관은 챔버리드(130)를 관통하여 공정챔버의 내부로 인입되어 제 3 하우징(138a)의 측면에 연결된다. 제 2 냉매는 제 2 냉매순환장치(도시하지 않음)에 순환한다.The refrigerant flow pipe includes a refrigerant supply pipe for supplying a second refrigerant to the third space 164 and a refrigerant discharge pipe for discharging the refrigerant in the third space 164. The refrigerant flow tube is introduced into the process chamber through the chamber lead 130 and connected to the side of the third housing 138a. The second refrigerant is circulated to a second refrigerant circulation device (not shown).

제 3 서브 가스분배판(208)은 제 1 및 제 2 서브 가스분배판(200, 206)과 동일한 형태로 제작된다. 따라서, 제 1 및 제 2 서브 가스분배판(200, 206)과 동일하게 제 3 서브 가스분배판(208)은 부채꼴 형태로 제작되고, 제 3 가스분배판(138)의 중심과 인접한 제 3 서브 가스분배판(208)의 단부는 원호형태로 처리된다. 그리고, 다수의 제 3 서브 가스분배판(208)을 조립하여 제 3 가스분배판(138)을 형성하면, 제 3 가스분배판(138)은 중앙부에 공동을 가지는 원형이 된다. 제 3 하우징(138b)은 제 3 공간(164)의 주변부를 감싸는 제 3 측벽(194a) 및 제 3 측벽(194a)의 하부에 위치하고, 다수의 제 1 및 제 2 노즐(138b, 138c)이 설치되는 제 3 하판(194b)으로 구성된다. The third sub gas distribution plate 208 is manufactured in the same form as the first and second sub gas distribution plates 200 and 206. Accordingly, similarly to the first and second sub gas distribution plates 200 and 206, the third sub gas distribution plate 208 is manufactured in a fan shape, and the third sub gas distribution plate 138 is adjacent to the center of the third gas distribution plate 138. The end of the gas distribution plate 208 is processed in the form of an arc. When a plurality of third sub gas distribution plates 208 are assembled to form a third gas distribution plate 138, the third gas distribution plate 138 is circular with a cavity at the center thereof. The third housing 138b is positioned below the third sidewall 194a and the third sidewall 194a surrounding the periphery of the third space 164, and a plurality of first and second nozzles 138b and 138c are installed. Consisting of a third lower plate 194b.

본 발명의 제 3 실시예에서는, 제 3 서브 가스분배판(138)의 제 3 하우 징(138a)은 제 3 측벽(194a) 및 제 3 하판(194b)로 구성되고, 다수의 제 2 통과홀(136d) 및 제 3 통과홀(136e)과 연통되는 튜브 형태의 다수의 제 1 및 제 2 노즐(138b, 138c)이 제 2 가스분배판(136)을 구성하는 제 2 하우징(136b)의 제 2 하판(192b)과 직접 접촉한다. 필요에 따라 제 3 하우징(138a)은 다수의 제 1 및 제 2 노즐(138b, 138c)이 연통되는 상판을 포함할 수 있다. 다수의 제 1 및 제 2 노즐(138b, 138c)은 일정한 두께를 가진 튜브형태이므로, 다수의 제 1 및 제 2 노즐(138b, 138c)의 상부가 제 2 히판(192b)의 하부에서 면접촉한다. 따라서, 제 2 실시예는 제 3 가스분배판(138)를 제 1 실시예와 비교하여 단순한 공정에 의해 형성된다.In the third embodiment of the present invention, the third housing 138a of the third sub gas distribution plate 138 is composed of a third side wall 194a and a third lower plate 194b, and a plurality of second through holes. A plurality of first and second nozzles 138b and 138c in the form of tubes communicating with the 136d and the third passage holes 136e constitute the second housing 136b constituting the second gas distribution plate 136. 2 is in direct contact with the lower plate (192b). If necessary, the third housing 138a may include a top plate to which the plurality of first and second nozzles 138b and 138c communicate. Since the plurality of first and second nozzles 138b and 138c are in the form of tubes having a constant thickness, an upper portion of the plurality of first and second nozzles 138b and 138c is in surface contact with a lower portion of the second heat plate 192b. . Therefore, the second embodiment is formed by a simple process comparing the third gas distribution plate 138 with the first embodiment.

도 1은 본 발명의 제 1 실시예에 따른 기판처리장치의 모식도1 is a schematic view of a substrate processing apparatus according to a first embodiment of the present invention;

도 2는 본 발명의 제 1 실시예에 따른 기판처리장치의 가스분배수단의 상세 단면도2 is a detailed cross-sectional view of the gas distribution means of the substrate processing apparatus according to the first embodiment of the present invention.

도 3은 본 발명의 제 1 실시예에 따른 가스분배수단의 분해 사시도3 is an exploded perspective view of a gas distribution means according to a first embodiment of the present invention;

도 4a 내지 도 4c는 본 발명의 제 1 실시예에 따른 제 3 가스분배판의 제조 사시도4A to 4C are perspective views of the third gas distribution plate according to the first embodiment of the present invention.

도 5는 본 발명의 제 1 실시예에 따른 제 2 가스분배판의 평면도5 is a plan view of a second gas distribution plate according to the first embodiment of the present invention;

도 6은 본 발명의 제 2 실시예에 따른 가스분배수단의 분해 사시도6 is an exploded perspective view of a gas distribution means according to a second embodiment of the present invention;

도 7a 내지 도 7c는 본 발명의 제 2 실시예에 따른 제 3 가스분배판의 제조 사시도7A to 7C are perspective views of the third gas distribution plate according to the second embodiment of the present invention.

도 8은 본 발명의 제 3 실시예에 따른 가스분배수단의 분해 사시도8 is an exploded perspective view of a gas distribution means according to a third embodiment of the present invention;

도 9는 본 발명의 제 3 실시예에 따른 기판안치수단의 평면도이다.9 is a plan view of a substrate setter according to a third embodiment of the present invention.

Claims (26)

제 1 공정가스를 도입하는 제 1 가스도입관과 연결되고, 상기 제 1 공정가스를 통과시키는 다수의 제 1 통과홀을 포함하는 제 1 가스분배판;A first gas distribution plate connected to a first gas introduction pipe for introducing a first process gas and including a plurality of first passage holes through which the first process gas passes; 제 2 공정가스를 도입하는 제 2 가스도입관과 연결되고, 상기 다수의 제 1 통과홀과 정렬되어 상기 제 1 공정가스를 통과시키는 다수의 제 2 통과홀 및 상기 제 2 공정가스를 통과시키는 다수의 제 3 통과홀을 포함하는 제 2 가스분배판;A plurality of second passage holes that are connected to a second gas introduction pipe for introducing a second process gas and aligned with the plurality of first passage holes to allow the first process gas to pass through and the second process gas to pass through the second process gas; A second gas distribution plate comprising a third through hole of the second gas distribution plate; 상기 다수의 제 2 및 제 3 통과홀과 정렬되어 상기 제 1 및 제 2 공정가스를 각각 분사하는 다수의 제 1 및 제 2 노즐과 냉매가 유동하는 공간을 포함하는 제 3 가스분배판;A third gas distribution plate aligned with the plurality of second and third passage holes and including a plurality of first and second nozzles for injecting the first and second process gases, respectively, and a space in which a refrigerant flows; 을 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단.Gas distribution means of the substrate processing apparatus comprising a. 제 1 항에 있어서, The method of claim 1, 상기 제 1 가스분배판은, The first gas distribution plate, 상기 제 1 가스도입관에서 공급되는 상기 제 1 공정가스를 수용하는 공간을 포함하는 하우징과, 상기 공간의 내부에 설치되고 상기 제 1 가스도입관으로부터 도입되는 상기 제 1 공정가스를 균일하기 분포시키기 위한 분포수단을 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단.Evenly distributing the housing including a housing for accommodating the first process gas supplied from the first gas introducing pipe, and the first process gas installed in the space and introduced from the first gas introducing pipe. Gas distribution means for a substrate processing apparatus comprising a distribution means for. 제 1 항에 있어서,The method of claim 1, 상기 제 1 가스분배판은, 상기 제 1 가스도입관에서 공급되는 상기 제 1 공정가스를 수용하는 공간을 포함하는 하우징과, 상기 공간의 내부에 설치되고 상기 제 1 가스도입관으로부터 도입되는 상기 제 1 공정가스를 균일하기 분포시키기 위한 분포수단을 가지는 다수의 제 1 서브 가스분배판을 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단. The first gas distribution plate may include a housing including a space accommodating the first process gas supplied from the first gas introduction pipe, and the first gas distribution pipe installed in the space and introduced from the first gas introduction pipe. 1. The gas distribution means of the substrate treating apparatus, comprising a plurality of first sub gas distribution plates having distribution means for uniformly distributing the process gas. 제 3 항에 있어서,The method of claim 3, wherein 상기 하우징의 내측벽은 단차부를 포함하고, 상기 단차부에 상기 분포수단이 위치하는 것을 특징으로 하는 기판처리장치의 가스분배수단.An inner wall of the housing includes a stepped portion, wherein the distribution means is located in the stepped portion gas distribution means of the substrate processing apparatus. 제 3 항에 있어서,The method of claim 3, wherein 상기 제 1 서브 가스분배판은 부채꼴 형태이고, 상기 제 1 가스분배판의 중심부와 인접한 단부에서 원호처리되는 것을 특징으로 하는 기판처리장치의 가스분배수단.And the first sub gas distribution plate has a fan shape, and is circularly treated at an end portion adjacent to a central portion of the first gas distribution plate. 제 2 항 내지 제 5 항에 있어서,The method according to claim 2 to 5, 상기 분포수단은 플레이트와 상기 플레이트를 천공하는 다수의 공급홀을 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단.And the distribution means includes a plate and a plurality of supply holes for drilling the plate. 제 2 항에 있어서,The method of claim 2, 상기 제 2 가스분배판은 상기 제 2 가스도입관으로부터 공급되는 제 2 공정가스를 수용하는 버퍼공간과 상기 버퍼공간으로부터 공급되는 상기 제 2 공정가스를 수용하는 제 2 공간을 가지는 제 2 하우징을 포함하고, 상기 제 1 가스분배판의 상기 하우징의 측벽은 상기 제 2 하우징의 상기 버퍼공간의 커버하는 두께를 가지는 것을 특징으로 하는 기판처리장치의 가스분배수단. The second gas distribution plate includes a second housing having a buffer space accommodating a second process gas supplied from the second gas introduction pipe and a second space accommodating the second process gas supplied from the buffer space. And the side wall of the housing of the first gas distribution plate has a thickness covering the buffer space of the second housing. 제 1 항에 있어서,The method of claim 1, 상기 제 2 가스분배판은, The second gas distribution plate, 상기 제 2 가스도입관과 연결되고 상기 제 2 공정가스를 수용하는 공간을 제공하는 하우징;A housing connected to the second gas introduction pipe and providing a space for receiving the second process gas; 상기 공간에 상기 다수의 제 2 통과홀을 내장한 다수의 필라;A plurality of pillars in which the plurality of second passage holes are embedded in the space; 상기 하우징의 하부를 천공한 상기 다수의 제 3 통과홀;The plurality of third through holes formed in the lower portion of the housing; 을 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단.Gas distribution means of the substrate processing apparatus comprising a. 제 8 항에 있어서,The method of claim 8, 상기 제 2 가스분배판은,The second gas distribution plate, 상기 공간의 내부에 설치되는 격벽;A partition wall installed inside the space; 상기 하우징의 측벽과 상기 격벽에 의해 구분되고, 상기 제 2 가스도입관으로부터 공급되는 상기 제 2 공정가스를 수용하는 버퍼공간;A buffer space divided by the side wall of the housing and the partition wall and accommodating the second process gas supplied from the second gas introduction pipe; 을 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단.Gas distribution means of the substrate processing apparatus comprising a. 제 9 항에 있어서,The method of claim 9, 상기 제 2 가스분배판은, 상기 격벽에 상기 버퍼공간의 상기 제 2 공정가스를 상기 공간으로 공급하기 위해 설치된 공급홀을 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단.And the second gas distribution plate comprises a supply hole provided to the partition wall to supply the second process gas of the buffer space to the space. 제 8 항에 있어서,The method of claim 8, 상기 제 2 가스분배판은, The second gas distribution plate, 상기 제 2 가스도입관과 연결되고 상기 제 2 공정가스를 수용하는 공간을 제공하는 하우징;A housing connected to the second gas introduction pipe and providing a space for receiving the second process gas; 상기 공간에 상기 다수의 제 2 통과홀을 내장한 다수의 필라;A plurality of pillars in which the plurality of second passage holes are embedded in the space; 상기 하우징의 하부를 천공한 상기 다수의 제 3 통과홀;The plurality of third through holes formed in the lower portion of the housing; 을 가지는 다수의 제 2 서브 가스분배판을 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단.Gas distribution means for a substrate processing apparatus comprising a plurality of second sub-gas distribution plate having a. 제 1 항에 있어서,The method of claim 1, 상기 제 3 가스분배판은, 상기 다수의 제 1 및 제 2 노즐이 설치되고 상기 냉매가 유동하는 상기 공간을 포함하는 하우징과 상기 하우징에 연결되어 상기 냉매를 공급 또는 배출시키는 냉매유동관을 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단.The third gas distribution plate may include a housing including the space in which the plurality of first and second nozzles are installed and the refrigerant flows, and a refrigerant flow tube connected to the housing to supply or discharge the refrigerant. A gas distribution means of the substrate processing apparatus characterized by the above-mentioned. 제 12 항에 있어서,13. The method of claim 12, 상기 하우징은 상기 공간의 측면을 감싸는 측벽, 상기 측벽의 상부에 위치하고, 상기 다수의 제 1 및 제 2 노즐과 연통되는 상판, 및 상기 측벽의 하부에 위치하고, 상기 다수의 제 1 및 제 2 노즐과 연통되는 하판을 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단.The housing may include a side wall surrounding a side of the space, an upper plate positioned at an upper side of the side wall, and a top plate communicating with the plurality of first and second nozzles, and a lower plate located at the lower side of the side wall. Gas distribution means of the substrate processing apparatus comprising a lower plate in communication. 제 12 항에 있어서,13. The method of claim 12, 상기 하우징은 상기 공간의 측면을 감싸는 측벽 및 상기 제 2 가스분배판과 직접 접촉하는 상기 다수의 제 1 및 제 2 노즐이 위치하는 하판을 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단.The housing includes a side wall surrounding the side of the space and the gas distribution means of the substrate processing apparatus, characterized in that the lower plate in which the plurality of first and second nozzles in direct contact with the second gas distribution plate is located. 제 12 항에 있어서,13. The method of claim 12, 상기 제 3 가스분배판은, 상기 다수의 제 1 및 제 2 노즐이 설치되고 상기 냉매가 유동하는 상기 공간을 포함하는 하우징과 상기 하우징에 연결되어 상기 냉매를 공급 또는 배출시키는 냉매유동관을 가지는 제 3 서브 가스분배판을 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단.The third gas distribution plate may include a housing including the space in which the plurality of first and second nozzles are installed and the refrigerant flows, and a refrigerant flow tube connected to the housing to supply or discharge the refrigerant. A gas distribution means of a substrate processing apparatus comprising a sub gas distribution plate. 제 1 항에 있어서,The method of claim 1, 상기 제 1 가스분배판과 상기 제 2 가스분배판은 알루미늄으로 제작되고, 제 3 가스분배판은 스테인레스 스틸 또는 알루미늄으로 제작되는 것을 특징으로 하는 기판처리장치의 가스분배수단.And the first gas distribution plate and the second gas distribution plate are made of aluminum, and the third gas distribution plate is made of stainless steel or aluminum. 제 1 항에 있어서, The method of claim 1, 상기 제 3 가스분배판에 온도측정수단을 설치하는 것을 특징으로 하는 기판처리장치의 가스분배수단.The gas distribution means of the substrate processing apparatus, characterized in that the temperature measuring means is provided on the third gas distribution plate. 제 1 항에 있어서,The method of claim 1, 상기 제 2 가스분배판 및 상기 제 3 가스분배판에 각각 제 1 및 제 2 온도측정수단을 설치하는 것을 특징으로 하는 기판처리장치의 가스분배수단.And a first temperature measuring means and a second temperature measuring means, respectively, on the second gas distribution plate and the third gas distribution plate. 반응공간을 제공하는 공정챔버;A process chamber providing a reaction space; 상기 반응공간에 설치되고, 제 1 공정가스를 도입하는 제 1 가스도입관과 연결되고, 상기 제 1 공정가스를 통과시키는 다수의 제 1 통과홀을 포함하는 제 1 가스분배판, 제 2 공정가스를 도입하는 제 2 가스도입관과 연결되고, 상기 다수의 제 1 통과홀과 정렬되어 상기 제 1 공정가스를 통과시키는 다수의 제 2 통과홀 및 상기 제 2 공정가스를 통과시키는 다수의 제 3 통과홀을 포함하는 제 2 가스분배판, 및 상기 다수의 제 2 및 제 3 통과홀과 정렬되어 상기 제 1 및 제 2 공정가스를 각각 분사하는 다수의 제 1 및 제 2 노즐과 냉매가 유동하는 공간을 포함하는 제 3 가스분배판을 포함하는 가스분배수단;A first gas distribution plate and a second process gas installed in the reaction space and connected to a first gas introduction pipe for introducing a first process gas and including a plurality of first passage holes through which the first process gas passes; A plurality of second passage holes connected to a second gas introduction pipe for introducing a plurality of second passage holes to pass through the first process gas and aligned with the plurality of first passage holes to pass the second process gas; A second gas distribution plate including a hole, and a plurality of first and second nozzles which are aligned with the plurality of second and third passage holes to inject the first and second process gases, respectively, and a space in which the refrigerant flows. Gas distribution means comprising a third gas distribution plate comprising a; 상기 가스분배수단과 대향하고, 기판을 안치하는 기판안치수단;Substrate holding means opposed to the gas distribution means and for placing a substrate; 을 포함하는 것을 특징으로 하는 기판처리장치.Substrate processing apparatus comprising a. 제 19 항에 있어서,The method of claim 19, 상기 공정챔버는 챔버몸체와 챔버리드로 구성되고, 상기 챔버리드에 상기 제 1 가스분배판이 결합되는 것을 특징으로 하는 기판처리장치.The process chamber comprises a chamber body and a chamber lead, the substrate processing apparatus, characterized in that the first gas distribution plate is coupled to the chamber lead. 제 19 항에 있어서,The method of claim 19, 상기 챔버리드는 상기 제 1 가스분배판과 대응되는 부분에 형성되고 상기 제 1 가스도입관과 연결되는 함몰부를 포함하고, 상기 제 1 가스분배판은 상기 제 1 공정가스를 수용하는 공간을 포함하고, 상기 함몰부와 상기 공간 사이에 상기 제 1 공정가스를 균일하게 분포시키는 배플을 포함하는 것을 특징으로 하는 기판처리장치.The chamber lid includes a recess formed in a portion corresponding to the first gas distribution plate and connected to the first gas introduction pipe, and the first gas distribution plate includes a space for accommodating the first process gas. And a baffle for uniformly distributing the first process gas between the depression and the space. 제 19 항에 있어서,The method of claim 19, 상기 챔버리드에는 냉매가 순환하는 냉매유로가 형성되는 것을 특징으로 하는 기판처리장치.The chamber lead is characterized in that the refrigerant passage through which the refrigerant is circulated is formed. 제 19 항에 있어서,The method of claim 19, 상기 챔버리드와 이격되어 상기 제 1 가스분배판이 설치되는 것을 특징으로 하는 기판처리장치.And a first gas distribution plate spaced apart from the chamber lead. 제 1 공정가스를 도입하는 제 1 가스도입관과 연결되고, 상기 제 1 공정가스를 통과시키는 다수의 제 1 통과홀을 포함하는 제 1 가스분배판을 형성하는 단계;Forming a first gas distribution plate connected to a first gas introduction pipe for introducing a first process gas and including a plurality of first passage holes through which the first process gas passes; 제 2 공정가스를 도입하는 제 2 가스도입관과 연결되고, 상기 다수의 제 1 통과홀과 정렬되어 상기 제 1 공정가스를 통과시키는 다수의 제 2 통과홀 및 상기 제 2 공정가스를 통과시키는 다수의 제 3 통과홀을 포함하는 제 2 가스분배판을 형성하는 단계;A plurality of second passage holes that are connected to a second gas introduction pipe for introducing a second process gas and aligned with the plurality of first passage holes to allow the first process gas to pass through and the second process gas to pass through the second process gas; Forming a second gas distribution plate including a third through hole of the second gas distribution plate; 상기 다수의 제 2 및 제 3 통과홀과 정렬되어 상기 제 1 및 제 2 공정가스를 각각 분사하는 다수의 제 1 및 제 2 노즐과 냉매가 유동하는 공간을 포함하는 제 3 가스분배판을 형성하는 단계;Forming a third gas distribution plate including a plurality of first and second nozzles which are aligned with the plurality of second and third through holes, respectively, and a space in which a refrigerant flows, and a plurality of first and second nozzles respectively injecting the first and second process gases; step; 상기 제 1 가스분배판에 상기 제 2 가스분배판과, 상기 제 2 가스분배판에 상기 제 3 가스분배판을 결합시키는 단계; Coupling the second gas distribution plate to the first gas distribution plate and the third gas distribution plate to the second gas distribution plate; 를 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단의 제조방법.Method for producing a gas distribution means of the substrate processing apparatus comprising a. 제 24 항에 있어서,The method of claim 24, 상기 제 3 가스분배판은,The third gas distribution plate, 제 1 판재 및 제 2 판재를 준비하는 단계;Preparing a first plate and a second plate; 상기 제 1 판재 및 상기 제 2 판재에 상기 다수의 제 1 및 제 2 노즐과 대응되는 다수의 개구를 천공하는 단계;Drilling a plurality of openings corresponding to the plurality of first and second nozzles in the first plate and the second plate; 상기 제 1 판재 및 상기 제 2 판재의 사이에, 상기 다수의 개구와 대응되도록 다수의 튜브를 배열시키는 단계;Arranging a plurality of tubes between the first plate and the second plate to correspond to the plurality of openings; 상기 제 1 판재 및 상기 제 2 판재와 상기 다수의 튜브를 브레이징 방법을 이용하여 접합시키는 단계;Bonding the first plate and the second plate to the plurality of tubes using a brazing method; 상기 제 1 판재 및 상기 제 2 판재의 주변부를 제 3 판재와 결합시켜 하우징을 형성하는 단계;Coupling a peripheral portion of the first plate and the second plate with a third plate to form a housing; 상기 하우징의 측벽에 냉매를 공급 및 배출하는 냉매유동관을 연결하는 단계;Connecting a refrigerant flow tube for supplying and discharging refrigerant to a side wall of the housing; 를 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단의 제조방법.Method for producing a gas distribution means of the substrate processing apparatus comprising a. 제 24 항에 있어서,The method of claim 24, 상기 제 3 가스분배판은,The third gas distribution plate, 판재를 준비하는 단계;Preparing a plate; 상기 판재에 상기 다수의 제 1 및 제 2 노즐과 대응되는 다수의 개구를 천공하는 단계;Drilling a plurality of openings corresponding to the plurality of first and second nozzles in the plate; 상기 판재의 상기 다수의 개구와 대응되도록 다수의 튜브를 배열시키는 단계;Arranging a plurality of tubes to correspond with the plurality of openings of the plate; 상기 판재와 상기 다수의 튜브를 브레이징 방법을 이용하여 접합시키는 단계;Joining the plate with the plurality of tubes using a brazing method; 상기 판재의 주변부를 제 3 판재와 결합시켜 하우징을 형성하는 단계;Coupling a peripheral portion of the plate with a third plate to form a housing; 상기 다수의 제 1 및 제 2 노즐의 상부를 상기 제 2 가스분배판의 하부에 접촉시켜 연결시키는 단계;Connecting upper portions of the plurality of first and second nozzles to contact lower portions of the second gas distribution plate; 상기 하우징의 측벽에 냉매를 공급 및 배출하는 냉매유동관을 연결하는 단계;Connecting a refrigerant flow tube for supplying and discharging refrigerant to a side wall of the housing; 를 포함하는 것을 특징으로 하는 기판처리장치의 가스분배수단의 제조방법. Method for producing a gas distribution means of the substrate processing apparatus comprising a.
KR1020090018083A 2009-03-03 2009-03-03 Appratus for treating substrate and method for fabricating the same KR20100099535A (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020090018083A KR20100099535A (en) 2009-03-03 2009-03-03 Appratus for treating substrate and method for fabricating the same
CN2010800033950A CN102239543A (en) 2009-03-03 2010-02-26 Gas distribution apparatus, and substrate-processing apparatus comprising same
US12/746,505 US20110048325A1 (en) 2009-03-03 2010-02-26 Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
PCT/KR2010/001209 WO2010101369A2 (en) 2009-03-03 2010-02-26 Gas distribution apparatus, and substrate-processing apparatus comprising same
JP2011552876A JP2012519956A (en) 2009-03-03 2010-02-26 Gas distribution apparatus and substrate processing apparatus having the same
TW99106061A TW201038763A (en) 2009-03-03 2010-03-02 Gas distribution apparatus and substrate processing apparatus having the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090018083A KR20100099535A (en) 2009-03-03 2009-03-03 Appratus for treating substrate and method for fabricating the same

Publications (1)

Publication Number Publication Date
KR20100099535A true KR20100099535A (en) 2010-09-13

Family

ID=43005818

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090018083A KR20100099535A (en) 2009-03-03 2009-03-03 Appratus for treating substrate and method for fabricating the same

Country Status (1)

Country Link
KR (1) KR20100099535A (en)

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130085147A (en) * 2012-01-19 2013-07-29 서울옵토디바이스주식회사 Fabricating apparatus of semiconductor device
WO2014046896A1 (en) * 2012-09-21 2014-03-27 Applied Materials, Inc. Chemical control features in wafer process equipment
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130085147A (en) * 2012-01-19 2013-07-29 서울옵토디바이스주식회사 Fabricating apparatus of semiconductor device
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
WO2014046896A1 (en) * 2012-09-21 2014-03-27 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch

Similar Documents

Publication Publication Date Title
KR20100099535A (en) Appratus for treating substrate and method for fabricating the same
WO2010101369A2 (en) Gas distribution apparatus, and substrate-processing apparatus comprising same
KR102417934B1 (en) Thin Film Deposition Apparatus
US10190214B2 (en) Deposition apparatus and deposition system having the same
JP5658701B2 (en) Two gas faceplates in series for a showerhead in a semiconductor wafer processing system
TWI524371B (en) Batch processing chamber with diffuser plate and injector assembly
JP3117331U (en) Dual gas faceplate for showerhead in semiconductor wafer processing system
JP2012519956A (en) Gas distribution apparatus and substrate processing apparatus having the same
US6495233B1 (en) Apparatus for distributing gases in a chemical vapor deposition system
US6626998B1 (en) Plasma generator assembly for use in CVD and PECVD processes
US20140235069A1 (en) Multi-plenum showerhead with temperature control
US20090211707A1 (en) Apparatus for gas distribution and its applications
US20100180819A1 (en) Film-forming apparatus
KR20050035300A (en) Thermal process station with heated lid
US9945570B2 (en) Unit and method for cooling, and apparatus and method for treating substrate
JPH1027756A (en) Lid assembly for semiconductor processing chamber
KR100972801B1 (en) semiconductor device fabrication equipment with showerhead
KR20080034157A (en) Gas manifold valve cluster
CN104025258A (en) Cooling type showerhead and substrate processing apparatus having same
JP2010118541A (en) Plasma processing device and method for processing plasma
CN115404463A (en) Atomic layer deposition equipment and atomic layer deposition spraying device
KR101019953B1 (en) Apparatus for supplying gas
TW202225466A (en) Hybrid showerhead with separate faceplate for high temperature process
JP2023546163A (en) Thermally homogeneous deposition station
CN114551205A (en) Gas supply module and substrate processing apparatus including the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application