JP2012519956A - Gas distribution apparatus and substrate processing apparatus having the same - Google Patents

Gas distribution apparatus and substrate processing apparatus having the same Download PDF

Info

Publication number
JP2012519956A
JP2012519956A JP2011552876A JP2011552876A JP2012519956A JP 2012519956 A JP2012519956 A JP 2012519956A JP 2011552876 A JP2011552876 A JP 2011552876A JP 2011552876 A JP2011552876 A JP 2011552876A JP 2012519956 A JP2012519956 A JP 2012519956A
Authority
JP
Japan
Prior art keywords
gas
gas distribution
space
plate
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2011552876A
Other languages
Japanese (ja)
Inventor
ソン ホン チェ
スン ホ イ
ヨン ヒ イ
Original Assignee
ジュソン エンジニアリング カンパニー リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020090018083A external-priority patent/KR20100099535A/en
Priority claimed from KR1020100014446A external-priority patent/KR20110021624A/en
Application filed by ジュソン エンジニアリング カンパニー リミテッド filed Critical ジュソン エンジニアリング カンパニー リミテッド
Priority claimed from PCT/KR2010/001209 external-priority patent/WO2010101369A2/en
Publication of JP2012519956A publication Critical patent/JP2012519956A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

本発明は、ガス分配装置およびこれを備える基板処理装置に関するものであり、少なくとも2種以上のプロセスガスを相異なるルートを介して基板の上に噴射する第1のガス分配部と、少なくとも2種以上のプロセスガスの分解温度の平均よりも高い分解温度を有するプロセスガスを基板の上に噴射する第2のガス分配部と、を備え、第1のガス分配部は少なくとも2以上に分割されて第2のガス分配部を中心として配置され、互いに結合および分離可能なガス分配装置およびこれを備える基板処理装置を提供する。  The present invention relates to a gas distribution apparatus and a substrate processing apparatus including the gas distribution apparatus, and includes at least two types of a first gas distribution unit that injects at least two kinds of process gases onto a substrate via different routes. A second gas distribution unit that injects a process gas having a decomposition temperature higher than the average decomposition temperature of the process gas onto the substrate, and the first gas distribution unit is divided into at least two or more. Provided is a gas distribution device that is arranged around a second gas distribution unit and can be coupled and separated from each other, and a substrate processing apparatus including the same.

Description

本発明は、基板処理装置に係り、特に、2元系以上の原料物質を供給するガス分配装置を備える基板処理装置に関する。   The present invention relates to a substrate processing apparatus, and more particularly to a substrate processing apparatus including a gas distribution device that supplies a source material of binary system or higher.

一般的に、半導体素子、表示装置および薄膜太陽電池を製造するためには、基板に特定の物質の薄膜を蒸着する薄膜蒸着工程、感光性物質を用いてこの薄膜中の選択された領域を露出または隠蔽させるフォトリソグラフィープロセス、選択された領域の薄膜を除去してパターニングするエッチング工程などを経る。これらの工程のうち薄膜蒸着工程およびエッチング工程などは真空状態で最適化された基板処理装置において行う。   Generally, in order to manufacture a semiconductor device, a display device and a thin film solar cell, a thin film deposition process for depositing a thin film of a specific material on a substrate, a selected region in the thin film is exposed using a photosensitive material. Alternatively, a photolithography process for concealing, an etching process for removing and patterning a thin film in a selected region, and the like are performed. Among these processes, the thin film deposition process and the etching process are performed in a substrate processing apparatus optimized in a vacuum state.

基板処理装置において、反応空間を持つプロセスチャンバーの内部にプロセスガスを一様に分布させるために、ガス分配装置を使用する。通常、基板の上に薄膜を蒸着するための主な方法として、化学的気相蒸着(Chemical Vapor Deposition:CVD)プロセスを使用する。CVDプロセスを使用する場合、ガス分配装置の温度が上昇して、プロセスチャンバーの蓋とガス分配装置との間またはガス分配装置の内部においてプロセスガスが分解および反応して粉末または異物が発生することがある。例えば、多数のプロセスガスをプロセスチャンバーに同時に供給して基板の上に2元系以上の化合物薄膜を形成する場合、ガス分配装置に供給される多数のプロセスガスがガス分配装置の内部において反応して異物が生成することがある。このような異物によりガス分配装置の噴射孔が閉塞されたり異物が基板の上に吸着されたりして、素子特性を変化させるという不都合が発生する。   In a substrate processing apparatus, a gas distribution device is used to uniformly distribute a process gas inside a process chamber having a reaction space. Usually, a chemical vapor deposition (CVD) process is used as the main method for depositing a thin film on a substrate. When using a CVD process, the temperature of the gas distribution device rises and the process gas decomposes and reacts between the process chamber lid and the gas distribution device or inside the gas distribution device to generate powder or foreign matter. There is. For example, when a large number of process gases are simultaneously supplied to the process chamber to form a compound thin film of two or more systems on the substrate, a large number of process gases supplied to the gas distribution device react inside the gas distribution device. Foreign matter may be generated. Such a foreign matter causes a problem that the injection hole of the gas distribution device is blocked or the foreign matter is adsorbed on the substrate, thereby changing the element characteristics.

このため、ガス分配装置を多層構造にして異物の発生を解消している。すなわち、ガス分配装置の内部を上側空間と下側空間に区画し、上側空間にはあるプロセスガスを提供し、下側空間にはこれとは異なる他のプロセスガスを提供して、ガス分配装置の内部におけるプロセスガス間の気相反応を防止している。この種のガス分配装置は、複数のピン型のチューブを適切に配列し、数回に亘ってのろう付けにより結合して作製する。ところが、ガス分配装置の面積が増大するに伴いチューブの数も増大して、ろう付けによる結合に際して欠陥が発生する確率が高くなる。また、繰り返し行われるろう付けにより熱変形が発生し、ろう付け個所に応力が内在して漏れが発生する恐れがある。   For this reason, the gas distribution device has a multi-layer structure to eliminate the generation of foreign matters. That is, the interior of the gas distribution device is partitioned into an upper space and a lower space, a certain process gas is provided in the upper space, and another process gas different from this is provided in the lower space. The gas phase reaction between the process gases inside is prevented. This type of gas distribution apparatus is produced by appropriately arranging a plurality of pin-type tubes and connecting them by brazing several times. However, as the area of the gas distribution device increases, the number of tubes also increases, and the probability that defects will occur at the time of joining by brazing increases. Further, thermal deformation occurs due to repeated brazing, and there is a possibility that stress is inherent in the brazed portion and leakage occurs.

また、多数のプロセスガス間の分解温度差により分解効率が低下したり、プロセスチャンバーの内部に噴射される前にプロセスガスが分解されるという不都合が発生する。これにより、薄膜蒸着速度が低下する結果、薄膜の均一度が低下し、且つ、プロセスガスの使用量が増大してプロセスコストが嵩んでしまうという不都合が発生する。加えて、反応副産物の量が増えることによりメンテナンスコストが嵩んでしまうという問題が発生していた。   In addition, the decomposition efficiency is lowered due to the difference in decomposition temperature between a large number of process gases, and there is a disadvantage that the process gas is decomposed before being injected into the process chamber. As a result, the thin film deposition rate is lowered, resulting in the inconvenience that the uniformity of the thin film is lowered and the amount of process gas used is increased to increase the process cost. In addition, there is a problem in that maintenance costs increase due to an increase in the amount of reaction by-products.

本発明は、掘削または板材加工により作製された複数の通過孔が穿設される第1のガス分配板と、複数のチューブの結合により作製され、複数の通過孔と連通される複数のノズルが配設される第2のガス分配板とにより安定して2種以上のガスを独立して噴射可能なガス分配装置、およびこれを備える基板処理装置を提供する。   The present invention includes a first gas distribution plate having a plurality of passage holes made by excavation or plate processing, and a plurality of nozzles made by combining a plurality of tubes and communicating with the plurality of passage holes. Provided are a gas distribution device capable of independently and independently injecting two or more kinds of gases with a second gas distribution plate disposed, and a substrate processing apparatus including the same.

また、本発明は、冷媒の温度を適正温度に調節するために、複数の噴射ノズルを有するガス分配板に温度測定手段を設けたガス分配装置、およびこれを備える基板処理装置を提供する。   The present invention also provides a gas distribution apparatus in which a temperature measurement unit is provided on a gas distribution plate having a plurality of injection nozzles, and a substrate processing apparatus including the same, in order to adjust the temperature of the refrigerant to an appropriate temperature.

さらに、本発明は、複数のプロセスガス間の分解温度差による分解効率低下および噴射前にプロセスガスが分解されることを防止可能なガス分配装置、およびこれを備える基板処理装置を提供する。   Furthermore, the present invention provides a gas distribution device capable of preventing degradation in decomposition efficiency due to a decomposition temperature difference between a plurality of process gases and preventing the process gas from being decomposed before injection, and a substrate processing apparatus including the same.

さらにまた、本発明は、ガス分配装置を複数のガス分配装置に分割して互いに結合および分離可能なガス分配装置、およびこれを備える基板処理装置を提供する。   Furthermore, the present invention provides a gas distribution device that can be combined and separated from each other by dividing the gas distribution device into a plurality of gas distribution devices, and a substrate processing apparatus including the same.

本発明の実施形態による基板処理装置は、少なくとも2種以上のプロセスガスを相異なるルートを介して基板の上に噴射する第1のガス分配部と、前記少なくとも2種以上のプロセスガスの分解温度の平均よりも高い分解温度を有するプロセスガスを、前記基板の上に噴射する第2のガス分配部と、を備え、前記第1のガス分配部は、少なくとも2以上に分割されて前記第2のガス分配部を中心として配置され、互いに結合および分離可能である。   A substrate processing apparatus according to an embodiment of the present invention includes a first gas distribution unit that injects at least two kinds of process gases onto a substrate through different routes, and a decomposition temperature of the at least two kinds of process gases. A second gas distribution unit that injects a process gas having a decomposition temperature higher than the average of the above onto the substrate, wherein the first gas distribution unit is divided into at least two and the second gas distribution unit. Are arranged around the gas distribution part, and can be coupled and separated from each other.

本発明による基板処理装置において、前記第1のガス分配部は、第1のプロセスガスを導入する第1のガス導入管と連結され、前記第1のプロセスガスを通過させる複数の第1の通過孔を有する第1のガス分配板と、第2のプロセスガスを導入する第2のガス導入管と連結され、前記複数の第1の通過孔と位置合わせされて前記第1のプロセスガスを通過させる複数の第2の通過孔および前記第2のプロセスガスを通過させる複数の第3の通過孔を有する第2のガス分配板と、前記複数の第2及び第3の通過孔と位置合わせされ、前記第1及び第2のプロセスガスをそれぞれ噴射する複数の第1及び第2のノズル並びに冷媒が流動する空間を有する第3のガス分配板と、を備える。   In the substrate processing apparatus according to the present invention, the first gas distribution section is connected to a first gas introduction pipe for introducing a first process gas, and a plurality of first passages for allowing the first process gas to pass therethrough. A first gas distribution plate having holes and a second gas introduction pipe for introducing a second process gas are connected and aligned with the plurality of first passage holes to pass through the first process gas. A second gas distribution plate having a plurality of second passage holes and a plurality of third passage holes for allowing the second process gas to pass through, and aligned with the plurality of second and third passage holes. A plurality of first and second nozzles for injecting the first and second process gases, respectively, and a third gas distribution plate having a space in which a refrigerant flows.

本発明による基板処理装置において、前記第1のガス分配板は、前記第1のガス導入管から供給される前記第1のプロセスガスを収容する空間を有するハウジングと、前記空間に内設され、前記第1のガス導入管から導入される前記第1のプロセスガスを均一に分布させるための分布手段と、を備える。   In the substrate processing apparatus according to the present invention, the first gas distribution plate is installed in the space having a space for accommodating the first process gas supplied from the first gas introduction pipe, and the space. Distribution means for uniformly distributing the first process gas introduced from the first gas introduction pipe.

本発明による基板処理装置において、前記分布手段は、プレートと前記プレートを穿孔してなる複数の供給孔を備える。   In the substrate processing apparatus according to the present invention, the distribution means includes a plate and a plurality of supply holes formed by perforating the plate.

本発明による基板処理装置において、前記第2のガス分配板は、前記第2のガス導入管と連結され、前記第2のプロセスガスを収容する空間を提供するハウジングと、前記空間に前記複数の第2の通過孔を内蔵した複数のピラーと、前記ハウジングの下部を穿孔してなる前記複数の第3の通過孔と、を備える。   In the substrate processing apparatus according to the present invention, the second gas distribution plate is connected to the second gas introduction pipe, and a housing for providing a space for accommodating the second process gas; A plurality of pillars having a second passage hole therein; and a plurality of third passage holes formed by drilling a lower portion of the housing.

本発明による基板処理装置において、前記第2のガス分配板は、前記空間に内設される隔壁と、前記ハウジングの側壁と前記隔壁により区画され、前記第2のガス導入管から供給される前記第2のプロセスガスを収容するバッファ空間と、を備える。   In the substrate processing apparatus according to the present invention, the second gas distribution plate is partitioned by a partition provided in the space, a side wall of the housing, and the partition, and is supplied from the second gas introduction pipe. And a buffer space for accommodating a second process gas.

本発明による基板処理装置において、前記第2のガス分配板は、前記隔壁に、前記バッファ空間の前記第2のプロセスガスを前記空間に供給するために穿設された供給孔を備える。   In the substrate processing apparatus according to the present invention, the second gas distribution plate includes a supply hole formed in the partition wall for supplying the second process gas in the buffer space to the space.

本発明による基板処理装置において、前記第3のガス分配板は、前記複数の第1及び第2のノズルが配設され、前記冷媒が流動する前記空間を有するハウジングと、前記ハウジングと連結されて前記冷媒を供給または排出する冷媒流動管と、を備える。   In the substrate processing apparatus according to the present invention, the third gas distribution plate includes a plurality of first and second nozzles, a housing having the space through which the refrigerant flows, and a connection to the housing. A refrigerant flow pipe for supplying or discharging the refrigerant.

本発明による基板処理装置において、前記ハウジングは、前記空間の側面を取り囲む側壁と、前記側壁の上部に位置して前記複数の第1及び第2のノズルと連通される上板と、前記側壁の下部に位置して前記複数の第1及び第2のノズルと連通される下板と、を備える。   In the substrate processing apparatus according to the present invention, the housing includes a side wall that surrounds a side surface of the space, an upper plate that is located above the side wall and communicates with the plurality of first and second nozzles, A lower plate located in the lower portion and communicated with the plurality of first and second nozzles.

本発明による基板処理装置において、前記ハウジングは、前記空間の側面を取り囲む側壁および前記第2のガス分配板と直接的に接触する前記複数の第1及び第2のノズルが位置する下板を備える。   In the substrate processing apparatus according to the present invention, the housing includes a side wall surrounding a side surface of the space and a lower plate on which the plurality of first and second nozzles that are in direct contact with the second gas distribution plate are located. .

本発明による基板処理装置において、前記第2のガス分配板および前記第3のガス分配板の少なくともどちらか一方に配設された温度測定器をさらに備える。   The substrate processing apparatus according to the present invention further includes a temperature measuring device disposed on at least one of the second gas distribution plate and the third gas distribution plate.

本発明による基板処理装置において、前記第2のガス分配部はチャンバー蓋の下側中央部に設けられ、前記少なくとも2以上の第1のガス分配部は第2のガス分配部を中心として前記チャンバー蓋の下側に設けられる。   In the substrate processing apparatus according to the present invention, the second gas distribution unit is provided at a lower center portion of the chamber lid, and the at least two or more first gas distribution units are centered on the second gas distribution unit. Provided under the lid.

本発明による基板処理装置において、前記少なくとも2以上の第1のガス分配部は、少なくとも1つ以上が互いに離間して配置される。   In the substrate processing apparatus according to the present invention, at least one or more of the at least two or more first gas distribution units are arranged apart from each other.

本発明による基板処理装置において、前記少なくとも2以上の第1のガス分配部の間に介設されてパージガスを噴射する少なくとも1つ以上の第3のガス分配部をさらに備える。   The substrate processing apparatus according to the present invention further includes at least one or more third gas distribution units interposed between the at least two or more first gas distribution units and injecting a purge gas.

本発明による基板処理装置において、前記第3のガス分配部は前記基板の外側に前記パージガスを噴射する。   In the substrate processing apparatus according to the present invention, the third gas distribution unit injects the purge gas to the outside of the substrate.

本発明による基板処理装置において、前記少なくとも2以上の第1のガス分配部の両側面に突出部が形成され、前記第3のガス分配部の両側面に前記突出部に対応する溝が凹設されて前記溝が前記突出部に外嵌されて前記第3のガス分配部が前記第1のガス分配部の間に結合される。   In the substrate processing apparatus according to the present invention, protrusions are formed on both side surfaces of the at least two first gas distribution units, and grooves corresponding to the protrusions are formed in both side surfaces of the third gas distribution unit. Then, the groove is externally fitted to the protruding portion, and the third gas distribution portion is coupled between the first gas distribution portions.

本発明による基板処理装置において、前記第3のガス分配部の少なくとも1つは下側に温度感知器が設けられる。   In the substrate processing apparatus according to the present invention, at least one of the third gas distribution units is provided with a temperature sensor on the lower side.

また、本発明の実施形態による基板処理装置は、反応空間を有するチャンバーと、前記チャンバーの反応空間に位置して複数の基板が中心を基準として放射状に載置される基板載置手段と、少なくとも2種以上のプロセスガスを相異なるルートを介して前記基板の上に噴射する第1のガス分配部と、前記少なくとも2種以上のプロセスガスの分解温度の平均よりも高い分解温度を有するプロセスガスを前記複数の基板間の空間に噴射する第2のガス分配部とを有するガス分配装置と、を備え、前記第1のガス分配部は少なくとも2以上に分割されて前記第2のガス分配部を中心として配置され、互いに結合および分離可能である。   In addition, a substrate processing apparatus according to an embodiment of the present invention includes a chamber having a reaction space, a substrate mounting unit that is positioned in the reaction space of the chamber and on which a plurality of substrates are mounted radially with respect to the center, and at least A first gas distribution section for injecting two or more kinds of process gases onto the substrate via different routes; and a process gas having a decomposition temperature higher than an average decomposition temperature of the at least two kinds of process gases. And a second gas distribution unit that injects the gas into a space between the plurality of substrates, wherein the first gas distribution unit is divided into at least two and the second gas distribution unit And can be coupled and separated from each other.

本発明による基板処理装置において、前記チャンバーは、前記反応空間が設けられるチャンバー胴体と、前記反応空間を密閉するチャンバー蓋と、を備え、前記第1及び第2のガス分配部は前記チャンバー蓋に固定される。   In the substrate processing apparatus according to the present invention, the chamber includes a chamber body in which the reaction space is provided, and a chamber lid that seals the reaction space, and the first and second gas distribution units are provided in the chamber lid. Fixed.

本発明による基板処理装置において、前記チャンバー蓋には冷媒が循環する冷媒流路が形成される。   In the substrate processing apparatus according to the present invention, a coolant channel through which the coolant circulates is formed in the chamber lid.

本発明による基板処理装置において、前記第1のガス分配部は、第1のプロセスガスを導入する第1のガス導入管と連結され、前記第1のプロセスガスを通過させる複数の第1の通過孔を有する第1のガス分配板と、第2のプロセスガスを導入する第2のガス導入管と連結され、前記複数の第1の通過孔と位置合わせされて前記第1のプロセスガスを通過させる複数の第2の通過孔および前記第2のプロセスガスを通過させる複数の第3の通過孔を有する第2のガス分配板と、前記複数の第2及び第3の通過孔と位置合わせされて前記第1及び第2のプロセスガスをそれぞれ噴射する複数の第1及び第2のノズル並びに冷媒が流動する空間を有する第3のガス分配板と、を備える。   In the substrate processing apparatus according to the present invention, the first gas distribution section is connected to a first gas introduction pipe for introducing a first process gas, and a plurality of first passages for allowing the first process gas to pass therethrough. A first gas distribution plate having holes and a second gas introduction pipe for introducing a second process gas are connected and aligned with the plurality of first passage holes to pass through the first process gas. A second gas distribution plate having a plurality of second passage holes and a plurality of third passage holes for allowing the second process gas to pass through, and aligned with the plurality of second and third passage holes. A plurality of first and second nozzles for injecting the first and second process gases, respectively, and a third gas distribution plate having a space in which the refrigerant flows.

本発明による基板処理装置において、前記第2のガス分配部は、前記基板載置手段の中心領域に対応するチャンバー領域に配置された少なくとも1つの中心噴射ノズルを備える。   In the substrate processing apparatus according to the present invention, the second gas distribution unit includes at least one central injection nozzle disposed in a chamber region corresponding to a central region of the substrate mounting means.

本発明による基板処理装置において、前記第2のガス分配部は、前記第1のガス分配部の中心領域に位置する中心噴射ノズルと、前記第1のガス分配部間の空間に延設された延長噴射ノズルと、前記中心噴射ノズルと前記延長噴射ノズルに連通された延長流路と、を備える。   In the substrate processing apparatus according to the present invention, the second gas distribution unit extends in a space between a central injection nozzle located in a central region of the first gas distribution unit and the first gas distribution unit. An extended injection nozzle; and an extension flow path connected to the central injection nozzle and the extended injection nozzle.

本発明による基板処理装置において、前記第2のガス分配部の下側領域に位置して前記第2のガス分配部からのプロセスガスを基板に向かって噴射する流路切換装置を備える。   The substrate processing apparatus according to the present invention includes a flow path switching device that is located in a lower region of the second gas distribution unit and injects a process gas from the second gas distribution unit toward the substrate.

本発明による基板処理装置において、前記流路切換装置は、その一部が複数の第1のガス分配部にそれぞれ連結され、複数の第1のガス分配部の中心に位置する固定板と、前記固定板の中心領域から前記基板載置手段に向かって延設された延長流路と、前記延長流路の先端領域に設けられた流路切換ノズルと、を備える。   In the substrate processing apparatus according to the present invention, a part of the flow path switching device is connected to each of the plurality of first gas distribution units, and the fixing plate is located at the center of the plurality of first gas distribution units; An extension channel extending from the central region of the fixed plate toward the substrate mounting means; and a channel switching nozzle provided in a tip region of the extension channel.

本発明による基板処理装置において、前記第2のガス分配部から噴射されるプロセスガスを加熱する加熱手段または前記第2のガス分配部から噴射されるプロセスガスをプラズマを用いてイオン化させるためのプラズマ発生装置を備える。   In the substrate processing apparatus according to the present invention, the heating means for heating the process gas ejected from the second gas distribution unit or the plasma for ionizing the process gas ejected from the second gas distribution unit using plasma. A generator is provided.

本発明による基板処理装置において、前記基板載置手段の上に設けられて前記第1の噴射手段間の前記第2の噴射手段の下側に挿入される突出部をさらに備える。   The substrate processing apparatus according to the present invention further includes a protruding portion that is provided on the substrate mounting means and is inserted below the second ejecting means between the first ejecting means.

本発明の実施形態によるガス分配装置を備える基板処理装置は、下記の如き効果がある。   The substrate processing apparatus including the gas distribution apparatus according to the embodiment of the present invention has the following effects.

まず、2種のプロセスガスを独立して同時に噴射し、3枚のガス分配板から構成されるガス分配板において、プロセスガスを基板の上に噴射するノズルを備えるガス分配板に冷媒が流動する空間を設けることにより、プロセスガスの分解による異物の発生を防止し、ガス分配装置の熱変形を防止することができる。2枚のガス分配板は掘削または板材加工により作製し、ノズルを有するガス分配板だけをろう付け方法を用いて作製することにより、単純化した構造を実現し、且つ、作製コストを節減することができる。   First, two types of process gases are injected simultaneously and independently, and in the gas distribution plate composed of three gas distribution plates, the refrigerant flows through the gas distribution plate having a nozzle for injecting the process gas onto the substrate. By providing the space, it is possible to prevent generation of foreign substances due to decomposition of the process gas and to prevent thermal deformation of the gas distribution device. Two gas distribution plates are manufactured by excavation or plate material processing, and only a gas distribution plate having nozzles is manufactured using a brazing method, thereby realizing a simplified structure and reducing manufacturing costs. Can do.

そして、ノズルを有するガス分配板に温度測定器を設けて、ろう付け方法による加工中または基板処理プロセス中にガス分配板が適正温度以上に昇温する場合に、加工作業または基板処理プロセスを中断する信号を与える。それゆえ、中断信号により作業または基板処理プロセスを自動的に中断することができるため、作製プロセスまたは基板処理プロセス中に発生する問題を予防することができる。   A temperature measuring device is installed on the gas distribution plate with nozzles, and the processing operation or substrate processing process is interrupted when the temperature of the gas distribution plate rises above the appropriate temperature during processing by the brazing method or substrate processing process. Give a signal to do. Therefore, since the operation or the substrate processing process can be automatically interrupted by the interruption signal, problems occurring during the manufacturing process or the substrate processing process can be prevented.

また、高い分解温度を有するプロセスガスを基板間の空間に噴射することにより、基板の上に直接的に噴射する場合よりも基板に達する時間が延び、その分だけプロセスチャンバーの内部において予熱される時間が延びて高い分解温度を有するプロセスガスの分解が増大する。それにより、プロセスガスの使用量を低減することができ、薄膜蒸着効率を上げることができる。   Also, by injecting a process gas having a high decomposition temperature into the space between the substrates, the time to reach the substrate is longer than in the case of injecting directly onto the substrate, and the heat is preheated in the process chamber accordingly. Increasing time increases the decomposition of process gases having high decomposition temperatures. Thereby, the usage-amount of process gas can be reduced and thin film vapor deposition efficiency can be raised.

さらに、複数のプロセスガスのうち高い分解温度を有するプロセスガスを冷却機能を有する噴射装置の他に、この噴射装置の周辺領域を介して噴射することにより高い分解温度を有するプロセスガスを冷却させることなくプロセスチャンバーの内部(すなわち、基板)に噴射することができる。   Furthermore, the process gas having a high decomposition temperature is cooled by injecting the process gas having a high decomposition temperature among the plurality of process gases through the peripheral region of the injection apparatus in addition to the injection apparatus having a cooling function. Without being injected into the process chamber (ie, the substrate).

さらにまた、高い分解温度を有するプロセスガスを複数の基板が載置された基板載置手段の中心部上側のチャンバー蓋領域、すなわち、ガス噴射領域のうちその温度が相対的に高めの領域に噴射することにより、プロセスガスの予熱を通じてその使用量を低減することができるだけではなく、薄膜蒸着効率を上げることができる。   Furthermore, a process gas having a high decomposition temperature is injected into the chamber lid region above the center of the substrate mounting means on which a plurality of substrates are mounted, that is, a region having a relatively high temperature in the gas injection region. By doing so, not only can the amount of use be reduced through preheating of the process gas, but also the efficiency of thin film deposition can be increased.

さらにまた、別途の流路切換装置を高い分解温度を有するプロセスガスが噴射される領域に配設して噴射されるプロセスガスを基板に向かわせることができ、且つ、基板へのプロセスガスの量を均一にすることができる。   Furthermore, a separate flow path switching device can be arranged in a region where a process gas having a high decomposition temperature is injected, and the injected process gas can be directed to the substrate, and the amount of the process gas to the substrate Can be made uniform.

さらにまた、本発明は、ガス分配装置の第2のガス分配部を複数に分割し、これらを結合および分解可能にすることにより、プロセスチャンバーの大型化に伴って大型化されるガス分配装置の作製を一層容易に行うことができる。   Furthermore, the present invention provides a gas distribution apparatus that is increased in size with an increase in the size of a process chamber by dividing the second gas distribution section of the gas distribution apparatus into a plurality of parts and making them connectable and disassembling. Fabrication can be performed more easily.

本発明の第1の実施形態による基板処理装置の断面図である。1 is a cross-sectional view of a substrate processing apparatus according to a first embodiment of the present invention. 本発明の第1の実施形態による基板処理装置のガス分配装置の詳細断面図である。1 is a detailed sectional view of a gas distribution device of a substrate processing apparatus according to a first embodiment of the present invention. 本発明の第1の実施形態による基板処理装置のガス分配装置の分解斜視図である。It is a disassembled perspective view of the gas distribution apparatus of the substrate processing apparatus by the 1st Embodiment of this invention. 本発明の第1の実施形態による第3のガス分配板の製造斜視図である。It is a manufacture perspective view of the 3rd gas distribution board by a 1st embodiment of the present invention. 本発明の第1の実施形態による第3のガス分配板の製造斜視図である。It is a manufacture perspective view of the 3rd gas distribution board by a 1st embodiment of the present invention. 本発明の第1の実施形態による第3のガス分配板の製造斜視図である。It is a manufacture perspective view of the 3rd gas distribution board by a 1st embodiment of the present invention. 本発明の第1の実施形態による第2のガス分配板の平面図である。It is a top view of the 2nd gas distribution board by a 1st embodiment of the present invention. 本発明の第2の実施形態によるガス分配装置の分解斜視図である。It is a disassembled perspective view of the gas distribution apparatus by the 2nd Embodiment of this invention. 本発明の第2の実施形態による第3のガス分配板の製造斜視図である。It is a manufacture perspective view of the 3rd gas distribution board by a 2nd embodiment of the present invention. 本発明の第2の実施形態による第3のガス分配板の製造斜視図である。It is a manufacture perspective view of the 3rd gas distribution board by a 2nd embodiment of the present invention. 本発明の第2の実施形態による第3のガス分配板の製造斜視図である。It is a manufacture perspective view of the 3rd gas distribution board by a 2nd embodiment of the present invention. 本発明の第3の実施形態によるガス分配装置の分解斜視図である。It is a disassembled perspective view of the gas distribution apparatus by the 3rd Embodiment of this invention. 本発明の第3の実施形態による基板載置手段の平面図である。It is a top view of the board | substrate mounting means by the 3rd Embodiment of this invention. 本発明の第4の実施形態による基板処理装置の断面図である。It is sectional drawing of the substrate processing apparatus by the 4th Embodiment of this invention. 本発明の第4の実施形態による基板処理装置の平面図である。It is a top view of the substrate processing apparatus by the 4th Embodiment of this invention. 本発明の第4の実施形態による基板処理装置のガス分配装置の断面図である。It is sectional drawing of the gas distribution apparatus of the substrate processing apparatus by the 4th Embodiment of this invention. 本発明の第5の実施形態による基板処理装置のガス分配装置の平面図である。It is a top view of the gas distribution apparatus of the substrate processing apparatus by the 5th Embodiment of this invention. 本発明の第6実施形態によるガス分配装置の平面図である。It is a top view of the gas distribution apparatus by 6th Embodiment of this invention. 本発明の第6実施形態によるガス分配装置の分離斜視図である。It is a separation perspective view of a gas distribution device by a 6th embodiment of the present invention. 本発明の第6実施形態によるガス分配装置の結合断面図である。It is a joint sectional view of a gas distribution device by a 6th embodiment of the present invention. 本発明の第7実施形態によるガス分配装置の平面図である。It is a top view of the gas distribution apparatus by 7th Embodiment of this invention. 本発明の他の実施形態による基板処理装置のガス分配装置の断面図である。It is sectional drawing of the gas distribution apparatus of the substrate processing apparatus by other embodiment of this invention. 本発明の他の実施形態による基板処理装置のガス分配装置の断面図である。It is sectional drawing of the gas distribution apparatus of the substrate processing apparatus by other embodiment of this invention. 本発明の他の実施形態による基板処理装置のガス分配装置の断面図である。It is sectional drawing of the gas distribution apparatus of the substrate processing apparatus by other embodiment of this invention. 本発明の他の実施形態による基板処理装置のガス分配装置の断面図である。It is sectional drawing of the gas distribution apparatus of the substrate processing apparatus by other embodiment of this invention. 本発明の他の実施形態による基板処理装置のガス分配装置の断面図である。It is sectional drawing of the gas distribution apparatus of the substrate processing apparatus by other embodiment of this invention. 本発明の他の実施形態による基板処理装置のガス分配装置の断面図である。It is sectional drawing of the gas distribution apparatus of the substrate processing apparatus by other embodiment of this invention.

以下、添付図面に基づき、本発明の実施形態を詳述する。しかしながら、本発明は後述する実施形態に限定されるものではなく、相異なる形態で実現され、単にこれらの実施形態は本発明の開示を完全たるものとし、当業者に発明の範疇を完全に知らせるために提供されるものである。図中、同じ符号は同じ構成要素を示す。   Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the embodiments described below, but can be realized in different forms, and these embodiments are merely intended to complete the disclosure of the present invention and fully inform the skilled person of the scope of the invention. Is provided for. In the drawings, the same reference numerals indicate the same components.

図1は、本発明の第1の実施形態による基板処理装置の断面図であり、図2および図3は、本発明の第1の実施形態による基板処理装置のガス分配装置の詳細断面図および分解斜視図である。図4Aから図4Cは、本発明の第1の実施形態による第3のガス分配板の製造斜視図であり、図5は、本発明の第1の実施形態による第2のガス分配板の平面図である。   FIG. 1 is a cross-sectional view of a substrate processing apparatus according to a first embodiment of the present invention. FIGS. 2 and 3 are detailed cross-sectional views of a gas distribution device of a substrate processing apparatus according to the first embodiment of the present invention. It is a disassembled perspective view. 4A to 4C are manufacturing perspective views of the third gas distribution plate according to the first embodiment of the present invention, and FIG. 5 is a plan view of the second gas distribution plate according to the first embodiment of the present invention. FIG.

図1から図5を参照すると、基板処理装置110は、反応空間を提供するプロセスチャンバー112と、プロセスチャンバー112の内部の上部に位置し、異なるプロセスガスを供給するガス分配装置114と、ガス分配装置114と向かい合い、基板116が載置される基板載置手段118と、基板116を出入するための基板出入口120および反応空間のプロセスガスおよび副産物を排出するための排出口122を備える。   Referring to FIGS. 1 to 5, the substrate processing apparatus 110 includes a process chamber 112 that provides a reaction space, a gas distribution apparatus 114 that is located in an upper part of the process chamber 112 and supplies different process gases, and a gas distribution apparatus. Opposite to the apparatus 114, a substrate mounting means 118 on which the substrate 116 is placed, a substrate inlet / outlet 120 for entering / exiting the substrate 116, and an outlet 122 for discharging process gas and by-products in the reaction space are provided.

ガス分配装置114はRF電源124と連結され、ガス分配装置114とRF電源124との間にはインピーダンス整合のための整合器126が配設されていてもよい。しかしながら、ガス分配装置114がRF電源124と連結されることなく、単にプロセスガスを反応空間に供給して成膜するようなCVD(Chemical Vapor Deposition)方法を使用することができる。   The gas distribution device 114 may be connected to the RF power source 124, and a matching device 126 for impedance matching may be disposed between the gas distribution device 114 and the RF power source 124. However, it is possible to use a CVD (Chemical Vapor Deposition) method in which the gas distributor 114 is not connected to the RF power source 124 and a process gas is simply supplied to the reaction space to form a film.

プロセスチャンバー112は、チャンバー胴体128と、チャンバー胴体110に着脱自在に結合されて反応空間を封止するチャンバー蓋130と、を備える。チャンバー胴体110は、上部が開放された円筒状または多角形に作製され、チャンバー蓋130は、チャンバー胴体110と対応する形状を有するプレート状に作製される。図示はしないが、チャンバー蓋130とチャンバー胴体110は封止部材、例えば、Oリングまたはガスケットを介して固定部材により結合させる。また、図2に示すように、反応空間において基板116を処理するときに、反応空間の温度がガス分配装置114と結合されるチャンバー蓋130に伝達されてチャンバー蓋130の温度が上昇することを防止するために、温度調節手段として、冷媒が冷媒循環装置(図示せず)により循環する流路146を設けてもよい。冷媒がチャンバー蓋130に内設される流路146を循環しながら反応空間の昇温に伴ってチャンバー蓋130の温度が上昇することを抑制し、さらに、チャンバー蓋130の上部または隣設される周辺装置が昇温することを防止することができる。   The process chamber 112 includes a chamber body 128 and a chamber lid 130 that is detachably coupled to the chamber body 110 and seals the reaction space. The chamber body 110 is formed in a cylindrical shape or a polygonal shape with an open top, and the chamber lid 130 is formed in a plate shape having a shape corresponding to the chamber body 110. Although not shown, the chamber lid 130 and the chamber body 110 are coupled by a fixing member via a sealing member, for example, an O-ring or a gasket. Further, as shown in FIG. 2, when the substrate 116 is processed in the reaction space, the temperature of the reaction space is transmitted to the chamber lid 130 coupled to the gas distributor 114, and the temperature of the chamber lid 130 rises. In order to prevent this, a flow path 146 through which the refrigerant circulates by a refrigerant circulation device (not shown) may be provided as temperature adjusting means. While the refrigerant circulates in the flow path 146 provided in the chamber lid 130, the temperature of the chamber lid 130 is prevented from rising as the reaction space rises, and is further provided above or adjacent to the chamber lid 130. It is possible to prevent the peripheral device from rising in temperature.

基板載置手段118は、図1に示すように、支持台132により支持され、支持台132により昇降および回転する。支持台132は駆動力を提供する駆動手段131と連結される。支持台132と駆動手段131との間には、支持台132が昇降および回転するときに気密を維持するための蛇腹(図示せず)および回転封止手段(図示せず)としてのマグネチックシールが連結される。基板載置手段118は基板116と同じ形状に作製される。図1には、1枚の基板116が載置される基板載置手段118が示されているが、複数の基板116が載置するために、基板載置手段118は基板116が載置される複数のサセプターと、複数のサセプターのそれぞれが配設され、複数の挿入口を有するディスクとで構成されていてもよい。   As shown in FIG. 1, the substrate mounting means 118 is supported by a support base 132 and is moved up and down by the support base 132. The support table 132 is connected to a driving unit 131 that provides a driving force. Between the support base 132 and the driving means 131, a bellows (not shown) for maintaining airtightness when the support base 132 moves up and down and rotates, and a magnetic seal as a rotation sealing means (not shown). Are concatenated. The substrate mounting means 118 is produced in the same shape as the substrate 116. FIG. 1 shows a substrate mounting means 118 on which a single substrate 116 is placed. In order to place a plurality of substrates 116, the substrate placing means 118 has a substrate 116 placed thereon. A plurality of susceptors, and a plurality of susceptors may be provided, and the disc may have a plurality of insertion openings.

ガス分配装置114は、図2および図3に示すように、第1のプロセスガスを供給されて収容し、第1のプロセスガスを通過させる第1のガス分配板134と、第2のプロセスガスを供給されて収容し、第1及び第2のプロセスガスを通過させる第2のガス分配板136と、第1及び第2のプロセスガスを基板載置台118に向かって噴射する第3のガス分配板138とを備える。   As shown in FIGS. 2 and 3, the gas distribution device 114 is supplied with a first process gas and contains the first gas distribution plate 134 that allows the first process gas to pass therethrough, and the second process gas. The second gas distribution plate 136 through which the first and second process gases are supplied and the third gas distribution for injecting the first and second process gases toward the substrate mounting table 118 A plate 138.

第1のガス分配板134はチャンバー蓋130の中央部を貫通して第1のプロセスガスを導入する第1のガス導入管134aと、第1のプロセスガスを収容する第1の空間160を有する第1のハウジング134bと、第1のガス導入管134aから供給される第1のプロセスガスを第1のハウジング134bの内部に均一に分布させるための分布手段としてのバッフル134cと、第1のハウジング134bの底面に配設され、第1のプロセスガスを通過させるための複数の第1の通過孔134dとを備える。   The first gas distribution plate 134 has a first gas introduction pipe 134 a that introduces a first process gas through the center of the chamber lid 130, and a first space 160 that accommodates the first process gas. A first housing 134b, a baffle 134c as distribution means for uniformly distributing the first process gas supplied from the first gas introduction pipe 134a inside the first housing 134b, and the first housing A plurality of first passage holes 134d for allowing the first process gas to pass therethrough.

第2のガス分配板136は、チャンバー蓋130を貫通して第2のプロセスガスを導入する第2のガス導入管136aと、第2のプロセスガスを収容する第2の空間162を有する第2のハウジング136bと、第2のハウジング136bの側面空間を隔壁140により区画し、第2のガス導入管136aと連結されて第2のプロセスガスを第2の空間162に供給する前に第2のプロセスガスを収容するバッファ空間136cと、複数の第1の通過孔134dと連通されて第1のプロセスガスを通過させる複数の第2の通過孔136dと、第2のハウジング136bの底面に配設され、第2のプロセスガスを通過させる複数の第3の通過孔136eと、を備える。バッファ空間136cは第2のハウジング136bの側面に配設され、第2のプロセスガスを第2の空間162に均一に供給するために隔壁140には供給口142が配設される。隔壁140は第2のハウジング136bの側壁に沿って側壁と所定の間隔をあけて側壁の内部に形成される。隔壁140と第2のハウジング136bの側壁との間にバッファ空間136cが形成され、バッファ空間136cは第2のガス導入管から供給される第2のプロセスガスを収容する。バッファ空間136cはガス分配装置114の形状に応じて円形または多角形のリング形状を呈する。しかしながら、第2のガス導入管136aが複数配設され、それぞれの第2のガス導入管136aが第2のハウジング136bの側面と連結される場合には、互いに遮蔽される複数のバッファ空間136cが形成されてもよい。また、複数のバッファ空間136cは互いに連通可能である。換言すると、第2のガス分配板136が矩形である場合、それぞれの辺に1本の第2のガス導入管136aとバッファ空間136cが設けられていてもよい。そして、隔壁140に配設される供給口142は連設され、同じ高さを有するスリット状または断続的に延びて孤立パターンに形成される複数の開口から形成されてもよい。   The second gas distribution plate 136 has a second gas introduction pipe 136a that introduces the second process gas through the chamber lid 130, and a second space 162 that contains the second process gas. The side walls of the housing 136b and the second housing 136b are partitioned by the partition wall 140 and connected to the second gas introduction pipe 136a before the second process gas is supplied to the second space 162. A buffer space 136c that accommodates the process gas, a plurality of second passage holes 136d that communicate with the plurality of first passage holes 134d and allow the first process gas to pass through, and a bottom surface of the second housing 136b. And a plurality of third passage holes 136e for allowing the second process gas to pass therethrough. The buffer space 136c is disposed on the side surface of the second housing 136b, and a supply port 142 is disposed in the partition wall 140 in order to uniformly supply the second process gas to the second space 162. The partition wall 140 is formed inside the side wall at a predetermined distance from the side wall along the side wall of the second housing 136b. A buffer space 136c is formed between the partition wall 140 and the side wall of the second housing 136b, and the buffer space 136c accommodates the second process gas supplied from the second gas introduction pipe. The buffer space 136 c has a circular or polygonal ring shape according to the shape of the gas distribution device 114. However, when a plurality of second gas introduction pipes 136a are arranged and each second gas introduction pipe 136a is connected to a side surface of the second housing 136b, a plurality of buffer spaces 136c that are shielded from each other are formed. It may be formed. The plurality of buffer spaces 136c can communicate with each other. In other words, when the second gas distribution plate 136 is rectangular, one second gas introduction pipe 136a and a buffer space 136c may be provided on each side. The supply port 142 disposed in the partition wall 140 may be continuously formed, and may be formed from a plurality of openings formed in a slit shape having the same height or intermittently extending to form an isolated pattern.

第3のガス分配板138は、冷媒が流動する第3の空間164を有する第3のハウジング138aと、第3のハウジング138aの内部に形成され、複数の第2の通過孔136dのそれぞれと連通されると共に、第1のプロセスガスを噴射する複数の第1のノズル138bと、複数の第3の通過孔136eと連通され、第2のプロセスガスを噴射する複数の第2のノズル138cおよび第3のハウジング138aと連結されて冷媒を循環させる冷媒流動管152とを備える。冷媒流動管152は、第3の空間164に冷媒を供給する冷媒供給管と、第3の空間164の冷媒を排出する冷媒排出管と、を備える。冷媒流動管152は、チャンバー蓋130を貫通してプロセスチャンバー112の内部に引き込まれて第3のハウジング138aの側面と連結される。冷媒は冷媒循環装置(図示せず)に循環する。   The third gas distribution plate 138 is formed inside the third housing 138a having the third space 164 in which the refrigerant flows and the third housing 138a, and communicates with each of the plurality of second passage holes 136d. And a plurality of first nozzles 138b for injecting a first process gas, a plurality of second nozzles 138c for injecting a second process gas and a plurality of second nozzles 138c, which are communicated with a plurality of third passage holes 136e 3 and a refrigerant flow pipe 152 that is connected to the housing 138a and circulates the refrigerant. The refrigerant flow pipe 152 includes a refrigerant supply pipe that supplies a refrigerant to the third space 164 and a refrigerant discharge pipe that discharges the refrigerant in the third space 164. The refrigerant flow pipe 152 passes through the chamber lid 130 and is drawn into the process chamber 112 to be connected to the side surface of the third housing 138a. The refrigerant circulates in a refrigerant circulation device (not shown).

基板処理装置110において約1000℃以上の高温下で基板116の上に薄膜を蒸着するプロセスを長時間行うと、ガス分配装置114が耐熱温度以上に過熱することがある。特に、基板載置手段118と向かい合うガス分配装置114の第3のガス分配板138において過熱現象が激しく発生する。このため、ガス分配装置114の過熱を防止するための冷却装置として、第3のガス分配板138の内部に冷媒が循環する冷媒循環装置を設ける。そして、冷媒循環装置において異常が発生する場合に備えて、第3のガス分配板138に第1の熱電対144を配設してガス分配板114の温度を測定し、耐熱温度以上に過熱した場合にプロセスチャンバー112の加熱を中止する。なお、第2のガス分配板136に第2の熱電対(図示せず)を配設してもよい。第3のガス分配板138および第2のガス分配板136のそれぞれの温度を第1及び第2の熱電対により測定し、第2及び第3のガス分配板136、138の温度を比較して冷媒の温度を調節する。第2及び第3のガス分配板136、138の温度差が大きくなると、熱膨張の差に起因して、互いに連通される複数の第2の通過孔136dと複数の第1のノズル138b、および、複数の第3の通過孔136eと複数の第2のノズル138cが誤整列する場合がある。このため、第2及び第3のガス分配板136、138の間に温度差が発生しないように冷媒の温度を調節することにより、熱膨張に起因する複数の第2の通過孔136dと複数の第1のノズル138b、および、複数の第3の通過孔136eと複数の第2のノズル138cが誤整列することを防止することができる。   If the process of depositing a thin film on the substrate 116 is performed at a high temperature of about 1000 ° C. or higher in the substrate processing apparatus 110 for a long time, the gas distribution apparatus 114 may overheat to a heat resistant temperature or higher. In particular, the overheating phenomenon occurs severely in the third gas distribution plate 138 of the gas distribution device 114 facing the substrate mounting means 118. For this reason, as a cooling device for preventing overheating of the gas distribution device 114, a refrigerant circulation device for circulating the refrigerant is provided inside the third gas distribution plate 138. Then, in preparation for the occurrence of an abnormality in the refrigerant circulation device, the first thermocouple 144 is disposed on the third gas distribution plate 138, the temperature of the gas distribution plate 114 is measured, and the temperature is overheated to the heat resistant temperature or more. In some cases, heating of the process chamber 112 is stopped. Note that a second thermocouple (not shown) may be disposed on the second gas distribution plate 136. The respective temperatures of the third gas distribution plate 138 and the second gas distribution plate 136 are measured by the first and second thermocouples, and the temperatures of the second and third gas distribution plates 136 and 138 are compared. Adjust the refrigerant temperature. When the temperature difference between the second and third gas distribution plates 136 and 138 increases, due to the difference in thermal expansion, the plurality of second passage holes 136d and the plurality of first nozzles 138b communicated with each other, and The plurality of third passage holes 136e and the plurality of second nozzles 138c may be misaligned. For this reason, by adjusting the temperature of the refrigerant so that a temperature difference does not occur between the second and third gas distribution plates 136, 138, the plurality of second passage holes 136d caused by thermal expansion and the plurality of second passage holes 136d, It is possible to prevent the first nozzle 138b and the plurality of third passage holes 136e and the plurality of second nozzles 138c from being misaligned.

一方、図2および図3に示すように、ガス分配装置114の第1のガス分配板134はチャンバー蓋130に固定され、チャンバー蓋130と第1のガス分配板134との間に第1のガス導入管134aを介して導入される第1のプロセスガスを収容する第1の空間160が形成される。第1のガス分配板134と対応するチャンバー蓋130には陥凹部148が形成され、陥凹部148と第1のハウジング134bにより形成される第1の空間160との間にはバッフル134cが配設される。バッフル134cは、プレート149とプレート149を穿孔してなる複数の供給孔150から構成され、陥凹部148の第1のプロセスガスを第1の空間160に均一に供給するための機能をする。できる限り、陥凹部148内の第1のプロセスガスを第1の空間160に均一に供給するために、複数の供給孔150のいずれか一つでも第1のガス導入管134aと一致してはならない。換言すると、第1のガス導入管134aを介して供給される第1のプロセスガスがバッフル134cにより反射され、陥凹部148に収容された後に複数の供給孔150を介して第1の空間160に供給されるようにする。   On the other hand, as shown in FIGS. 2 and 3, the first gas distribution plate 134 of the gas distribution device 114 is fixed to the chamber lid 130, and the first gas distribution plate 134 is interposed between the chamber lid 130 and the first gas distribution plate 134. A first space 160 for accommodating a first process gas introduced through the gas introduction pipe 134a is formed. A recess 148 is formed in the chamber lid 130 corresponding to the first gas distribution plate 134, and a baffle 134 c is disposed between the recess 148 and the first space 160 formed by the first housing 134 b. Is done. The baffle 134c includes a plate 149 and a plurality of supply holes 150 formed by perforating the plate 149, and functions to uniformly supply the first process gas in the recess 148 to the first space 160. In order to uniformly supply the first process gas in the recess 148 to the first space 160 as much as possible, any one of the plurality of supply holes 150 may coincide with the first gas introduction pipe 134a. Don't be. In other words, the first process gas supplied through the first gas introduction pipe 134 a is reflected by the baffle 134 c and accommodated in the recess 148, and then enters the first space 160 through the plurality of supply holes 150. To be supplied.

第1のガス分配板134は加工し易いアルミニウムを用いて作製する。バルクアルミニウムを用いて内部を掘削して第1のプロセスガスを収容する第1の空間160を形成し、第1の空間160の底面を穿孔して第1のプロセスガスを通過させるための複数の第1の通過孔134dを形成する。バルクアルミニウムを使用することなく、板材のアルミニウムを溶接などの方法により互いに結合させ、下部を穿孔して第1のガス分配板134を形成してもよい。第1のハウジング134bの側壁は、少なくとも第2のガス分配板136において第2のハウジング136bに設けられるバッファ空間136cを覆蓋できる程度の厚さを有するように加工する。第1のハウジング134bの側壁がバッファ空間136cを覆うことできる程度の厚さを有する理由は、バッファ空間136cと連結される第2のガス導入管136aがチャンバー蓋130および第1のハウジング134bの側壁を介して引き込まれるためである。このため、第1のハウジング134bの側壁の厚さは、第2のハウジング136bの側壁およびバッファ空間136cの幅を合わせた厚さと同じ厚さとなるように加工することが好ましい。   The first gas distribution plate 134 is manufactured using aluminum that is easy to process. A plurality of holes for excavating the interior using bulk aluminum to form a first space 160 for containing the first process gas and drilling a bottom surface of the first space 160 to allow the first process gas to pass therethrough. A first passage hole 134d is formed. The first gas distribution plate 134 may be formed by bonding the aluminum of the plate materials to each other by a method such as welding without using bulk aluminum and drilling the lower portion. The side wall of the first housing 134b is processed so that at least the second gas distribution plate 136 has a thickness that can cover the buffer space 136c provided in the second housing 136b. The reason why the side wall of the first housing 134b is thick enough to cover the buffer space 136c is that the second gas introduction pipe 136a connected to the buffer space 136c is provided on the side wall of the chamber lid 130 and the first housing 134b. It is because it is drawn through. For this reason, it is preferable to process the thickness of the side wall of the first housing 134b so as to be the same as the combined thickness of the side wall of the second housing 136b and the buffer space 136c.

第1のガス分配板134の複数の第1の通過孔134dと第2のガス分配板136の複数の第2の通過孔136dが連通されるように位置合わせした後、第2のガス分配板136を第1のガス分配板134に結合させる。第2のガス分配板136は加工し易いアルミニウムを用いて作製する。バルクアルミニウムに上下を貫通する複数の第2の通過孔136dを形成し、バルクアルミニウムの両側と複数の第2の通過孔136dとの間を掘削してバッファ空間136cと第2のプロセスガスを収容する第2の空間162を形成する。そして、複数の第2の通過孔136dの間を穿孔して複数の第3の通過孔136eを形成する。   After aligning the plurality of first passage holes 134d of the first gas distribution plate 134 and the plurality of second passage holes 136d of the second gas distribution plate 136 to communicate with each other, the second gas distribution plate 136 is coupled to the first gas distribution plate 134. The second gas distribution plate 136 is made of aluminum that is easy to process. A plurality of second passage holes 136d penetrating vertically are formed in the bulk aluminum, and a buffer space 136c and a second process gas are accommodated by excavating between both sides of the bulk aluminum and the plurality of second passage holes 136d. A second space 162 is formed. Then, a plurality of third passage holes 136e are formed by drilling between the plurality of second passage holes 136d.

図3および図5に示すように、バルクアルミニウムを底面が一定の厚さを維持するように掘削して第2の通過孔136dが内蔵される複数のピラー166を形成する。複数のピラー166の下部は複数の第3の通過孔136eが形成される第2のハウジング136bの底面を構成する。複数のピラー166は孤立パターンに形成され、複数のピラー166の間は掘削されて互いに連通される第2の空間162を形成する。複数のピラー166のそれぞれは第2の通過孔136dと同じ形状である円筒状に形成してもよいが、加工し易さを考慮して、図5に示すように、矩形状に形成してもよい。複数のピラー166のそれぞれを矩形状に形成する場合、第2のプロセスガスが円滑に流動するために周縁部を曲面処理することができる。バルクアルミニウムの掘削により第2の空間162が形成される第2のハウジング136bの側壁と、バッファ空間136cを区画する隔壁140が形成される。隔壁140を加工して隔壁の上部に第2のプロセスガスが供給される供給孔142を形成する。図3および図5には1本のピラー166に1本の第2の通過孔136dが内蔵されることを示しているが、必要に応じて、1本のピラー166に2以上の第2の通過孔136dを内蔵してもよい。しかしながら、1本のピラー166に2以上の第2の通過孔136dを内蔵させる場合には相対的に第3の通過孔136eが第2の通過孔136dよりも少数設けられるため複数の第1及び第2の通過孔134d、136dを通過する第2のプロセスガスが第1のプロセスガスよりも多い流量にて供給される場合に好適である。このため、第1及び第2のプロセスガスの供給比率を考慮して、1本のピラー166に内蔵される第2の通過孔136dの数を調節して設計する。   As shown in FIG. 3 and FIG. 5, a plurality of pillars 166 in which the second passage holes 136 d are built are formed by excavating bulk aluminum so that the bottom surface maintains a constant thickness. Lower portions of the plurality of pillars 166 constitute a bottom surface of the second housing 136b in which a plurality of third passage holes 136e are formed. The plurality of pillars 166 are formed in an isolated pattern, and a plurality of pillars 166 are excavated to form a second space 162 that communicates with each other. Each of the plurality of pillars 166 may be formed in a cylindrical shape having the same shape as the second passage hole 136d. However, in consideration of ease of processing, it is formed in a rectangular shape as shown in FIG. Also good. When each of the plurality of pillars 166 is formed in a rectangular shape, the peripheral edge portion can be subjected to curved surface processing so that the second process gas smoothly flows. A side wall of the second housing 136b in which the second space 162 is formed by excavation of bulk aluminum and a partition wall 140 that partitions the buffer space 136c are formed. The partition 140 is processed to form a supply hole 142 for supplying the second process gas to the top of the partition. 3 and 5 show that one second passage hole 136d is built in one pillar 166, but two or more second pillars 166 may be included in one pillar 166 as necessary. The passage hole 136d may be built in. However, when two or more second passage holes 136d are built in one pillar 166, a relatively small number of third passage holes 136e are provided rather than the second passage holes 136d, so that the plurality of first and second passage holes 136d are provided. This is suitable when the second process gas passing through the second passage holes 134d and 136d is supplied at a higher flow rate than the first process gas. Therefore, in consideration of the supply ratio of the first and second process gases, the number of second passage holes 136d built in one pillar 166 is adjusted and designed.

第1のガス分配板134の複数の第1の通過孔134dと第2のガス分配板136の複数の第2の通過孔136dが連通されるように位置合わせし、第2のガス分配板136を第1のガス分配板134に結合させると、第1のガス分配板134の第1のハウジング134bの下部と複数のピラー166の上部が面接触する。このため、第1のプロセスガスは気密を維持しながら第1のガス分配板134の複数の第1の通過孔134dを介して第2のガス分配板136の複数の第2の通過孔136dに伝達される。ここで、1本の第3の通過孔136eに隣接する第2の通過孔136dの距離はいずれも同じである。換言すると、4本の第2の通過孔136dの中心に第3の通過孔136eが位置する。第2のガス分配板136を第1のガス分配板134に結合したとき、第2のガス導入管136aはチャンバー蓋130と第1のガス分配板134を介してバッファ空間136cに引き込まれる。バッファ空間136cと第2の空間162の加工により、バッファ空間136cと第2の空間162との間には隔壁140が形成され、バッファ空間136cに収容された第2のプロセスガスは供給孔142を介して第2の空間162に供給される。   The second gas distribution plate 136 is aligned so that the plurality of first passage holes 134d of the first gas distribution plate 134 and the plurality of second passage holes 136d of the second gas distribution plate 136 communicate with each other. Is coupled to the first gas distribution plate 134, the lower portion of the first housing 134b of the first gas distribution plate 134 and the upper portions of the plurality of pillars 166 are in surface contact. For this reason, the first process gas passes through the plurality of first passage holes 134d of the first gas distribution plate 134 to the plurality of second passage holes 136d of the second gas distribution plate 136 while maintaining hermeticity. Communicated. Here, the distance between the second passage holes 136d adjacent to one third passage hole 136e is the same. In other words, the third passage hole 136e is located at the center of the four second passage holes 136d. When the second gas distribution plate 136 is coupled to the first gas distribution plate 134, the second gas introduction pipe 136 a is drawn into the buffer space 136 c through the chamber lid 130 and the first gas distribution plate 134. By processing the buffer space 136c and the second space 162, a partition 140 is formed between the buffer space 136c and the second space 162, and the second process gas accommodated in the buffer space 136c passes through the supply holes 142. And supplied to the second space 162.

第2のガス分配板136の複数の第2及び第3の通過孔136d、136eのそれぞれが第3のガス分配板138の複数の第1及び第2のノズル138b、138cと連通するように、第3のガス分配板138を第2のガス分配板136に連結する。第3のガス分配板138は、強い耐熱性および耐腐食性を有するステンレス鋼またはアルミニウムを用いて作製する。第3のガス分配板138は、下記のプロセスにより作製される。まず、図4Aに示すように、ステンレス鋼の材料を使用する第1及び第2の板材170、172を用意し、複数の第1及び第2のノズル138b、138cに対応する複数の第1及び第2の開口174、176を穿孔する。次いで、図4Bに示すように、第1及び第2のプロセスガスを噴射するための複数の第1及び第2のノズル138b、138cとして使用するためのピン型の複数のチューブ178を用意した後、複数のチューブ178を複数の第1及び第2の開口174、176に嵌め込んで配列する。次いで、複数のチューブ178が配列された第1及び第2の板材170、172の上に溶加材を含むペースト180を塗布し、図4Cに示すように、ろう付け処理して複数のチューブ178を第1及び第2の板材170、172に結合させて第1及び第2のプロセスガスを噴射可能な複数の第1及び第2のノズル138b、138cを形成する。次いで、第3の空間164の外部に位置し、第1及び板材170からはみ出した複数のチューブ178を切り取った後、第1及び第2の板材170、172間の側面にステンレス鋼製の側面板材182を配列させ、溶接などの方法を用いて結合することにより冷媒が流動する第3の空間164を有する第3のハウジング138aを形成する。第3のハウジング138aの側面には、チャンバー蓋130を貫通してガス分配装置114の側面に引き込まれる冷媒流動管152が連結される。第3の冷媒の流動によりガス分配装置114を冷却させる。   The plurality of second and third passage holes 136d, 136e of the second gas distribution plate 136 communicate with the plurality of first and second nozzles 138b, 138c of the third gas distribution plate 138, respectively. The third gas distribution plate 138 is connected to the second gas distribution plate 136. The third gas distribution plate 138 is made of stainless steel or aluminum having strong heat resistance and corrosion resistance. The third gas distribution plate 138 is manufactured by the following process. First, as shown in FIG. 4A, first and second plate members 170, 172 using a stainless steel material are prepared, and a plurality of first and second nozzles 138b, 138c corresponding to the plurality of first and second nozzles 138b, 138c are prepared. The second openings 174, 176 are drilled. Next, as shown in FIG. 4B, after preparing a plurality of pin-type tubes 178 for use as a plurality of first and second nozzles 138b and 138c for injecting the first and second process gases. The plurality of tubes 178 are fitted into the plurality of first and second openings 174 and 176 and arranged. Next, a paste 180 containing a filler material is applied on the first and second plate members 170, 172 in which a plurality of tubes 178 are arranged, and brazed to form a plurality of tubes 178 as shown in FIG. 4C. Are coupled to the first and second plate members 170, 172 to form a plurality of first and second nozzles 138b, 138c capable of injecting the first and second process gases. Next, after a plurality of tubes 178 located outside the third space 164 and protruding from the first and plate members 170 are cut off, a side plate made of stainless steel is formed on the side surface between the first and second plate members 170 and 172. The third housing 138a having the third space 164 in which the refrigerant flows is formed by arranging 182 and joining them using a method such as welding. A refrigerant flow tube 152 that passes through the chamber lid 130 and is drawn into the side surface of the gas distribution device 114 is connected to the side surface of the third housing 138a. The gas distribution device 114 is cooled by the flow of the third refrigerant.

図4Bに示すように、複数の第1及び第2の開口174、176に嵌め込まれた複数のチューブ178は、第1及び第2の板材170、172の外部にはみ出し、溶加材を含むペーストが第1の板材170、172の上部に塗布される。換言すると、第1の板材170の上部に塗布されるペーストは第3の空間164の外部に位置し、第2の板材172の上部に塗布されるペーストは第3の空間164の内部に位置する。そして、図4Cに示すように、第3の空間164の外部に位置し、第1及び第2の板材170、172からはみ出した複数のチューブ178を切り取って第1及び第2の板材170、172と複数のチューブ178を面一させる。図4Aから図4Cには図示しないが、第1のまたは第2の板材170、172に温度測定手段、例えば、熱電対を配設して、ろう付け処理過程において測定された温度が適正温度を超える場合に作業を中断させることができる。ピンタイプの複数のチューブは第1及び第2の板材170、172と同じ材料を使用するが、必要に応じて、他の材料の使用も可能である。ろう付けは450°C以上の温度において貼合しようとする2つの母材を溶融点以下において溶加材を添加して貼合する方法であり、貼合対象の母材、溶加材を含むペーストの種類に応じてろう付けの温度が異なってくる。   As shown in FIG. 4B, the plurality of tubes 178 fitted in the plurality of first and second openings 174 and 176 protrudes outside the first and second plate members 170 and 172, and includes paste. Is applied on top of the first plate members 170, 172. In other words, the paste applied to the upper portion of the first plate member 170 is located outside the third space 164, and the paste applied to the upper portion of the second plate member 172 is located inside the third space 164. . Then, as shown in FIG. 4C, the plurality of tubes 178 that are located outside the third space 164 and protrude from the first and second plate members 170 and 172 are cut out, and the first and second plate members 170 and 172 are cut off. And the plurality of tubes 178 are flush with each other. Although not shown in FIGS. 4A to 4C, a temperature measuring means, for example, a thermocouple is provided on the first or second plate member 170, 172, and the temperature measured in the brazing process is set to an appropriate temperature. If it exceeds, work can be interrupted. The plurality of pin-type tubes use the same material as the first and second plate members 170 and 172, but other materials can be used as necessary. Brazing is a method in which two base materials to be bonded at a temperature of 450 ° C. or higher are bonded by adding a filler material at a melting point or lower, including a base material to be bonded and a filler material. The brazing temperature varies depending on the type of paste.

第2のガス分配板136の複数の第2の通過孔136dおよび複数の第3の通過孔136eのそれぞれが第3のガス分配板138の複数の第1及び第2のノズル138b、138cに連通されるように整列させ、第3のガス分配板138を第2のガス分配板136に結合させると、第2のガス分配板136の第2のハウジング136bの下部と第3のガス分配板138の第3のハウジング138aの上部が面接触する。このため、第1及び第2のガスが気密を維持しながら複数の第2及び第3の通過孔136d、136eと複数の第1及び第2のノズル138b、138cを介して基板載置手段118に噴射される。   Each of the plurality of second passage holes 136d and the plurality of third passage holes 136e of the second gas distribution plate 136 communicates with the plurality of first and second nozzles 138b and 138c of the third gas distribution plate 138. When the third gas distribution plate 138 is coupled to the second gas distribution plate 136, the lower portion of the second housing 136b of the second gas distribution plate 136 and the third gas distribution plate 138 are aligned. The upper portion of the third housing 138a is in surface contact. Therefore, the substrate mounting means 118 is provided via the plurality of second and third passage holes 136d and 136e and the plurality of first and second nozzles 138b and 138c while the first and second gases are kept airtight. Is injected into.

他方、図2および図3には、チャンバー蓋130にガス分配装置114が結合される形状が示されているが、チャンバー蓋130から離れてガス分配装置114が配設されてもよい。チャンバー蓋130とガス分配装置114が離れて配設される場合には、第1のガス分配板134の上部には第1のガス導入管134aと連結される後方プレートが別設される。ここで、第1のプロセスガスは、例えば、発光素子を形成するために使用可能なトリメチルガリウム(TMGa)、ビスシクロペンタジニルマグネシウム(Cp2Mg)、トリメチルアルミニウム(TMAl)およびトリメチルインジウム(TMIn)などを含むことができ、第2のプロセスガスは、窒素(N2)およびアンモニア(NH3)などの窒素ガス、SiH4およびSiH6などのシリコンガスおよび水素(H2)などの物質を含むことができる。例えば、基板116の上にGaNを形成する場合、第1のプロセスガスとしてTMGを使用し、第2のプロセスガスとしてNH3を使用することができる。 On the other hand, FIGS. 2 and 3 show a shape in which the gas distribution device 114 is coupled to the chamber lid 130, but the gas distribution device 114 may be disposed away from the chamber lid 130. When the chamber lid 130 and the gas distribution device 114 are disposed apart from each other, a rear plate connected to the first gas introduction pipe 134 a is separately provided on the upper portion of the first gas distribution plate 134. Here, the first process gas is, for example, trimethylgallium (TMGa), biscyclopentazinylmagnesium (Cp 2 Mg), trimethylaluminum (TMAl), and trimethylindium (TMIn) that can be used to form a light emitting element. And the second process gas includes nitrogen gas such as nitrogen (N 2 ) and ammonia (NH 3 ), silicon gas such as SiH 4 and SiH 6, and substances such as hydrogen (H 2 ). Can be included. For example, when GaN is formed on the substrate 116, TMG can be used as the first process gas and NH 3 can be used as the second process gas.

図6は、本発明の第2の実施形態によるガス分配装置の分解斜視図であり、図7Aから図7Cは、本発明の第2の実施形態による第3のガス分配板の製造斜視図である。本発明の第2の実施形態においては、第1の実施形態のガス分配装置と比較して同じ機能を有しながらも構成要素を簡略化してコスト節減に寄与することができる。本発明の第2の実施形態において、第1の実施形態と同じ構成要素に対しては同じ符号を付している。   FIG. 6 is an exploded perspective view of a gas distribution device according to a second embodiment of the present invention, and FIGS. 7A to 7C are manufacturing perspective views of a third gas distribution plate according to the second embodiment of the present invention. is there. In the second embodiment of the present invention, it is possible to simplify the components and contribute to the cost saving while having the same function as the gas distribution device of the first embodiment. In the second embodiment of the present invention, the same components as those in the first embodiment are denoted by the same reference numerals.

図6に示すように、ガス分配装置114は、第1のプロセスガスを供給されて収容し、第1のプロセスガスを通過させる第1のガス分配板134と、第2のプロセスガスを供給されて収容し、第1及び第2のプロセスガスを通過させる第2のガス分配板136と、第1及び第2のプロセスガスを基板載置手段118に向かって噴射する第3のガス分配板138と、を備える。   As shown in FIG. 6, the gas distribution device 114 is supplied with the first process gas and accommodates it, and is supplied with the first gas distribution plate 134 that allows the first process gas to pass therethrough and the second process gas. A second gas distribution plate 136 through which the first and second process gases pass, and a third gas distribution plate 138 that injects the first and second process gases toward the substrate mounting means 118. And comprising.

第1のガス分配板134は、チャンバー蓋130の中央部を貫通して第1のプロセスガスを導入する第1のガス導入管134aと、第1のプロセスガスを収容する第1の空間160を有する第1のハウジング134bと、第1のガス導入管134aから供給される第1のプロセスガスを第1のハウジング134bの内部に均一に分布させるための分布手段としてのバッフル134c、および第1のハウジング134bの底面に配設され、第1のプロセスガスを通過させるための複数の第1の通過孔134dを備える。第1のハウジング134bは、第1の空間160を取り囲む第1の側壁190aおよび第1の側壁190aの下部に位置し、複数の第1の通過孔134dが穿設される第1の下板190bを備える。   The first gas distribution plate 134 has a first gas introduction pipe 134 a that introduces the first process gas through the center of the chamber lid 130, and a first space 160 that accommodates the first process gas. A first housing 134b, a baffle 134c as a distribution means for uniformly distributing the first process gas supplied from the first gas introduction pipe 134a inside the first housing 134b, and the first A plurality of first passage holes 134d are provided on the bottom surface of the housing 134b and allow the first process gas to pass therethrough. The first housing 134b is positioned below the first side wall 190a and the first side wall 190a surrounding the first space 160, and the first lower plate 190b in which a plurality of first passage holes 134d are formed. Is provided.

第2のガス分配板136は、チャンバー蓋130を貫通して第2のプロセスガスを導入する第2のガス導入管136aと、第2のプロセスガスを収容する第2の空間162を有する第2のハウジング136bと、第2のハウジング136bの側面空間を隔壁140により区画し、第2のガス導入管136aと連結されて第2のプロセスガスを第2の空間162に供給する前に第2のプロセスガスを収容するバッファ空間136cと、複数の第1の通過孔134dと連通されて第1のプロセスガスを通過させる多数の第2の通過孔136dと、第2のハウジング136bの底面に配設され、第2のプロセスガスを通過させる複数の第3の通過孔136eと、を備える。第2のハウジング136bは、第2の空間162の周辺部を取り囲む第2の側壁192aおよび第2の側壁192aの下部に位置し、複数の第1の貫通孔134dおよび複数の第3の通過孔136eが形成される第2の下板192bと、から構成される。バッファ空間136cは、第2のハウジング136bの側面空間に配設され、第2のプロセスガスを第2の空間162に均一に供給するために隔壁140には供給口142が配設される。隔壁140は第2のハウジング136bの側壁190aに沿って側壁190aと所定の間隔をあけて形成される。隔壁140と第2のハウジング136bの側壁190aとの間にバッファ空間136cが形成され、バッファ空間136cは第2のガス導入管から供給される第2のプロセスガスを収容する。バッファ空間136cは、ガス分配装置114の形状に応じて円形または多角形のリング状を呈する。しかしながら、第2のガス導入管136aが複数配設され、それぞれの第2のガス導入管136aが第2のハウジング136bの側壁190aと連結される場合には、互いに遮蔽される複数のバッファ空間136cが形成されてもよい。また、複数のバッファ空間136cは互いに連通されていてもよい。換言すると、第2のガス分配板136が矩形である場合、それぞれの辺に1本の第2のガス導入管136aとバッファ空間136cが設けられていてもよい。そして、隔壁140に配設される供給口142は連設され、同じ高さを有するスリット状または断続的に延びて孤立パターンに形成される複数の開口から形成されてもよい。   The second gas distribution plate 136 has a second gas introduction pipe 136a that introduces the second process gas through the chamber lid 130, and a second space 162 that contains the second process gas. The side walls of the housing 136b and the second housing 136b are partitioned by the partition wall 140 and connected to the second gas introduction pipe 136a before the second process gas is supplied to the second space 162. A buffer space 136c that accommodates the process gas, a plurality of second passage holes 136d that communicate with the plurality of first passage holes 134d and allow the first process gas to pass therethrough, and a bottom surface of the second housing 136b. And a plurality of third passage holes 136e for allowing the second process gas to pass therethrough. The second housing 136b is located below the second side wall 192a and the second side wall 192a surrounding the periphery of the second space 162, and has a plurality of first through holes 134d and a plurality of third passage holes. And a second lower plate 192b on which 136e is formed. The buffer space 136c is disposed in a side space of the second housing 136b, and a supply port 142 is disposed in the partition wall 140 in order to uniformly supply the second process gas to the second space 162. The partition 140 is formed along the side wall 190a of the second housing 136b with a predetermined distance from the side wall 190a. A buffer space 136c is formed between the partition wall 140 and the side wall 190a of the second housing 136b, and the buffer space 136c accommodates the second process gas supplied from the second gas introduction pipe. The buffer space 136 c has a circular or polygonal ring shape depending on the shape of the gas distribution device 114. However, when a plurality of second gas introduction pipes 136a are arranged and each second gas introduction pipe 136a is connected to the side wall 190a of the second housing 136b, a plurality of buffer spaces 136c that are shielded from each other. May be formed. Further, the plurality of buffer spaces 136c may be communicated with each other. In other words, when the second gas distribution plate 136 is rectangular, one second gas introduction pipe 136a and a buffer space 136c may be provided on each side. The supply port 142 disposed in the partition wall 140 may be continuously formed, and may be formed from a plurality of openings formed in a slit shape having the same height or intermittently extending to form an isolated pattern.

第3のガス分配板138は、冷媒が流動する第3の空間164を有する第3のハウジング138aと、第3のハウジング138aの内部に形成され、複数の第2の通過孔136dのそれぞれと連通され、第1のプロセスガスを噴射する複数の第1のノズル138bと、複数の第3の通過孔136eと連通され、第2のプロセスガスを噴射する複数の第2のノズル138cおよび第3のハウジング138aと連結されて冷媒を循環させる冷媒流動管(図示せず)を備える。第3のハウジング138aは、第3の空間164を取り囲む第3の側壁194aおよび第3の側壁194aの下部に位置し、複数の第1及び第2のノズル138b、138cが配設される第3の下板194bを備える。冷媒流動管は、第3の空間164に冷媒を供給する冷媒供給管と、第3の空間164の冷媒を排出する冷媒排出管と、を備える。冷媒流動管152は、チャンバー蓋130を貫通してプロセスチャンバー112の内部に引き込まれて第3のハウジング138aの第3の側壁194aと連結される。冷媒は、冷媒循環装置(図示せず)に循環する。   The third gas distribution plate 138 is formed inside the third housing 138a having the third space 164 in which the refrigerant flows and the third housing 138a, and communicates with each of the plurality of second passage holes 136d. The plurality of first nozzles 138b for injecting the first process gas and the plurality of second nozzles 138c for injecting the second process gas and the third nozzles 138b in communication with the plurality of third passage holes 136e. A refrigerant flow pipe (not shown) that is connected to the housing 138a and circulates the refrigerant is provided. The third housing 138a is positioned below the third side wall 194a and the third side wall 194a that surround the third space 164, and is provided with a plurality of first and second nozzles 138b and 138c. The lower plate 194b is provided. The refrigerant flow pipe includes a refrigerant supply pipe that supplies the refrigerant to the third space 164 and a refrigerant discharge pipe that discharges the refrigerant in the third space 164. The refrigerant flow pipe 152 passes through the chamber lid 130 and is drawn into the process chamber 112 to be connected to the third side wall 194a of the third housing 138a. The refrigerant circulates in a refrigerant circulation device (not shown).

第3のガス分配板138は、下記の工程により作製可能である。すなわち、図7Aに示すように、ステンレス鋼製またはアルミニウム製の板材220を用意し、複数の第1及び第2のノズル138b、138cに対応する複数の第1及び第2の開口174、176を穿孔する。次いで、図7Bに示すように、第1及び第2のプロセスガスを噴射するための複数の第1及び第2のノズル138b、138cとして使用するためのピン型の複数のチューブ178を用意した後、複数のチューブ178を複数の第1及び第2の開口174、176に嵌め込んで配列させ、複数のチューブ178が配列された板材220の上に溶加材を含むペースト180を塗布する。次いで、図7Cに示すように、ろう付け処理して複数のチューブ178を板材220に結合させて第1及び第2のプロセスガスを噴射可能な複数の第1及び第2のノズル138b、138cを形成する。そして、第3の空間164を側面を取り囲み、板材220の周縁部につながるようにステンレス鋼製またはアルミニウム製の側面板材182を配列させ、溶接などの方法を用いて結合することにより、冷媒が流動する第3の空間164を有する第3のハウジング138aを形成する。第3のハウジング138aの側面にはチャンバー蓋130を貫通してガス分配装置114の側面に引き込まれる冷媒流動管152が連結される。第3の冷媒の流動により、ガス分配装置114が冷却される。   The third gas distribution plate 138 can be manufactured by the following process. That is, as shown in FIG. 7A, a plate member 220 made of stainless steel or aluminum is prepared, and a plurality of first and second openings 174 and 176 corresponding to the plurality of first and second nozzles 138b and 138c are provided. Perforate. Next, as shown in FIG. 7B, after preparing a plurality of pin-type tubes 178 for use as a plurality of first and second nozzles 138b, 138c for injecting the first and second process gases. The plurality of tubes 178 are fitted into the plurality of first and second openings 174 and 176 and arranged, and the paste 180 containing the filler material is applied onto the plate member 220 on which the plurality of tubes 178 are arranged. Next, as shown in FIG. 7C, a plurality of first and second nozzles 138b and 138c capable of injecting the first and second process gases by brazing and coupling the plurality of tubes 178 to the plate material 220 are provided. Form. Then, a side surface plate 182 made of stainless steel or aluminum is arranged so as to surround the side surface of the third space 164 and be connected to the peripheral portion of the plate member 220, and the refrigerant flows by being bonded using a method such as welding. A third housing 138a having a third space 164 is formed. A refrigerant flow pipe 152 that passes through the chamber lid 130 and is drawn into the side surface of the gas distribution device 114 is connected to the side surface of the third housing 138a. The gas distribution device 114 is cooled by the flow of the third refrigerant.

本発明の第2の実施形態においては、第3のガス分配板138の第3のハウジング138aは上板を有さずに第3の側壁194aおよび第3の下板194bから構成され、複数の第2の通過孔136dおよび第3の通過孔136eと連通されるチューブ状の複数の第1及び第2のノズル138b、138cが第2のガス分配板136を構成する第2のハウジング136bの第2の下板192bと直接的に接触する。複数の第1及び第2のノズル138b、138cは所定の厚さを持つチューブ状であるため、複数の第1及び第2のノズル138b、138cの上部が第2の下板192bの下部において面接触する。このため、第2の実施形態は、第3のガス分配板138が第1の実施形態と比較して単純な工程により形成される。   In the second embodiment of the present invention, the third housing 138a of the third gas distribution plate 138 includes a third side wall 194a and a third lower plate 194b without having an upper plate, and includes a plurality of A plurality of tube-shaped first and second nozzles 138b and 138c communicating with the second passage hole 136d and the third passage hole 136e are the second ones of the second housing 136b constituting the second gas distribution plate 136. 2 directly contacts the lower plate 192b. Since the plurality of first and second nozzles 138b and 138c have a tube shape having a predetermined thickness, the upper portions of the plurality of first and second nozzles 138b and 138c face the lower portion of the second lower plate 192b. Contact. Therefore, in the second embodiment, the third gas distribution plate 138 is formed by a simple process as compared with the first embodiment.

図8は、本発明の第3の実施形態によるガス分配装置の分解斜視図であり、図9は、本発明の第3の実施形態による基板載置手段の平面図である。本発明の第3の実施形態は、第1及び第2の実施形態と比較して、ガス分配装置が大型化する場合に第1から第3のガス分配板を分割して作製したものであることを特徴とする。本発明の第3の実施形態において、第1及び第2の実施形態と同じ構成要素に対しては同じ符号を付している。   FIG. 8 is an exploded perspective view of the gas distribution apparatus according to the third embodiment of the present invention, and FIG. 9 is a plan view of the substrate mounting means according to the third embodiment of the present invention. The third embodiment of the present invention is produced by dividing the first to third gas distribution plates when the gas distribution device is enlarged as compared with the first and second embodiments. It is characterized by that. In the third embodiment of the present invention, the same components as those in the first and second embodiments are denoted by the same reference numerals.

図8に示すように、ガス分配装置114は、第1のプロセスガスを供給されて収容し、第1のプロセスガスを通過させる第1のガス分配板134と、第2のプロセスガスを供給されて収容し、第1及び第2のプロセスガスを通過させる第2のガス分配板136、および第1及び第2のプロセスガスをプロセスチャンバーの基板載置台(図示せず)に向かって噴射する第3のガス分配板138を備える。   As shown in FIG. 8, the gas distribution device 114 is supplied with and contains the first process gas, and is supplied with the first gas distribution plate 134 through which the first process gas passes and the second process gas. And a second gas distribution plate 136 that allows the first and second process gases to pass therethrough, and the first and second process gases to be injected toward the substrate mounting table (not shown) of the process chamber. 3 gas distribution plates 138 are provided.

第1のガス分配板134は、チャンバー蓋130を貫通して第1のプロセスガスを導入する第1のガス導入管134aと、第1のプロセスガスを収容する第1の空間160を有する第1のハウジング134bと、第1のガス導入管134aから供給される第1のプロセスガスを第1のハウジング134bの内部に均一に分布させるための分布手段としてのバッフル134c、および第1のハウジング134bの底面に配設され、第1のプロセスガスを通過させるための複数の第1の通過孔134dを有する複数の第1のサブガス分配板200を備える。   The first gas distribution plate 134 has a first gas introduction pipe 134 a that introduces the first process gas through the chamber lid 130, and a first space 160 that contains the first process gas. Housing 134b, a baffle 134c as a distribution means for uniformly distributing the first process gas supplied from the first gas introduction pipe 134a inside the first housing 134b, and the first housing 134b A plurality of first sub-gas distribution plates 200 are provided on the bottom surface and have a plurality of first passage holes 134d for allowing the first process gas to pass therethrough.

第1のサブガス分配板200は、プロセスチャンバーの形状に応じて異なる形状を有する。本発明の第3の実施形態においては、円筒状のプロセスチャンバーを使用し、基板に円形のウェーハを複数積み重ねて処理する場合に適するように第1のサブガス分配板200を扇子状に作製し、第1のガス分配板134の中心部に隣り合う第1のサブガス分配板200の端部は円弧状にする。複数の第1のサブガス分配板200を組み合わせて第1のガス分配板134を組み立てると、中央部に空洞を有する円形となる。   The first sub gas distribution plate 200 has a different shape depending on the shape of the process chamber. In the third embodiment of the present invention, a cylindrical process chamber is used, and the first sub-gas distribution plate 200 is formed in a fan shape so as to be suitable for processing a plurality of circular wafers stacked on a substrate. The end portion of the first sub gas distribution plate 200 adjacent to the central portion of the first gas distribution plate 134 has an arc shape. When the first gas distribution plate 134 is assembled by combining the plurality of first sub-gas distribution plates 200, a circular shape having a cavity at the center is obtained.

基板としてウェーハを使用し、基板載置手段118に複数の基板116を積み重ねる場合、図9に示すように、基板載置手段118は、基板116が載置される複数のサセプター210と、複数のサセプター210が配設されるディスク212と、から構成される。第1のガス分配板134が円形である場合、複数の第1のサブガス分配板200は第1のガス分配板134の中心を通る複数の直線により区画され、複数の第1のサブガス分配板200は同じサイズを有する。第1のガス分配板134が6枚の第1のサブガス分配板200から構成される場合、第1のガス分配板134の中心部と隣り合うそれぞれの第1のサブガス分配板200の角度は60°である。第1のガス分配板134が矩形である場合、第1のサブガス分配板200を均一なサイズの複数の矩形に分割する。   When a wafer is used as a substrate and a plurality of substrates 116 are stacked on the substrate mounting means 118, as shown in FIG. 9, the substrate mounting means 118 includes a plurality of susceptors 210 on which the substrate 116 is mounted, and a plurality of susceptors 210. And a disk 212 on which the susceptor 210 is disposed. When the first gas distribution plate 134 is circular, the plurality of first sub-gas distribution plates 200 are partitioned by a plurality of straight lines passing through the center of the first gas distribution plate 134, and the plurality of first sub-gas distribution plates 200. Have the same size. When the first gas distribution plate 134 includes six first sub-gas distribution plates 200, the angle of each first sub-gas distribution plate 200 adjacent to the center of the first gas distribution plate 134 is 60. °. When the first gas distribution plate 134 is rectangular, the first sub gas distribution plate 200 is divided into a plurality of rectangles having a uniform size.

第1のハウジング134bは、第1の空間160を取り囲む第1の側壁190aおよび第1の側壁190aの下部に位置し、複数の第1の通過孔134dが配設される第1の下板190bを備える。図9に示すように、複数のサセプター210はディスク212の中央部には配設されない。このため、ディスク212の中央部には基板116が載置されないため、第1のガス分配板134の中央部を空洞202にしても基板116を処理する工程に影響を与えない。また、第1のガス分配板134の中央部に空洞を形成するために第1のサブガス分配板200の端部を円弧状にするため、第1のサブガス分配板200の作製および組立てが容易である。第1のサブガス分配板200の端部をプロセスチャンバーの中心部まで延設すると、当該端部と対応する第1のハウジング134bの第1の下板190bに複数の第1の通過孔134dを均一に形成することが困難になる。   The first housing 134b is positioned below the first side wall 190a and the first side wall 190a that surround the first space 160, and the first lower plate 190b in which a plurality of first passage holes 134d are disposed. Is provided. As shown in FIG. 9, the plurality of susceptors 210 are not disposed at the center of the disk 212. For this reason, since the substrate 116 is not placed in the central portion of the disk 212, even if the central portion of the first gas distribution plate 134 is the cavity 202, the process of processing the substrate 116 is not affected. In addition, since the end of the first sub gas distribution plate 200 is formed in an arc shape in order to form a cavity in the center of the first gas distribution plate 134, the first sub gas distribution plate 200 can be easily manufactured and assembled. is there. When the end of the first sub gas distribution plate 200 is extended to the center of the process chamber, a plurality of first passage holes 134d are uniformly formed in the first lower plate 190b of the first housing 134b corresponding to the end. It becomes difficult to form.

複数の第1のサブガス分配板200の第1の空間160に第1のプロセスガスを供給するために、第1のガス導入管134aは複数の第1のサブガス導入管204に分岐される。1または2以上の第1のサブガス導入管204は、第1のサブガス分配板200に均一に連結される。第1のサブガス導入管204は、チャンバー蓋130に埋設されて第1のサブガス分配板200の中心部から第1のプロセスガスを供給したり、またはプロセスチャンバーの外部において第1のガス導入管134aから第1のサブガス導入管204に分岐され、第1のサブガス導入管204がチャンバー蓋130を貫通して第1のサブガス分配板200の第1の空間160に第1のプロセスガスを供給することができる。   In order to supply the first process gas to the first space 160 of the plurality of first sub gas distribution plates 200, the first gas introduction pipe 134 a is branched to the plurality of first sub gas introduction pipes 204. One or two or more first sub-gas introduction pipes 204 are uniformly connected to the first sub-gas distribution plate 200. The first sub-gas introduction pipe 204 is embedded in the chamber lid 130 to supply the first process gas from the center of the first sub-gas distribution plate 200, or the first gas introduction pipe 134a outside the process chamber. To the first sub gas introduction pipe 204, and the first sub gas introduction pipe 204 passes through the chamber lid 130 and supplies the first process gas to the first space 160 of the first sub gas distribution plate 200. Can do.

本発明の第3の実施形態においては、第1及び第2の実施形態とは異なり、チャンバー蓋130に陥凹部148を形成しなくてもよい。第1のハウジング134bの側壁190aの内周縁に沿って段差部230を設け、段差部230にバッフル134cを位置させると、第1のハウジング134bの内部においてバッフル134cの上側に第1のサブガス導入管204から供給される第1のプロセスガスを収容する収容空間232が形成される。バッフル134cは、収容空間232内の第1のプロセスガスを第1の空間160に均一に供給する機能をする。   In the third embodiment of the present invention, unlike the first and second embodiments, the recessed portion 148 may not be formed in the chamber lid 130. When a stepped portion 230 is provided along the inner peripheral edge of the side wall 190a of the first housing 134b and the baffle 134c is positioned on the stepped portion 230, the first sub-gas introduction pipe is located above the baffle 134c inside the first housing 134b. An accommodation space 232 for accommodating the first process gas supplied from 204 is formed. The baffle 134 c functions to uniformly supply the first process gas in the accommodation space 232 to the first space 160.

第2のガス分配板136は、チャンバー蓋130を貫通して第2のプロセスガスを導入する第2のガス導入管(図1の136a参照)と、第2のプロセスガスを収容する第2の空間162を有する第2のハウジング136bと、第2のハウジング136bの側面空間を隔壁140により区画し、第2のガス導入管136aと連結されて第2のプロセスガスを第2の空間162に供給する前に第2のプロセスガスを収容するバッファ空間136cと、複数の第1の通過孔134dと連通されて第1のプロセスガスを通過させる複数の第2の通過孔136dと、第2のハウジング136bの底面に配設され、第2のプロセスガスを通過させる複数の第3の通過孔136eと、を有する複数の第2のサブガス分配板206から構成される。   The second gas distribution plate 136 has a second gas introduction pipe (see 136a in FIG. 1) through which the second process gas is introduced through the chamber lid 130, and a second gas containing the second process gas. A second housing 136b having a space 162 and a side space of the second housing 136b are partitioned by a partition wall 140 and connected to the second gas introduction pipe 136a to supply the second process gas to the second space 162. A buffer space 136c for storing a second process gas before the first process gas, a plurality of second pass holes 136d that communicate with the first pass holes 134d and allow the first process gas to pass therethrough, and a second housing A plurality of second sub-gas distribution plates 206 are provided on the bottom surface of 136b and have a plurality of third passage holes 136e through which the second process gas passes.

第2のサブガス分配板206は、第1のサブガス分配板200と同じ形状に作製される。このため、第1のサブガス分配板200と同様に、第2のサブガス分配板206は扇子状に作製され、第2のガス分配板136の中心と隣り合う第2のサブガス分配板206の端部は円弧形状を有する。そして、第2のガス分配板136を組み立てるために複数の第2のサブガス分配板200を組み立てると、第2のガス分配板136は中央部に空洞を有する円形を有する。第2のハウジング136bは、第2の空間162の周辺部を取り囲む第2の側壁192aおよび第2の側壁192aの下部に位置し、複数の第1の貫通孔134dおよび複数の第3の通過孔136eが形成される第2の底面192bを備える。バッファ空間136cは第2のハウジング136bの側面空間に設けられ、第2のプロセスガスを第2の空間162に均一に供給するために隔壁140には供給口142が配設される。隔壁140は、第2のハウジング136bの側壁に沿って側壁と所定の間隔をあけて側壁の内部に形成される。隔壁140と第2のハウジング136bの側壁との間にバッファ空間136cが形成され、バッファ空間136cは第2のガス導入管から供給される第2のプロセスガスを収容する。隔壁140に配設される供給口142は連設され、同じ高さを有するスリット状または断続的に延びて孤立パターンに形成される複数の開口から形成されてもよい。   The second sub gas distribution plate 206 is made in the same shape as the first sub gas distribution plate 200. Therefore, like the first sub-gas distribution plate 200, the second sub-gas distribution plate 206 is formed in a fan shape, and the end of the second sub-gas distribution plate 206 adjacent to the center of the second gas distribution plate 136 is formed. Has an arc shape. When the plurality of second sub gas distribution plates 200 are assembled to assemble the second gas distribution plate 136, the second gas distribution plate 136 has a circular shape having a cavity in the center. The second housing 136b is located below the second side wall 192a and the second side wall 192a surrounding the periphery of the second space 162, and has a plurality of first through holes 134d and a plurality of third passage holes. A second bottom surface 192b is formed on which 136e is formed. The buffer space 136c is provided in the side space of the second housing 136b, and a supply port 142 is provided in the partition wall 140 in order to uniformly supply the second process gas to the second space 162. The partition wall 140 is formed inside the side wall at a predetermined distance from the side wall along the side wall of the second housing 136b. A buffer space 136c is formed between the partition wall 140 and the side wall of the second housing 136b, and the buffer space 136c accommodates the second process gas supplied from the second gas introduction pipe. The supply port 142 disposed in the partition wall 140 may be continuously formed, and may be formed from a plurality of openings formed in a slit shape having the same height or intermittently extending to form an isolated pattern.

第3のガス分配板138は、冷媒が流動する第3の空間164を有する第3のハウジング138aと、第3のハウジング138aの内部に形成され、複数の第2の通過孔136dのそれぞれと連通され、第1のプロセスガスを噴射する複数の第1のノズル138bと、複数の第3の通過孔136eと連通され、第2のプロセスガスを噴射する複数の第2のノズル138cおよび第3のハウジング138aと連結されて冷媒を循環させる冷媒流動管を有する複数の第3のサブガス分配板208から構成される。冷媒流動管は、第3の空間164に冷媒を供給する冷媒供給管と第3の空間164の冷媒を排出する冷媒排出管を備える。冷媒流動管は、チャンバー蓋130を貫通してプロセスチャンバーの内部に引き込まれて第3のハウジング138aの側面と連結される。冷媒は、冷媒循環装置(図示せず)に循環する。第3のサブガス分配板208は、第1及び第2のサブガス分配板200、206と同じ形状に作製される。このため、第1及び第2のサブガス分配板200、206と同様に、第3のサブガス分配板208は扇子状に作製され、第3のガス分配板138の中心と隣り合う第3のサブガス分配板208の端部は円弧形状を有する。そして、複数の第3のサブガス分配板208を組み立てて第3のガス分配板138を形成すると、第3のガス分配板138は中央部に空洞を有する円形を有する。第3のハウジング138bは、第3の空間164の周辺部を取り囲む第3の側壁194aおよび第3の側壁194aの下部に位置し、複数の第1及び第2のノズル138b、138cが配設される第3の下板194bから構成される。   The third gas distribution plate 138 is formed inside the third housing 138a having the third space 164 in which the refrigerant flows and the third housing 138a, and communicates with each of the plurality of second passage holes 136d. The plurality of first nozzles 138b for injecting the first process gas and the plurality of second nozzles 138c for injecting the second process gas and the third nozzles 138b in communication with the plurality of third passage holes 136e. A plurality of third sub-gas distribution plates 208 are connected to the housing 138a and have a refrigerant flow pipe for circulating the refrigerant. The refrigerant flow pipe includes a refrigerant supply pipe that supplies a refrigerant to the third space 164 and a refrigerant discharge pipe that discharges the refrigerant in the third space 164. The refrigerant flow pipe passes through the chamber lid 130 and is drawn into the process chamber to be connected to the side surface of the third housing 138a. The refrigerant circulates in a refrigerant circulation device (not shown). The third sub gas distribution plate 208 is formed in the same shape as the first and second sub gas distribution plates 200 and 206. Therefore, like the first and second sub-gas distribution plates 200 and 206, the third sub-gas distribution plate 208 is formed in a fan shape and is adjacent to the center of the third gas distribution plate 138. The end of the plate 208 has an arc shape. Then, when the third gas distribution plate 138 is formed by assembling the plurality of third sub gas distribution plates 208, the third gas distribution plate 138 has a circular shape having a cavity at the center. The third housing 138b is located below the third side wall 194a and the third side wall 194a surrounding the periphery of the third space 164, and a plurality of first and second nozzles 138b and 138c are disposed. Third lower plate 194b.

本発明の第3の実施形態においては、第3のサブガス分配板138の第3のハウジング138aは第3の側壁194aおよび第3の下板194bから構成され、複数の第2の通過孔136dおよび第3の通過孔136eと連通されるチューブ状の複数の第1及び第2のノズル138b、138cが第2のガス分配板136を構成する第2のハウジング136bの第2の下板192bと直接的に接触する。必要に応じて、第3のハウジング138aは複数の第1及び第2のノズル138b、138cが連通される上板を備えていてもよい。複数の第1及び第2のノズル138b、138cは所定の厚さを持つチューブ状であるため、複数の第1及び第2のノズル138b、138cの上部が第2の下板192bの下部において面接触する。このため、第2の実施形態は、第3のガス分配板138を第1の実施形態と比較して単純な工程により形成される。   In the third embodiment of the present invention, the third housing 138a of the third sub-gas distribution plate 138 includes a third side wall 194a and a third lower plate 194b, and includes a plurality of second passage holes 136d and A plurality of tube-shaped first and second nozzles 138b, 138c communicating with the third passage hole 136e directly with the second lower plate 192b of the second housing 136b constituting the second gas distribution plate 136. Touch. If necessary, the third housing 138a may include an upper plate through which the plurality of first and second nozzles 138b and 138c communicate. Since the plurality of first and second nozzles 138b and 138c have a tube shape having a predetermined thickness, the upper portions of the plurality of first and second nozzles 138b and 138c face the lower portion of the second lower plate 192b. Contact. For this reason, in the second embodiment, the third gas distribution plate 138 is formed by a simple process as compared with the first embodiment.

一方、本発明の他の実施形態によるガス分配装置114は、複数のプロセスガスのうち少なくとも一部のプロセスガスを基板116の直上の領域から噴射し、複数のプロセスガスのうち高い分解温度を有するプロセスガスを複数の基板116間の空間(例えば、基板載置手段118の中心部の上側領域)に供給することができる。この場合、基板116は基板載置手段118の上に複数載置されてもよく、基板載置手段118の中心部を基準として放射状に配置されてもよい。これにより、高い分解温度を有するプロセスガスをチャンバー蓋領域のうち最も高い温度領域に供給することにより、プロセスガスの分解効率を高めることができる。このように、本発明の他の実施形態によるガス分配装置114およびこれを備える基板処理装置を説明すると、下記のとおりである。本発明の他の実施形態の説明に際し、前記本発明の実施形態において説明された内容と重複する内容の説明は省略する。   Meanwhile, the gas distribution device 114 according to another embodiment of the present invention injects at least a part of the plurality of process gases from the region directly above the substrate 116 and has a high decomposition temperature among the plurality of process gases. The process gas can be supplied to a space between the plurality of substrates 116 (for example, an upper region in the central portion of the substrate mounting means 118). In this case, a plurality of substrates 116 may be placed on the substrate placing means 118, and may be arranged radially with reference to the center of the substrate placing means 118. Thereby, the process gas decomposition efficiency can be increased by supplying the process gas having a high decomposition temperature to the highest temperature region in the chamber lid region. Thus, a gas distribution device 114 and a substrate processing apparatus including the same according to another embodiment of the present invention will be described as follows. In the description of other embodiments of the present invention, descriptions of contents overlapping with those described in the embodiments of the present invention will be omitted.

図10は、本発明の第4の実施形態による基板処理装置の断面図であり、図11は、第4の実施形態による基板処理装置の平面図であり、図12は、第4の実施形態によるガス分配装置を説明するための断面図である。   10 is a cross-sectional view of a substrate processing apparatus according to a fourth embodiment of the present invention, FIG. 11 is a plan view of the substrate processing apparatus according to the fourth embodiment, and FIG. 12 is a fourth embodiment. It is sectional drawing for demonstrating the gas distribution apparatus by.

図10から図12を参照すると、本発明の第4の実施形態による基板処理装置は、反応空間を提供するプロセスチャンバー112と、プロセスチャンバー112の反応空間に位置して基板116を載置する基板載置手段118と、プロセスチャンバー112の反応空間に異なるプロセスガスを供給するガス分配装置114と、を備える。また、ガス分配装置114は第1及び第2のガス分配部310、320を備える。ここで、第1のガス分配部310は複数配設され、それぞれの第1のガス分配部310は、第1、第2及び第3のガス分配板134、136、138が積み重ねられてなる。   10 to 12, a substrate processing apparatus according to a fourth embodiment of the present invention includes a process chamber 112 that provides a reaction space, and a substrate that is placed in the reaction space of the process chamber 112 and on which a substrate 116 is placed. The mounting means 118 and a gas distribution device 114 for supplying different process gases to the reaction space of the process chamber 112 are provided. In addition, the gas distribution device 114 includes first and second gas distribution units 310 and 320. Here, a plurality of first gas distribution units 310 are arranged, and each of the first gas distribution units 310 is formed by stacking first, second and third gas distribution plates 134, 136 and 138.

本発明の第4の実施形態による基板処理装置のガス分配装置114は、第1のガス分配部310が複数のプロセスガスのうち少なくとも一部のプロセスガスを基板116の直上の領域から供給し、第2のガス分配部320は複数のプロセスガスのうち高い分解温度を有するプロセスガスを複数の基板116間の空間(たとえば、基板載置手段118の中心部の上側領域)に供給する。これにより、高い分解温度を有するプロセスガスをチャンバー蓋130領域のうち最も高い温度領域に噴射することにより、プロセスガスの分解効率を高めることができる。すなわち、チャンバー蓋130の下側の底面にガス分配装置114が配置され、このガス分配装置114が配置される領域のうちその温度が高い領域に高い分解温度を有するプロセスガスを供給する。これにより、薄膜蒸着効率を増大させ、未反応により廃棄されるプロセスガスを低減することができる。もちろん、複数のプロセスガスの分解温度の平均を計算し、平均値よりも大きな分解温度を有する工程物質を複数の基板116間の空間に供給することもできる。ここで、平均値よりも大きな分解温度を有するプロセスガスを、高い分解温度を有するプロセスガスと称する。そして、ガス分配装置114はプロセスガスのうち低い分解温度を有するプロセスガスを冷却させて供給する。これにより、分解温度の低いプロセスガスが第1のガス分配部310内において分解されて反応することを防止することができる。もちろん、前記ガス分配装置114は、ガス分配装置114にプロセスガスを供給するプロセスガス貯留部400を備える。なお、前記ガス分配装置114は、プロセスガスの冷却のための冷媒を供給する冷媒貯留部500をさらに備える。   In the gas distribution device 114 of the substrate processing apparatus according to the fourth embodiment of the present invention, the first gas distribution unit 310 supplies at least a part of the plurality of process gases from a region directly above the substrate 116, The second gas distribution unit 320 supplies a process gas having a high decomposition temperature among the plurality of process gases to a space between the plurality of substrates 116 (for example, an upper region in the central portion of the substrate mounting unit 118). Thereby, by injecting the process gas having a high decomposition temperature into the highest temperature region in the chamber lid 130 region, the decomposition efficiency of the process gas can be increased. That is, the gas distribution device 114 is disposed on the bottom surface on the lower side of the chamber lid 130, and a process gas having a high decomposition temperature is supplied to a region where the temperature is high among regions where the gas distribution device 114 is disposed. Thereby, thin film vapor deposition efficiency can be increased and the process gas discarded by unreacted can be reduced. Of course, an average of the decomposition temperatures of the plurality of process gases can be calculated, and a process substance having a decomposition temperature larger than the average value can be supplied to the space between the plurality of substrates 116. Here, a process gas having a decomposition temperature larger than the average value is referred to as a process gas having a high decomposition temperature. The gas distributor 114 cools and supplies the process gas having a low decomposition temperature among the process gases. Thereby, it is possible to prevent the process gas having a low decomposition temperature from being decomposed and reacted in the first gas distribution unit 310. Of course, the gas distribution device 114 includes a process gas storage unit 400 that supplies process gas to the gas distribution device 114. The gas distribution device 114 further includes a refrigerant reservoir 500 that supplies a refrigerant for cooling the process gas.

以下、2種のプロセスガスを用いて基板の上に2元系化合物を蒸着する装置を中心に説明する。すなわち、第1及び第2のプロセスガス貯留部410、420を備えて第1及び第2のプロセスガス貯留部410、420のそれぞれの中の第1及び第2のプロセスガスをそれぞれ基板116に噴射する。もちろん、第1及び第2のプロセスガス貯留部410、420はガス状態の物質を貯留してもよく、液体状態の物質を貯留してもよいが、便宜上、プロセスガス貯留部400と称する。また、この実施形態はこれに限定されるものではなく、これより多数のプロセスガスを使用することができる。ここで、第1のプロセスガスはTMGa、Cp2Mg、TMAlおよびTMInなどの物質を含むことができ、第2のプロセスガスは窒素(N2)およびアンモニア(NH3)などの窒素ガス、SiH4およびSiH6などのシリコンガスおよび水素(H2)などの物質を含むことができる。 Hereinafter, an apparatus for depositing a binary compound on a substrate using two kinds of process gases will be mainly described. That is, the first and second process gas reservoirs 410 and 420 are provided, and the first and second process gases in the first and second process gas reservoirs 410 and 420 are respectively injected onto the substrate 116. To do. Of course, the first and second process gas storage units 410 and 420 may store a gas state substance or a liquid state substance, but are referred to as a process gas storage unit 400 for convenience. Further, this embodiment is not limited to this, and a larger number of process gases can be used. Here, the first process gas may include substances such as TMGa, Cp 2 Mg, TMAl, and TMIn, and the second process gas may be nitrogen gas such as nitrogen (N 2 ) and ammonia (NH 3 ), SiH 4 and silicon gas such as SiH 6 and materials such as hydrogen (H 2 ).

第1のガス分配部310は第1及び第2のガス供給管412、422を介して第1及び第2のプロセスガスを供給されてこれを分離された空間(または、ルート)を介して基板116の上に供給する。そして、第1のガス分配部310は第1及び第2のプロセスガスを冷却させて供給する。第1のガス分配部310は、第1のガス貯留部410の第1のプロセスガスを第1のガス供給管412を介して供給されてこれを供給する第1のガス分配板134と、第2のガス貯留部420の第2のプロセスガスを第2のプロセスガス供給管412を介して供給されてこれを供給する第2のガス分配板136と、供給されるプロセスガスを冷却させる第3のガス分配板138と、を備える。ここで、第1、第2及び第3のガス分配板134、136、138が上下に積み重ねられる。このとき、図10に示すように、第3のガス分配板138を第1及び第2のガス分配板134、136と基板載置手段118との間に位置させて基板載置手段118の熱により第1及び第2のガス分配板134、136内のプロセスガスが分解されることを防止することができる。上述したように、ガス分配板はプロセスガスの数に応じて種々に変更可能である。   The first gas distributor 310 is supplied with the first and second process gases via the first and second gas supply pipes 412 and 422, and the substrate is separated through a space (or route) separated from the first and second process gases. 116. The first gas distributor 310 supplies the first and second process gases after cooling them. The first gas distribution unit 310 is supplied with the first process gas of the first gas storage unit 410 via the first gas supply pipe 412 and supplies the first gas to the first gas distribution plate 134. A second gas distribution plate 136 that supplies the second process gas in the second gas storage section 420 via the second process gas supply pipe 412 and supplies the second process gas, and a third gas that cools the supplied process gas. Gas distribution plate 138. Here, the first, second and third gas distribution plates 134, 136 and 138 are stacked one above the other. At this time, as shown in FIG. 10, the third gas distribution plate 138 is positioned between the first and second gas distribution plates 134, 136 and the substrate mounting means 118 to heat the substrate mounting means 118. Accordingly, it is possible to prevent the process gas in the first and second gas distribution plates 134 and 136 from being decomposed. As described above, the gas distribution plate can be variously changed according to the number of process gases.

第1のガス分配板134は、チャンバー蓋130を貫通して第1のプロセスガスを導入する第1のガス導入管134aと、第1のプロセスガスを提供されて収容する第1の空間160を有する第1のハウジング134bと、第1のハウジング134bから延びて第1のプロセスガスを通過させるための複数の第1の通過孔134dと、を備える。また、第1のプロセスガスを第1のハウジング134bの内部に均一に分布させるためのバッフル(図示せず)がさらに設けられていてもよい。第2のガス分配板136は、チャンバー蓋130を貫通して第2のプロセスガスを導入する第2のガス導入管136aと、第2のプロセスガスを収容する第2の空間162を有する第2のハウジング136bと、複数の第1の通過孔134dと連通されて第1のプロセスガスを通過させる複数の第2の通過孔136dと、第2のハウジング136bの底面に配設され、第2のプロセスガスを通過させる複数の第3の通過孔136eと、を備える。第3のガス分配板138は、冷媒が流動する第3の空間164を有する第3のハウジング138aと、第3のハウジング138aの内部に形成され、複数の第2の通過孔136dのそれぞれと連通され、第1のプロセスガスを噴射する複数の第1のノズル138bと、複数の第3の通過孔136eと連通され、第2のプロセスガスを噴射する複数の第2のノズル138cと、を備える。さらに、第3のガス分配板138は、第3のハウジング138aと連結されて冷媒を循環させる冷媒流動管152をさらに備える。冷媒流動管152は、第3の空間164に冷媒を供給する冷媒供給管152aと、第3の空間164の冷媒を排出する冷媒排出管152bと、を備える。これらの第1から第3のガス分配板134、136、138は、図1から図9に基づいて説明されたガス分配板と同じ構成を有してもよい。   The first gas distribution plate 134 includes a first gas introduction pipe 134a that introduces a first process gas through the chamber lid 130, and a first space 160 that receives and accommodates the first process gas. A first housing 134b having a plurality of first passage holes 134d extending from the first housing 134b and allowing the first process gas to pass therethrough. Further, a baffle (not shown) may be further provided for uniformly distributing the first process gas inside the first housing 134b. The second gas distribution plate 136 has a second gas introduction pipe 136a that introduces the second process gas through the chamber lid 130, and a second space 162 that contains the second process gas. Housing 136b, a plurality of second passage holes 136d communicating with the plurality of first passage holes 134d to allow the passage of the first process gas, and the bottom surface of the second housing 136b. A plurality of third passage holes 136e through which the process gas passes. The third gas distribution plate 138 is formed inside the third housing 138a having the third space 164 in which the refrigerant flows and the third housing 138a, and communicates with each of the plurality of second passage holes 136d. A plurality of first nozzles 138b for injecting the first process gas, and a plurality of second nozzles 138c in communication with the plurality of third passage holes 136e and for injecting the second process gas. . Further, the third gas distribution plate 138 further includes a refrigerant flow pipe 152 that is connected to the third housing 138a and circulates the refrigerant. The refrigerant flow pipe 152 includes a refrigerant supply pipe 152 a that supplies the refrigerant to the third space 164, and a refrigerant discharge pipe 152 b that discharges the refrigerant in the third space 164. These first to third gas distribution plates 134, 136, and 138 may have the same configuration as the gas distribution plates described with reference to FIGS.

上述したように、第1のガス分配板134の第1の空間160に供給された第1のプロセスガスは、第2のガス分配板136の第2の空間162を貫通する第1の通過孔136dと第3のガス分配板138の第1のノズル138dを介してプロセスチャンバー112の内部空間(すなわち、反応空間)に供給される。また、第2のガス分配板136の第2の空間162に供給された第2のプロセスガスは、第3の通過孔136eと第3のガス分配板318の第2のノズル138cを介してプロセスチャンバー112の内部空間に供給される。   As described above, the first process gas supplied to the first space 160 of the first gas distribution plate 134 passes through the second space 162 of the second gas distribution plate 136. 136d and the first nozzle 138d of the third gas distribution plate 138 are supplied to the internal space (that is, the reaction space) of the process chamber 112. The second process gas supplied to the second space 162 of the second gas distribution plate 136 is processed through the third passage hole 136e and the second nozzle 138c of the third gas distribution plate 318. It is supplied to the internal space of the chamber 112.

このように第1のプロセスガスと第2のプロセスガスは冷媒によりその温度が基板載置手段118の温度よりも低くなることがある。これにより、第1及び第2のプロセスガスがプロセスチャンバー112の反応空間に噴射される前に熱により分解されることを防止することができる。特に、2元系以上の化合物薄膜を蒸着する場合、異なる分解温度特性を有する2種以上のプロセスガスを使用する必要がある。このため、もし、冷媒が循環される第3のガス分配板138を使用しない場合、2種以上のプロセスガスのうち低い分解温度を有するプロセスガスは基板載置手段118の熱により第1または第2のガス分配板134、136の内側(すなわち、内部空間160、162)において熱により分解される。これにより、薄膜の蒸着効率が急激に低下し、これは、パーチクル発生の原因となる。   As described above, the temperature of the first process gas and the second process gas may be lower than the temperature of the substrate mounting means 118 due to the refrigerant. Accordingly, it is possible to prevent the first and second process gases from being decomposed by heat before being injected into the reaction space of the process chamber 112. In particular, when depositing a binary or more compound thin film, it is necessary to use two or more process gases having different decomposition temperature characteristics. Therefore, if the third gas distribution plate 138 in which the refrigerant is circulated is not used, the process gas having a low decomposition temperature among the two or more process gases is first or second due to the heat of the substrate mounting means 118. The inside of the two gas distribution plates 134 and 136 (that is, the internal spaces 160 and 162) is decomposed by heat. As a result, the deposition efficiency of the thin film is drastically reduced, which causes the generation of particles.

そこで、この実施形態においては冷媒が循環する第3のガス分配板138を設けて第1または第2のガス分配板134、136の第1及び第2の空間160、162はもとより、第1及び第2のノズル138b、138cを冷却させて熱によりプロセスガスが分解されることを防止することができる。しかしながら、この場合、2種以上のプロセスガスのうち相対的に高い分解温度を有するプロセスガスが冷却されることにより、その分解効率が低下するといった不都合が発生する恐れがある。もちろん、高い分解温度を有するプロセスガスの場合、プロセスチャンバー112の反応空間内に供給された後に反応空間内において加熱される。しかしながら、このような加熱によっては十分な分解効率を有さないという欠点がある。このため、これを解消するために、高い分解温度を有するプロセスガスの供給量を増大させて使用する必要がある。このように高い分解温度を有するプロセスガスが冷却により分解効率が低下することに起因して、その使用量が増大してしまうことになった。これにより、反応に供されずに廃棄されるプロセスガスの量が増大されて工程コストが嵩むという問題が発生していた。   Therefore, in this embodiment, the third gas distribution plate 138 in which the refrigerant circulates is provided, and the first and second spaces 160, 162 of the first or second gas distribution plates 134, 136 are used as well as the first and second spaces 160, 162. It is possible to prevent the process gas from being decomposed by heat by cooling the second nozzles 138b and 138c. However, in this case, the process gas having a relatively high decomposition temperature among the two or more process gases may be cooled, thereby causing a disadvantage that the decomposition efficiency is lowered. Of course, in the case of a process gas having a high decomposition temperature, it is heated in the reaction space after being supplied into the reaction space of the process chamber 112. However, there is a drawback that such heating does not have sufficient decomposition efficiency. For this reason, in order to solve this, it is necessary to increase the supply amount of the process gas having a high decomposition temperature. As described above, the process gas having a high decomposition temperature is reduced in decomposition efficiency due to cooling, so that the amount of use is increased. As a result, there has been a problem that the amount of process gas discarded without being subjected to the reaction is increased and the process cost is increased.

この実施形態においては、上述したように、第2のガス分配部320を介して2種以上のプロセスガスのうち高い分解温度を有するプロセスガスを別途に基板載置手段118の中心領域に噴射してこのような問題を解消することができる。すなわち、この実施形態においては、基板載置手段118に対応する板状の第1のガス分配部310を、図11に示すように、基板116に対応する複数の第1のガス分配部310に分離している。これにより、基板載置手段118の中心領域の上部における第1のガス分配部310が除去されている。すなわち、基板載置手段118の中心領域の上部(すなわち、チャンバー蓋130領域)が開放されている。次いで、基板載置手段118の中心部の上側領域、すなわち、チャンバー蓋の中央領域に2種以上のプロセスガスのうち高い分解温度を有するプロセスガスを噴射する第2のガス分配部320を取り付けている。第2のガス分配部320は基板載置手段118の中心領域に対応するチャンバー蓋130の位置に配置する中心噴射ノズル321を備える。中心噴射ノズル321は高い分解温度を有する第2のプロセスガス貯留部420に連通される。これにより、中心噴射ノズル321は基板載置手段118の中心部の上側領域に高い分解温度を有する第2のプロセスガスを提供することが可能になる。このとき、基板載置手段118の中心領域に提供された第2のプロセスガスはチャンバー蓋130の近傍から基板載置手段118に向かって噴射される。そして、基板載置手段118の中心領域の周辺に放射状に配置された基板116に向かって移動する。このため、第1のガス分配部310から噴射される第2のプロセスガスよりもその移動距離が一層長くなる。すなわち、基板載置手段118の中心領域に噴射された第2のプロセスガスは基板載置手段118の周縁領域に移動して排気される。これは、基板載置手段118の周縁の下側領域を介して排気がなされるためである。ここで、プロセスガスの移動距離(すなわち、流路)が長くなることにより、第2のガス分配部320から噴射された第2のプロセスガスが一層長時間基板載置手段118の熱を提供されることができる。これにより、第2のプロセスガスはチャンバー内部の温度により予熱されてその分解効率が増大可能である。さらに、第2のガス分配部320と基板載置手段118との間には別途の冷却部材が位置しないため、噴射された第2のプロセスガスが冷却されるといった問題を解消することができる。   In this embodiment, as described above, a process gas having a high decomposition temperature out of two or more kinds of process gases is separately injected into the central region of the substrate mounting unit 118 via the second gas distribution unit 320. Such problems can be solved. That is, in this embodiment, the plate-like first gas distribution unit 310 corresponding to the substrate mounting means 118 is replaced with a plurality of first gas distribution units 310 corresponding to the substrate 116 as shown in FIG. It is separated. As a result, the first gas distributor 310 in the upper part of the central region of the substrate platform 118 is removed. That is, the upper part (that is, the chamber lid 130 region) of the central region of the substrate mounting means 118 is opened. Next, a second gas distribution unit 320 for injecting a process gas having a high decomposition temperature of two or more kinds of process gases is attached to the upper region of the central portion of the substrate mounting means 118, that is, the central region of the chamber lid. Yes. The second gas distribution unit 320 includes a central injection nozzle 321 disposed at the position of the chamber lid 130 corresponding to the central region of the substrate platform 118. The central injection nozzle 321 is communicated with the second process gas reservoir 420 having a high decomposition temperature. As a result, the central injection nozzle 321 can provide the second process gas having a high decomposition temperature in the upper region of the central portion of the substrate mounting means 118. At this time, the second process gas provided to the center region of the substrate platform 118 is jetted from the vicinity of the chamber lid 130 toward the substrate platform 118. And it moves toward the board | substrate 116 radially arrange | positioned around the center area | region of the board | substrate mounting means 118. FIG. For this reason, the movement distance becomes longer than the 2nd process gas injected from the 1st gas distribution part 310. FIG. That is, the second process gas sprayed to the central region of the substrate platform 118 moves to the peripheral region of the substrate platform 118 and is exhausted. This is because air is exhausted through the lower region of the periphery of the substrate mounting means 118. Here, since the moving distance (that is, the flow path) of the process gas becomes long, the second process gas ejected from the second gas distribution unit 320 is provided with the heat of the substrate placing unit 118 for a longer time. Can. As a result, the second process gas is preheated by the temperature inside the chamber, and the decomposition efficiency can be increased. Furthermore, since a separate cooling member is not positioned between the second gas distribution unit 320 and the substrate mounting unit 118, the problem that the injected second process gas is cooled can be solved.

このように、この実施形態においては、第2のガス分配部320に2種以上のプロセスガスのうち高い分解温度を有するプロセスガスをさらに供給することにより、その分解効率を高めている。これにより、高い分解温度を有するプロセスガスの供給量を従来に比べて10%以上減少させることができる。この実施形態においては、第2のガス貯留部420の第2のプロセスガスが第2のガス分配板136の第2のガス導入管136aと、第2のガス分配部320の中心噴射ノズル321に提供される。このとき、第2のガス導入管136aと中心噴射ノズル321のそれぞれにMFCなどの流量制御器がそれぞれ配置されてその供給流量(すなわち、供給量)が可変となる。もちろん、第1のガス分配板134の第1のガス導入管136aと第1のガス貯留部410との間にも流量制御器が配置されていてもよい。   As described above, in this embodiment, the decomposition efficiency is increased by further supplying the second gas distributor 320 with a process gas having a high decomposition temperature among the two or more kinds of process gases. Thereby, the supply amount of the process gas having a high decomposition temperature can be reduced by 10% or more compared to the conventional case. In this embodiment, the second process gas in the second gas storage unit 420 is supplied to the second gas introduction pipe 136 a of the second gas distribution plate 136 and the central injection nozzle 321 of the second gas distribution unit 320. Provided. At this time, a flow rate controller such as MFC is disposed in each of the second gas introduction pipe 136a and the central injection nozzle 321, and the supply flow rate (that is, the supply amount) becomes variable. Of course, a flow rate controller may also be disposed between the first gas introduction pipe 136a of the first gas distribution plate 134 and the first gas reservoir 410.

この実施形態による基板処理装置は、上述した説明に限定されるものではなく、種々に変形可能である。以下、これらの変形例について説明する。後述する変形例の記述は互いに異なる変形例の記述に適用可能である。   The substrate processing apparatus according to this embodiment is not limited to the above description, and can be variously modified. Hereinafter, these modifications will be described. The description of the modified example described later can be applied to the description of different modified examples.

まず、図13に示すように、第1のガス分配部310が基板載置手段118上の基板116の全部をカバーするように単一の胴体に作製されてもよい。これにより、第1のガス分配部310はリング状に作製される。そして、リングの中央領域に第2のガス分配部320が位置する。このようにリング状の第1のガス分配部310を作製することにより、基板載置手段118を回転させることができる。すなわち、基板載置手段118が回転しても基板116の上にプロセスガスを供給し続けることが可能になる。これは、基板載置手段118の回転による回転半径に対応するリング状に第1のガス分配部310が作製されるためである。このため、基板載置手段118の回転を通じて基板116の上に蒸着される薄膜の均一度を高めることができる。このとき、図13に示すように、リング状の第1のガス分配部310は複数のブロックを含むように作製されてもよい。大面積の複数の基板が載置される場合、リング状の第1のガス分配部310の直径が拡径することがある。このため、単一の加工プロセスを用いてガス分配装置を作製することが困難になるという欠点がある。そこで、図13に示すように、おおむね扇子状の部分(図13においては4個のブロック)を結合してリング状の第1のガス分配部310を作製することができる。ここで、結合される各ブロックがそれぞれ独立して動作することもできる。そして、図13に示すように、リング状の第1のガス分配部310と第2のガス分配部320に供給されるプロセスガスが異なる配管を介して供給されてもよい。もちろん、これらの配管も異なる貯留タンクと連結されてもよい。   First, as shown in FIG. 13, the first gas distribution unit 310 may be formed in a single body so as to cover the entire substrate 116 on the substrate mounting means 118. Thereby, the first gas distribution unit 310 is formed in a ring shape. And the 2nd gas distribution part 320 is located in the center area | region of a ring. Thus, by producing the ring-shaped first gas distribution part 310, the substrate mounting means 118 can be rotated. That is, it is possible to continue supplying the process gas onto the substrate 116 even if the substrate mounting means 118 rotates. This is because the first gas distribution unit 310 is formed in a ring shape corresponding to the rotation radius due to the rotation of the substrate mounting means 118. For this reason, the uniformity of the thin film vapor-deposited on the board | substrate 116 through rotation of the board | substrate mounting means 118 can be improved. At this time, as shown in FIG. 13, the ring-shaped first gas distribution unit 310 may be manufactured to include a plurality of blocks. When a plurality of large-area substrates are placed, the diameter of the ring-shaped first gas distribution unit 310 may be increased. For this reason, there exists a fault that it becomes difficult to produce a gas distribution apparatus using a single processing process. Therefore, as shown in FIG. 13, a ring-shaped first gas distribution section 310 can be manufactured by combining generally fan-shaped portions (four blocks in FIG. 13). Here, each block to be combined can also operate independently. And as shown in FIG. 13, the process gas supplied to the ring-shaped 1st gas distribution part 310 and the 2nd gas distribution part 320 may be supplied via different piping. Of course, these pipes may also be connected to different storage tanks.

さらに、分離および結合可能なガス分配装置114は、図14から図16に示すように構成することができる。ここで、図14は、本発明の第6の実施形態によるガス分配装置の平面図であり、図15は、ガス分配装置の分解斜視図であり、図16は、第1のガス分配部と第3のガス分配部との結合断面図である。   Furthermore, the gas distribution device 114 that can be separated and combined can be configured as shown in FIGS. Here, FIG. 14 is a plan view of a gas distribution device according to a sixth embodiment of the present invention, FIG. 15 is an exploded perspective view of the gas distribution device, and FIG. 16 shows the first gas distribution unit and It is a joint sectional view with the 3rd gas distribution part.

図14から図16を参照すると、本発明の第6の実施形態によるガス分配装置114は、チャンバー蓋130の下側中央部に設けられた第2のガス分配部320と、第2のガス分配部320の側面に接してチャンバー蓋130の下側に設けられ、結合および分離可能な複数の第1のガス分配部310と、複数の第1のガス分配部310の間に設けられてパージガスを供給する第3のガス分配部330と、を備える。すなわち、本発明の他の実施形態によるプロセスガス供給部300は、チャンバー蓋120の下側中央部に中心噴射部320が設けられ、中心噴射部320に接するように複数のプロセスガス噴射部310がチャンバー蓋120の下側に結合され、プロセスガス噴射部310の間に複数のパージガス噴射部330が結合される。   Referring to FIGS. 14 to 16, the gas distribution device 114 according to the sixth embodiment of the present invention includes a second gas distribution unit 320 provided at the lower center portion of the chamber lid 130, and a second gas distribution unit. The purge gas is provided between the plurality of first gas distribution units 310 that are provided on the lower side of the chamber lid 130 in contact with the side surface of the unit 320 and can be combined and separated, and the plurality of first gas distribution units 310. A third gas distributor 330 to be supplied. That is, in the process gas supply unit 300 according to another embodiment of the present invention, the center injection unit 320 is provided in the lower center portion of the chamber lid 120, and the plurality of process gas injection units 310 are in contact with the center injection unit 320. A plurality of purge gas injection units 330 are connected to the lower side of the chamber lid 120 and between the process gas injection units 310.

図14および図15を参照すると、チャンバー蓋130は、おおむねチャンバー胴体128の内部と同じ形状、例えば、円形に作製され、所定の厚さの板状に作製される。チャンバー蓋130には上下を貫通する複数の流入口611、612、613が形成されるが、第2のガス分配部320、複数の第1のガス分配部310および複数の第3のガス分配部330とそれぞれ対応する領域に複数の流入口611、612、613が形成される。すなわち、第2のガス分配部320と対応する中央部に1本の第2の流入口612が設けられ、複数の第1のガス分配部310と対応する個所に第1及び第2の流入口611、612が設けられ、複数の第3のガス分配部330と対応する個所にはそれぞれ第3の流入口613が設けられる。ここで、第1のガス分配部310と対応する領域には1本の第1の流入口611と少なくとも1本の第2の流入口612が設けられてもよいが、第2の流入口612は第1及び第2のプロセスガスの流入比率に応じてその数が調節され、例えば、一つの第1のガス分配部310に対して3本の第2の流入口612が設けられてもよい。また、第1のガス分配部310と対応する領域に形成された1本の第1の流入口611と少なくとも1本の第2の流入口612は第1のガス分配部310の形状に応じて等間隔にて形成されることが好ましい。すなわち、1本の第1の流入口611が第1のガス分配部310と対応する領域の中央部に形成され、少なくとも1本、例えば、3本の第2の流入口612は第1及び第2の流入口611、612と互いに等間隔にて形成されてもよい。一方、第1の流入口611は第1のプロセスガスを供給する第1のガス供給管412と連結され、第2の流入口612は第2のプロセスガスを供給する第2のガス供給管422と連結され、第3の流入口613はパージガスを供給するパージガス供給管432と連結される。このため、第2のガス分配部320および第1のガス分配部310は第1及び第2の流入口611、612を介して第1及び第2のガス供給管412、422から第1及び第2のガス貯留部410、420に貯留された第1及び第2のプロセスガスを供給される。さらに、第3のガス分配部330は第3の流入口613を介してパージガス供給管432からパージガスを供給される。特に、第1及び第2のガス供給管412、422はチャンバー蓋130の中央部に向かって設けられ、中央部から分岐されて第1及び第2の流入口611、612と連結されてもよく、チャンバー蓋130の外側から分岐されてそれぞれの第1及び第2の流入口611、612と連結されてもよい。ここで、第1のプロセスガスが第2のプロセスガスに比べて少量流入されて、蒸着工程が行われる。   Referring to FIGS. 14 and 15, the chamber lid 130 is generally formed in the same shape as the inside of the chamber body 128, for example, in a circular shape, and is formed in a plate shape having a predetermined thickness. The chamber lid 130 is formed with a plurality of inlets 611, 612, and 613 penetrating vertically, but the second gas distribution unit 320, the plurality of first gas distribution units 310, and the plurality of third gas distribution units. A plurality of inlets 611, 612, and 613 are formed in regions corresponding to 330. That is, one second inlet 612 is provided at the center corresponding to the second gas distributor 320, and the first and second inlets are provided at locations corresponding to the plurality of first gas distributors 310. 611 and 612 are provided, and third inflow ports 613 are provided at locations corresponding to the plurality of third gas distributors 330, respectively. Here, one first inlet 611 and at least one second inlet 612 may be provided in a region corresponding to the first gas distributor 310, but the second inlet 612 may be provided. The number of the first and second process gases may be adjusted according to the inflow ratio of the first and second process gases. For example, three second inlets 612 may be provided for one first gas distributor 310. . In addition, one first inflow port 611 and at least one second inflow port 612 formed in a region corresponding to the first gas distribution unit 310 correspond to the shape of the first gas distribution unit 310. It is preferable to form at equal intervals. That is, one first inlet 611 is formed at the center of the region corresponding to the first gas distributor 310, and at least one, for example, three second inlets 612 are provided in the first and second regions. The two inlets 611 and 612 may be formed at equal intervals. On the other hand, the first inlet 611 is connected to a first gas supply pipe 412 that supplies a first process gas, and the second inlet 612 supplies a second gas supply pipe 422 that supplies a second process gas. The third inlet 613 is connected to a purge gas supply pipe 432 that supplies a purge gas. Therefore, the second gas distribution unit 320 and the first gas distribution unit 310 are connected to the first and second gas supply pipes 412 and 422 via the first and second inflow ports 611 and 612, respectively. The first and second process gases stored in the two gas storage units 410 and 420 are supplied. Further, the third gas distributor 330 is supplied with the purge gas from the purge gas supply pipe 432 via the third inlet 613. In particular, the first and second gas supply pipes 412 and 422 may be provided toward the central portion of the chamber lid 130 and may be branched from the central portion and connected to the first and second inlets 611 and 612. The first and second inlets 611 and 612 may be branched from the outside of the chamber lid 130 and connected to the first and second inlets 611 and 612, respectively. Here, the first process gas is introduced in a smaller amount than the second process gas, and the vapor deposition process is performed.

第2のガス分配部320はチャンバー蓋130の中央部に設けられ、おおむね円筒状に作製される。このような第2のガス分配部320はチャンバー蓋130と一体に作製されてもよく、チャンバー蓋130とは別途に作製されてチャンバー蓋130の下側中央部に結合されてもよい。第2のガス分配部320は、上側にチャンバー蓋130の第2の流入口612と対応するように第2のガス注入口322が形成され、下側には少なくとも1本の噴射口が形成される。このため、第2のガス分配部320は第2のプロセスガスを供給されて下側に向かって噴射する。このとき、第2のガス分配部320は基板載置手段118の中央部に向かって第2のプロセスガスを噴射するが、基板載置手段118の上の載置された複数の基板116により形成される中央空間に第2のプロセスガスを噴射する。   The second gas distributor 320 is provided at the center of the chamber lid 130 and is generally formed in a cylindrical shape. The second gas distribution unit 320 may be manufactured integrally with the chamber lid 130, or may be manufactured separately from the chamber lid 130 and coupled to the lower center portion of the chamber lid 130. The second gas distribution unit 320 has a second gas inlet 322 formed on the upper side so as to correspond to the second inlet 612 of the chamber lid 130, and at least one injection port formed on the lower side. The Therefore, the second gas distributor 320 is supplied with the second process gas and injects it downward. At this time, the second gas distribution unit 320 injects the second process gas toward the center of the substrate platform 118, but is formed by a plurality of substrates 116 placed on the substrate platform 118. A second process gas is injected into the central space to be formed.

複数の第1のガス分配部310は内側面が第2のガス分配部320と接するように配置されてチャンバー蓋130の下側に固定される。このような第1のガス分配部320は少なくとも2以上設けられ、2つが設けられる場合に半円形に作製され、3以上に設けられる場合は、第2のガス分配部320と接する内側面が狭幅であり、外側に進むにつれて広幅となるおおむね扇子状に作製される。また、複数の第1のガス分配部310は、チャンバー蓋130に結合されるときに隣り合う第1のガス分配部310と互いに接触することなく、隣り合う第1のガス分配部310の間に所定の間隔が設けられるように作製される。さらに、第1のガス分配部310の両側面には長手方向に突出部314が設けられてもよい。突出部314が設けられることにより、第3のガス分配部330が第1のガス分配部310の間に結合可能になる。このような第1のガス分配部310は、上側に1本の第1のプロセスガス注入口614と少なくとも1本の第2のプロセスガス注入口615が形成されるが、これらはチャンバー蓋130の第1の流入口611および第2の流入口612と対応して形成される。なお、第1のガス分配部310は、上述の実施形態において説明し、且つ、図面に示すように、第1のガス分配板134、第2のガス分配板136および第3のガス分配板138を備え、これらが積み重ねられて作製される。これらの第1、第2及び第3のガス分配板134、136、138は互いに別途に作製された後に積み重ねられて設けられてもよく、一体に作製されてもよい。ここで、第1、第2及び第3のガス分配板134、136、138の構造および機能は、添付図面に基づいて説明した内容と同様であるため、これらの構造および機能についての説明は省略する。   The plurality of first gas distribution units 310 are arranged so that the inner surface is in contact with the second gas distribution unit 320 and fixed to the lower side of the chamber lid 130. At least two or more such first gas distribution units 320 are provided, and when two are provided, the first gas distribution unit 320 is semicircular. When three or more are provided, the inner side surface in contact with the second gas distribution unit 320 is narrow. It is a width, and it is manufactured in a fan shape that becomes wider as it goes outward. In addition, the plurality of first gas distribution units 310 are not in contact with each other between the adjacent first gas distribution units 310 when coupled to the chamber lid 130, and between the adjacent first gas distribution units 310. It is produced so that a predetermined interval is provided. Furthermore, protrusions 314 may be provided on both side surfaces of the first gas distribution unit 310 in the longitudinal direction. By providing the protrusion 314, the third gas distributor 330 can be coupled between the first gas distributors 310. The first gas distribution unit 310 has a first process gas inlet 614 and at least one second process gas inlet 615 formed on the upper side. It is formed corresponding to the first inlet 611 and the second inlet 612. The first gas distribution unit 310 is described in the above-described embodiment, and as illustrated in the drawings, the first gas distribution plate 134, the second gas distribution plate 136, and the third gas distribution plate 138. These are stacked and produced. The first, second, and third gas distribution plates 134, 136, and 138 may be separately provided and then stacked, or may be manufactured integrally. Here, since the structures and functions of the first, second, and third gas distribution plates 134, 136, and 138 are the same as those described with reference to the accompanying drawings, descriptions of these structures and functions are omitted. To do.

第3のガス分配部330は所定の幅および厚さをもって内部に所定の空間が設けられた棒状に作製され、両側面に長手方向に溝332が凹設される。このような第3のガス分配部330は両側面の溝332に第1のガス分配部310の突出部314が係合されて隣り合う両第1のガス分配部310の間に第3のガス分配部330が挿着される。第3のガス分配部330は上側にパージガス注入口616が設けられてチャンバー蓋130の第3の流入口613を介してパージガスが注入され、基板載置手段118の外側にパージガスを噴射する。このように基板載置手段118の外側にパージガスを噴射するために、パージガス噴射部330はパージガス注入口616が形成された上面と向かい合う下面の外縁に噴射口が設けられてもよく、第2のガス分配部320と対応する内側面と向かい合う外側面に噴射口が設けられてもよい。すなわち、噴射口が下面に設けられる場合、噴射口は下面と外側面との境界付近の下面に設けられてもよい。なお、複数の第3のガス分配部330は少なくともいずれか一つ、好ましくは、向かい合う少なくとも2つの第3のガス分配部330に温度測定器333が設けられてプロセスチャンバー100の内部の温度を測定することになる。温度測定器333は第3のガス分配部330の下面に設けられ、第3のガス分配部330のある領域が陥没され、陥没された領域に温度測定器330が埋設されてもよい。   The third gas distribution unit 330 is formed in a rod shape having a predetermined width and thickness and having a predetermined space therein, and grooves 332 are provided in the longitudinal direction on both side surfaces. In such a third gas distributor 330, the third gas is interposed between the adjacent first gas distributors 310 by engaging the protrusions 314 of the first gas distributor 310 with the grooves 332 on both side surfaces. Distribution unit 330 is inserted. The third gas distributor 330 is provided with a purge gas inlet 616 on the upper side, and purge gas is injected through the third inlet 613 of the chamber lid 130, and the purge gas is injected outside the substrate mounting means 118. Thus, in order to inject the purge gas to the outside of the substrate mounting means 118, the purge gas injection unit 330 may be provided with an injection port on the outer edge of the lower surface facing the upper surface where the purge gas injection port 616 is formed. An injection port may be provided on the outer surface facing the inner surface corresponding to the gas distribution unit 320. That is, when the injection port is provided on the lower surface, the injection port may be provided on the lower surface in the vicinity of the boundary between the lower surface and the outer surface. Note that the temperature measuring device 333 is provided in at least one of the plurality of third gas distribution units 330, preferably at least two third gas distribution units 330 facing each other, and the temperature inside the process chamber 100 is measured. Will do. The temperature measuring device 333 may be provided on the lower surface of the third gas distribution unit 330, and a region where the third gas distribution unit 330 is located may be recessed, and the temperature measuring device 330 may be embedded in the recessed region.

前記本発明の他の実施形態によるガス分配装置114は、4つの第1のガス分配部310とこれらの間に介装された4つの第3のガス分配部330を例にとって説明及び図示したが、第1のガス分配部310の数はプロセスチャンバー112の内部のサイズおよび基板116の数などに応じて調節可能である。なお、複数の第1のガス分配部310を分離および結合可能に設けることにより、プロセスチャンバー112の大型化に伴い大型化されるガス分配装置114の製作を一層容易に行うことができる。   The gas distribution device 114 according to another embodiment of the present invention has been described and illustrated by taking four first gas distribution units 310 and four third gas distribution units 330 interposed therebetween as an example. The number of the first gas distribution units 310 can be adjusted according to the size of the process chamber 112 and the number of substrates 116. In addition, by providing the plurality of first gas distributors 310 so as to be separable and connectable, it is possible to more easily manufacture the gas distributor 114 that is enlarged as the process chamber 112 is enlarged.

また、図17に示すように、第2のガス分配部320は複数の第1のガス分配部310の中心領域に位置する中心噴射ノズル321と、第1のガス分配部310間の空間に延設された延長噴射ノズル324と、中心噴射ノズル321と延長噴射ノズル324に連通されて第2のプロセスガスを提供される延長流路323と、を備える。この実施形態による第1のガス分配部310は基板116に対応して配置される。このため、第1のガス分配部310間の空間に第2のプロセスガスを噴射して基板116間の空間に第2のプロセスガスを供給することが可能になる。これにより、未冷却の第2のプロセスガスを基板116に一層多量供給することが可能になる。このため、第2のプロセスガスの分解効率を上げて膜蒸着効率を高めることができる。   In addition, as shown in FIG. 17, the second gas distribution unit 320 extends to the space between the central injection nozzle 321 located in the central region of the plurality of first gas distribution units 310 and the first gas distribution unit 310. An extended injection nozzle 324 provided, and a central injection nozzle 321 and an extended flow path 323 that is communicated with the extended injection nozzle 324 and provided with a second process gas. The first gas distributor 310 according to this embodiment is disposed corresponding to the substrate 116. Therefore, it is possible to inject the second process gas into the space between the first gas distributors 310 and supply the second process gas into the space between the substrates 116. As a result, a larger amount of the uncooled second process gas can be supplied to the substrate 116. For this reason, the efficiency of film deposition can be increased by increasing the decomposition efficiency of the second process gas.

さらに、図18に示すように、第2のガス分配部320の外側に第2のガス分配部320への第2のプロセスガスを加熱するための外側加熱手段340をさらに備えてもよい。外側加熱手段340としては、電気式および光学式の加熱装置が使用可能である。これにより、第2のプロセスガスを加熱してその分解効率を一層上げることができる。   Furthermore, as shown in FIG. 18, an outer heating unit 340 for heating the second process gas to the second gas distribution unit 320 may be further provided outside the second gas distribution unit 320. As the outer heating means 340, electric and optical heating devices can be used. Thereby, the decomposition efficiency can be further increased by heating the second process gas.

さらにまた、図19に示すように、第2のガス分配部320は、複数の中心噴射ノズル321を備えていてもよい。これにより、基板載置手段118の中心領域に第2のプロセスガスを効率よく供給することができる。また、図示の如く、第2のガス分配部320からの第2のプロセスガスを基板116に向かって噴射する流路切換装置350をさらに備えてもよい。流路切換装置350は、固定板351と、固定板351の中心領域から基板載置手段118に向かって延設された延長流路352と、延長流路352の先端に設けられた流路切換ノズル353と、を備える。このとき、固定板351は、第2のガス分配部320を介して噴射された第2のプロセスガスを集める役割を果たす。もちろん、図19には、固定板351の一部が第1のガス分配部310と連結固定されることが示されている。しかしながら、本発明はこれに限定されるものではなく、固定板351がチャンバー蓋130と連結固定されてもよい。延長流路352はその先端が閉塞されている棒状に作製される。このため、延長流路352に提供された第2のプロセスガスは延長流路352の先端付近に設けられた流路切換ノズル353を介して基板116に向かって噴射される。すなわち、第2のガス分配部320から提供された第2のプロセスガスは基板116に対しておおむね垂直方向に噴射される。このため、基板載置手段118に一度ぶつかった後に四方(すなわち、基板方向)に拡散される。しかしながら、この変形例においては、流路切換装置350の内側(すなわち、延長流路352)に第2のプロセスガスが提供される。そして、延長流路352の下側面が閉塞されているため、延長流路352の側面に設けられた流路切換ノズル353を介して基板116に対して平行な方向に第2のプロセスガスを噴射することが可能になる。これにより、複数の基板116の上側空間に噴射される第2のプロセスガスの噴射量を均一に調節することができる。   Furthermore, as shown in FIG. 19, the second gas distributor 320 may include a plurality of central injection nozzles 321. As a result, the second process gas can be efficiently supplied to the central region of the substrate mounting means 118. Further, as shown in the figure, a flow path switching device 350 that injects the second process gas from the second gas distributor 320 toward the substrate 116 may be further provided. The channel switching device 350 includes a fixed plate 351, an extended channel 352 extending from the central region of the fixed plate 351 toward the substrate mounting means 118, and a channel switching provided at the tip of the extended channel 352. A nozzle 353. At this time, the fixed plate 351 plays a role of collecting the second process gas injected through the second gas distributor 320. Of course, FIG. 19 shows that a part of the fixing plate 351 is connected and fixed to the first gas distributor 310. However, the present invention is not limited to this, and the fixing plate 351 may be connected and fixed to the chamber lid 130. The extension channel 352 is formed in a rod shape whose tip is closed. For this reason, the second process gas provided to the extension channel 352 is injected toward the substrate 116 via the channel switching nozzle 353 provided in the vicinity of the tip of the extension channel 352. That is, the second process gas provided from the second gas distributor 320 is injected in a direction substantially perpendicular to the substrate 116. For this reason, after hitting the substrate mounting means 118 once, it is diffused in all directions (that is, in the substrate direction). However, in this modification, the second process gas is provided inside the flow path switching device 350 (that is, the extended flow path 352). Since the lower surface of the extension channel 352 is closed, the second process gas is injected in a direction parallel to the substrate 116 via the channel switching nozzle 353 provided on the side surface of the extension channel 352. It becomes possible to do. Thereby, the injection amount of the second process gas injected into the upper spaces of the plurality of substrates 116 can be adjusted uniformly.

さらに、図20に示すように、プロセスチャンバー112の内部空間のうち第2のガス分配部320の下側領域に位置して第2のガス分配部320から提供される第2のプロセスガスを加熱するための内側加熱手段360をさらに備えてもよい。すなわち、第2のガス分配部320と流路切換装置350と間の空間に内側加熱手段360を配置してもよい。ここで、内側加熱手段360としては、電気式および光学式の加熱装置が使用可能である。このように第2のガス分配部320を介してプロセスチャンバー112の内部に噴射される第2のプロセスガスを加熱することにより、第2のプロセスガスの分解効率を一層高めることができる。   Further, as shown in FIG. 20, the second process gas provided from the second gas distribution unit 320 is heated in a region below the second gas distribution unit 320 in the internal space of the process chamber 112. An inner heating means 360 may be further provided. That is, the inner heating means 360 may be disposed in the space between the second gas distribution unit 320 and the flow path switching device 350. Here, as the inner heating means 360, electric and optical heating devices can be used. Thus, by heating the second process gas injected into the process chamber 112 via the second gas distributor 320, the decomposition efficiency of the second process gas can be further increased.

さらにまた、図21に示すように、第2のガス分配部320の下側のプロセスチャンバー112の領域にプラズマを生じさせる別途のプラズマ発生装置370をさらに設けてもよい。プラズマ発生装置370は、第2のガス分配部320と流路切換装置350と間の空間に位置するアンテナ371と、アンテナ371にプラズマ電源を供給する電源供給部372と、を備える。これにより、第2のガス分配部320から提供された第2のプロセスガスをプラズマによりイオン化させることができる。このように第2のプロセスガスをイオン化させることにより、薄膜蒸着効率を高めることができる。もちろん、図示はしないが、上述したICP(Inductively Coupled Plasma)方式の代わりに、CCP(Capacitive Coupled Plasma)方式を用いることができる。このために、別途の電極が第2のガス分配部320の下側領域に位置していてもよい。なお、リモートプラズマ方式が適用されてもよい。これにより、第2のガス分配部320に提供される第2のプロセスガスをプラズマ化させるための装置がさらに設けられていてもよい。   Furthermore, as shown in FIG. 21, a separate plasma generator 370 that generates plasma in the region of the process chamber 112 below the second gas distribution unit 320 may be further provided. The plasma generation device 370 includes an antenna 371 located in a space between the second gas distribution unit 320 and the flow path switching device 350, and a power supply unit 372 that supplies plasma power to the antenna 371. Thereby, the second process gas provided from the second gas distributor 320 can be ionized by plasma. Thus, by ionizing the second process gas, the thin film deposition efficiency can be increased. Of course, although not shown, a CCP (Capacitive Coupled Plasma) method can be used instead of the above-described ICP (Inductively Coupled Plasma) method. For this purpose, a separate electrode may be located in the lower region of the second gas distributor 320. A remote plasma method may be applied. Accordingly, a device for converting the second process gas provided to the second gas distribution unit 320 into plasma may be further provided.

さらに、図22に示すように、第1のガス分配部310を介して低い分解温度を有する第1のプロセスガスをプロセスチャンバー112の内部空間に噴射し、第2のガス分配部320を介して高い分解温度を有する第2のプロセスガスをプロセスチャンバー112の内部空間に噴射することができる。すなわち、プロセスガスをそれぞれ区画された空間に噴射して薄膜を蒸着することができる。これにより、低い分解温度を有する第1のプロセスガスがプロセスチャンバー112の内部空間に噴射される前に分解されることを防止することができる。なお、高い分解温度を有する第2のプロセスガスが冷却された状態で、プロセスチャンバー112の内部空間に噴射されることを防止することができる。これにより、薄膜蒸着効率が上がり、プロセスガスの使用効率を高めることができる。   Further, as shown in FIG. 22, a first process gas having a low decomposition temperature is injected into the internal space of the process chamber 112 through the first gas distribution unit 310, and then through the second gas distribution unit 320. A second process gas having a high decomposition temperature can be injected into the internal space of the process chamber 112. That is, a thin film can be deposited by jetting process gas into each partitioned space. Accordingly, it is possible to prevent the first process gas having a low decomposition temperature from being decomposed before being injected into the internal space of the process chamber 112. It is possible to prevent the second process gas having a high decomposition temperature from being injected into the internal space of the process chamber 112 in a cooled state. As a result, the efficiency of thin film deposition is increased, and the use efficiency of the process gas can be increased.

また、図示はしないが、第1のガス噴射部310がチャンバー蓋130と一体化されてもよい。すなわち、第1のガス噴射部310がチャンバー蓋130の内側に形成されてもよい。なお、以上では、複数の基板を処理するセミ配置タイプの装置を中心として説明したが、本発明はこれに限定されるものではなく、単一の基板を処理する装置に適用可能である。この場合、基板の周辺領域に第2のプロセスガスを噴射する第2のガス分配部が配置されていてもよい。   Further, although not shown, the first gas injection unit 310 may be integrated with the chamber lid 130. That is, the first gas injection unit 310 may be formed inside the chamber lid 130. In the above description, the semi-arrangement type apparatus that processes a plurality of substrates has been mainly described. However, the present invention is not limited to this, and can be applied to an apparatus that processes a single substrate. In this case, a second gas distribution unit that injects the second process gas may be disposed in the peripheral region of the substrate.

さらに、図23に示すように、基板載置手段118の中心領域に上側に突き出た突出部380が設けられてもよい。このとき、第2のガス分配部320は第1のガス分配部310よりも薄い厚さに作製可能である。この場合、基板載置手段118が上昇したとき、第1のガス分配部310間の第2のガス分配部320の下側に突出部380が部分的に嵌入されてもよい。このため、第2のガス分配部320は突出部380に向かって第2のプロセスガスを噴射し、突出部380により第2のプロセスガスの流れが変わって基板116に向かって流れることになる。   Further, as shown in FIG. 23, a protruding portion 380 protruding upward may be provided in the central region of the substrate mounting means 118. At this time, the second gas distribution unit 320 can be made to be thinner than the first gas distribution unit 310. In this case, when the substrate mounting means 118 is raised, the protruding portion 380 may be partially inserted below the second gas distribution portion 320 between the first gas distribution portions 310. For this reason, the second gas distributor 320 injects the second process gas toward the protrusion 380, and the flow of the second process gas is changed by the protrusion 380 and flows toward the substrate 116.

この実施形態による基板処理装置を用いて2元系以上の化合物(GaN、Ga/IN/AlN、TiN、Ti/AlNなど)を複数の基板の上に同時に蒸着することができる。もちろん、薄膜蒸着工程の必要に応じて、第2のガス分配部320に供給される第2のプロセスガスの供給量を変えることができる。また、例えば、第2のガス分配部320による第2のプロセスガスの供給を完全に遮断することもできる。これは、第1のガス分配部310と第2のガス分配部320のうち少なくともどちらか一方の分配部だけでプロセスガスをチャンバー112に供給可能であるということを意味する。そして、第1のガス分配部310が分離および結合される場合の他に、本発明の実施形態による第1のガス分配部310と第2のガス分配部320はチャンバー蓋130に取着されてもよい。   By using the substrate processing apparatus according to this embodiment, two or more compounds (GaN, Ga / IN / AlN, TiN, Ti / AlN, etc.) can be simultaneously deposited on a plurality of substrates. Of course, the supply amount of the second process gas supplied to the second gas distributor 320 can be changed according to the necessity of the thin film deposition process. Further, for example, the supply of the second process gas by the second gas distributor 320 can be completely shut off. This means that the process gas can be supplied to the chamber 112 only by at least one of the first gas distribution unit 310 and the second gas distribution unit 320. In addition to the case where the first gas distributor 310 is separated and combined, the first gas distributor 310 and the second gas distributor 320 according to the embodiment of the present invention are attached to the chamber lid 130. Also good.

本発明は上述した実施形態に限定されるものではなく、互いに異なる種々の形態に実現可能である。すなわち、上記の実施形態は本発明の開示を完全たるものにし、通常の知識を持った者に発明の範疇を完全に知らせるために提供されるものであり、本発明の範囲は本願の特許請求範囲によって理解さるべきである。   The present invention is not limited to the above-described embodiments, and can be realized in various different forms. In other words, the above embodiments are provided in order to complete the disclosure of the present invention and to inform those who have ordinary knowledge of the scope of the invention, and the scope of the present invention is claimed in the claims of this application. It should be understood by scope.

Claims (27)

少なくとも2種以上のプロセスガスを、相異なるルートを介して基板の上に噴射する第1のガス分配部と、
前記少なくとも2種以上のプロセスガスの分解温度の平均よりも高い分解温度を有するプロセスガスを、前記基板の上に噴射する第2のガス分配部と
を備え、
前記第1のガス分配部は、少なくとも2以上に分割されて前記第2のガス分配部を中心として配置され、互いに結合および分離可能なことを特徴とするガス分配装置。
A first gas distribution unit for injecting at least two or more process gases onto the substrate via different routes;
A second gas distribution section for injecting a process gas having a decomposition temperature higher than an average decomposition temperature of the at least two kinds of process gases onto the substrate;
The gas distribution device according to claim 1, wherein the first gas distribution unit is divided into at least two or more and arranged around the second gas distribution unit, and can be coupled and separated from each other.
前記第1のガス分配部は、
第1のプロセスガスを導入する第1のガス導入管と連結され、前記第1のプロセスガスを通過させる複数の第1の通過孔を有する第1のガス分配板と、
第2のプロセスガスを導入する第2のガス導入管と連結され、前記複数の第1の通過孔と位置合わせされて前記第1のプロセスガスを通過させる複数の第2の通過孔および前記第2のプロセスガスを通過させる複数の第3の通過孔を有する第2のガス分配板と、
前記複数の第2及び第3の通過孔と位置合わせされて前記第1及び第2のプロセスガスをそれぞれ噴射する複数の第1及び第2のノズルと冷媒が流動する空間を有する第3のガス分配板と
を備えることを特徴とする請求項1に記載のガス分配装置。
The first gas distribution unit includes:
A first gas distribution plate connected to a first gas introduction pipe for introducing a first process gas and having a plurality of first passage holes through which the first process gas passes;
A plurality of second passage holes connected to a second gas introduction pipe for introducing a second process gas, aligned with the plurality of first passage holes and passing the first process gas; A second gas distribution plate having a plurality of third passage holes through which the two process gases pass;
A plurality of first and second nozzles which are aligned with the plurality of second and third passage holes and inject the first and second process gases, respectively, and a third gas having a space in which a refrigerant flows. The gas distribution device according to claim 1, further comprising a distribution plate.
前記第1のガス分配板は、
前記第1のガス導入管から供給される前記第1のプロセスガスを収容する空間を有するハウジングと、
前記空間に内設され、前記第1のガス導入管から導入される前記第1のプロセスガスを均一に分布させるための分布手段と
を備えることを特徴とする請求項2に記載のガス分配装置。
The first gas distribution plate is
A housing having a space for accommodating the first process gas supplied from the first gas introduction pipe;
The gas distribution apparatus according to claim 2, further comprising a distribution unit provided in the space for uniformly distributing the first process gas introduced from the first gas introduction pipe. .
前記分布手段は、プレートと前記プレートを穿孔してなる複数の供給孔とを備えることを特徴とする請求項3に記載のガス分配装置。   The gas distribution device according to claim 3, wherein the distribution unit includes a plate and a plurality of supply holes formed by perforating the plate. 前記第2のガス分配板は、
前記第2のガス導入管と連結され、前記第2のプロセスガスを収容する空間を提供するハウジングと、
前記空間に前記複数の第2の通過孔を内蔵した複数のピラーと、
前記ハウジングの下部を穿孔してなる前記複数の第3の通過孔と
を備えることを特徴とする請求項2に記載のガス分配装置。
The second gas distribution plate is
A housing connected to the second gas introduction pipe and providing a space for accommodating the second process gas;
A plurality of pillars incorporating the plurality of second passage holes in the space;
The gas distribution device according to claim 2, further comprising the plurality of third passage holes formed by drilling a lower portion of the housing.
前記第2のガス分配板は、
前記空間に内設される隔壁と、
前記ハウジングの側壁と前記隔壁により区画され、前記第2のガス導入管から供給される前記第2のプロセスガスを収容するバッファ空間と
を備えることを特徴とする請求項5に記載のガス分配装置。
The second gas distribution plate is
A partition wall provided in the space;
The gas distribution device according to claim 5, further comprising: a buffer space that is partitioned by a side wall of the housing and the partition wall and that stores the second process gas supplied from the second gas introduction pipe. .
前記第2のガス分配板は、前記隔壁に前記バッファ空間の前記第2のプロセスガスを前記空間に供給するために穿設された供給孔を備えることを特徴とする請求項6に記載のガス分配装置。   7. The gas according to claim 6, wherein the second gas distribution plate includes a supply hole formed in the partition wall for supplying the second process gas in the buffer space to the space. Dispensing device. 前記第3のガス分配板は、
前記複数の第1及び第2のノズルが配設され、前記冷媒が流動する前記空間を有するハウジングと、
前記ハウジングと連結されて前記冷媒を供給または排出する冷媒流動管と
を備えることを特徴とする請求項2に記載のガス分配装置。
The third gas distribution plate is
A housing having the space in which the plurality of first and second nozzles are disposed and in which the refrigerant flows;
The gas distribution device according to claim 2, further comprising a refrigerant flow pipe connected to the housing and supplying or discharging the refrigerant.
前記ハウジングは、
前記空間の側面を取り囲む側壁と、
前記側壁の上部に位置して前記複数の第1及び第2のノズルと連通される上板と、
前記側壁の下部に位置して前記複数の第1及び第2のノズルと連通される下板と
を備えることを特徴とする請求項8に記載のガス分配装置。
The housing is
A side wall surrounding the side of the space;
An upper plate located at an upper portion of the side wall and communicated with the first and second nozzles;
The gas distribution device according to claim 8, further comprising a lower plate positioned at a lower portion of the side wall and communicated with the plurality of first and second nozzles.
前記ハウジングは、前記空間の側面を取り囲む側壁および前記第2のガス分配板と直接的に接触する前記複数の第1及び第2のノズルが位置する下板を備えることを特徴とする請求項9に記載のガス分配装置。   The housing includes a side plate surrounding a side surface of the space and a lower plate on which the plurality of first and second nozzles are in direct contact with the second gas distribution plate. The gas distribution device described in 1. 前記第2のガス分配板および前記第3のガス分配板の少なくともどちらか一方に配設された温度測定器をさらに備えることを特徴とする請求項1に記載のガス分配装置。   The gas distribution device according to claim 1, further comprising a temperature measuring device disposed on at least one of the second gas distribution plate and the third gas distribution plate. 前記第2のガス分配部は、チャンバー蓋の下側中央部に設けられ、
前記少なくとも2以上の第1のガス分配部は、第2のガス分配部を中心として前記チャンバー蓋の下側に設けられることを特徴とする請求項1に記載のガス分配装置。
The second gas distribution part is provided at the lower center part of the chamber lid,
2. The gas distribution device according to claim 1, wherein the at least two or more first gas distribution units are provided below the chamber lid with the second gas distribution unit as a center.
前記少なくとも2以上の第1のガス分配部は、少なくとも一つ以上が互いに離間して配置されることを特徴とする請求項1に記載のガス分配装置。   2. The gas distribution device according to claim 1, wherein at least one of the at least two first gas distribution units is spaced apart from each other. 前記少なくとも2以上の第1のガス分配部の間に介設されてパージガスを噴射する少なくとも一つ以上の第3のガス分配部をさらに備えることを特徴とする請求項1または13に記載のガス分配装置。   The gas according to claim 1 or 13, further comprising at least one or more third gas distributors interposed between the at least two or more first gas distributors to inject a purge gas. Dispensing device. 前記第3のガス分配部は前記基板の外側に前記パージガスを噴射することを特徴とする請求項14に記載のガス分配装置。   The gas distribution device according to claim 14, wherein the third gas distribution unit injects the purge gas to the outside of the substrate. 前記少なくとも2以上の第1のガス分配部の両側面に突出部が形成され、
前記第3のガス分配部の両側面に前記突出部に対応する溝が凹設されて前記溝が前記突出部に外嵌され、前記第3のガス分配部が前記第1のガス分配部の間に結合されることを特徴とする請求項15に記載のガス分配装置。
Protrusions are formed on both side surfaces of the at least two first gas distribution portions,
Grooves corresponding to the protrusions are formed on both side surfaces of the third gas distribution part, the grooves are fitted on the protrusions, and the third gas distribution part is connected to the first gas distribution part. The gas distribution device of claim 15, wherein the gas distribution device is coupled between the gas distribution devices.
前記第3のガス分配部の少なくとも一つは下側に温度感知器が設けられることを特徴とする請求項14に記載のガス分配装置。   The gas distribution device according to claim 14, wherein a temperature sensor is provided at a lower side of at least one of the third gas distribution units. 反応空間を有するチャンバーと、
前記チャンバーの反応空間に位置して複数の基板が中心を基準として放射状に載置される基板載置手段と、
少なくとも2種以上のプロセスガスを相異なるルートを介して前記基板の上に噴射する第1のガス分配部と、前記少なくとも2種以上のプロセスガスの分解温度の平均よりも高い分解温度を有するプロセスガスを前記複数の基板間の空間に噴射する第2のガス分配部と、を有するガス分配装置と
を備え、
前記第1のガス分配部は、少なくとも2以上に分割されて前記第2のガス分配部を中心として配置され、互いに結合および分離可能なことを特徴とする基板処理装置。
A chamber having a reaction space;
A substrate mounting means which is positioned in the reaction space of the chamber and in which a plurality of substrates are mounted radially on the basis of the center;
A first gas distribution section for injecting at least two or more process gases onto the substrate via different routes; and a process having a decomposition temperature higher than an average decomposition temperature of the at least two or more process gases. A gas distribution device having a second gas distribution unit that injects gas into the space between the plurality of substrates,
The substrate processing apparatus, wherein the first gas distribution unit is divided into at least two parts and is arranged around the second gas distribution unit, and can be coupled and separated from each other.
前記チャンバーは、前記反応空間が設けられるチャンバー胴体と、前記反応空間を密閉するチャンバー蓋とを備え、
前記第1及び第2のガス分配部は前記チャンバー蓋に固定されることを特徴とする請求項18に記載の基板処理装置。
The chamber includes a chamber body in which the reaction space is provided, and a chamber lid that seals the reaction space,
19. The substrate processing apparatus of claim 18, wherein the first and second gas distribution units are fixed to the chamber lid.
前記チャンバー蓋には冷媒が循環する冷媒流路が形成されることを特徴とする請求項19に記載の基板処理装置。   The substrate processing apparatus of claim 19, wherein the chamber lid is formed with a refrigerant flow path through which a refrigerant circulates. 前記第1のガス分配部は、
第1のプロセスガスを導入する第1のガス導入管と連結され、前記第1のプロセスガスを通過させる複数の第1の通過孔を有する第1のガス分配板と、
第2のプロセスガスを導入する第2のガス導入管と連結され、前記複数の第1の通過孔と位置合わせされて前記第1のプロセスガスを通過させる複数の第2の通過孔および前記第2のプロセスガスを通過させる複数の第3の通過孔を有する第2のガス分配板と、
前記複数の第2及び第3の通過孔と位置合わせされて前記第1及び第2のプロセスガスをそれぞれ噴射する複数の第1及び第2のノズルと冷媒が流動する空間を有する第3のガス分配板と
を備えることを特徴とする請求項18に記載の基板処理装置。
The first gas distribution unit includes:
A first gas distribution plate connected to a first gas introduction pipe for introducing a first process gas and having a plurality of first passage holes through which the first process gas passes;
A plurality of second passage holes connected to a second gas introduction pipe for introducing a second process gas, aligned with the plurality of first passage holes and passing the first process gas; A second gas distribution plate having a plurality of third passage holes through which the two process gases pass;
A plurality of first and second nozzles which are aligned with the plurality of second and third passage holes and inject the first and second process gases, respectively, and a third gas having a space in which a refrigerant flows. The substrate processing apparatus according to claim 18, further comprising a distribution plate.
前記第2のガス分配部は、前記基板載置手段の中心領域に対応するチャンバー領域に配置された少なくとも一つの中心噴射ノズルを備えることを特徴とする請求項18に記載の基板処理装置。   The substrate processing apparatus according to claim 18, wherein the second gas distribution unit includes at least one central injection nozzle disposed in a chamber region corresponding to a central region of the substrate mounting unit. 前記第2のガス分配部は、
前記第1のガス分配部の中心領域に位置する中心噴射ノズルと、
前記第1のガス分配部間の空間に延設された延長噴射ノズルと、
前記中心噴射ノズルと前記延長噴射ノズルに連通された延長流路と
を備えることを特徴とする請求項18に記載の基板処理装置。
The second gas distributor is
A central injection nozzle located in a central region of the first gas distributor;
An extended injection nozzle extending in a space between the first gas distribution parts;
The substrate processing apparatus according to claim 18, further comprising an extension flow path that communicates with the central injection nozzle and the extension injection nozzle.
前記第2のガス分配部の下側領域に位置して前記第2のガス分配部からのプロセスガスを基板に向かって噴射する流路切換装置を備える請求項18に記載の基板処理装置。   The substrate processing apparatus according to claim 18, further comprising a flow path switching device that is located in a lower region of the second gas distribution unit and injects a process gas from the second gas distribution unit toward the substrate. 前記流路切換装置は、
その一部が複数の第1のガス分配部にそれぞれ連結され、複数の第1のガス分配部の中心に位置する固定板と、
前記固定板の中心領域から前記基板載置手段に向かって延設された延長流路と、
前記延長流路の先端領域に設けられた流路切換ノズルと
を備えることを特徴とする請求項24に記載の基板処理装置。
The flow path switching device is:
A part of which is connected to each of the plurality of first gas distribution units, and a fixing plate located at the center of the plurality of first gas distribution units;
An extended flow path extending from the central region of the fixed plate toward the substrate mounting means;
The substrate processing apparatus according to claim 24, further comprising a flow path switching nozzle provided in a distal end region of the extended flow path.
前記第2のガス分配部から噴射されるプロセスガスを加熱する加熱手段または前記第2のガス分配部から噴射されるプロセスガスをプラズマを用いてイオン化させるためのプラズマ発生装置を備えることを特徴とする請求項18に記載の基板処理装置。   A heating means for heating the process gas ejected from the second gas distribution unit or a plasma generator for ionizing the process gas ejected from the second gas distribution unit using plasma is provided. The substrate processing apparatus according to claim 18. 前記基板載置手段の上に設けられて前記第1の噴射手段間の前記第2の噴射手段の下側に挿入される突出部をさらに備えることを特徴とする請求項18に記載の基板処理装置。   19. The substrate processing according to claim 18, further comprising a protrusion provided on the substrate mounting unit and inserted below the second injection unit between the first injection units. apparatus.
JP2011552876A 2009-03-03 2010-02-26 Gas distribution apparatus and substrate processing apparatus having the same Withdrawn JP2012519956A (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
KR10-2009-0018083 2009-03-03
KR1020090018083A KR20100099535A (en) 2009-03-03 2009-03-03 Appratus for treating substrate and method for fabricating the same
KR20090079174 2009-08-26
KR10-2009-0079174 2009-08-26
KR10-2010-0014446 2010-02-18
KR1020100014446A KR20110021624A (en) 2009-08-26 2010-02-18 Source supplying apparatus and substrate processing apparatus having the same
PCT/KR2010/001209 WO2010101369A2 (en) 2009-03-03 2010-02-26 Gas distribution apparatus, and substrate-processing apparatus comprising same

Publications (1)

Publication Number Publication Date
JP2012519956A true JP2012519956A (en) 2012-08-30

Family

ID=44888876

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011552876A Withdrawn JP2012519956A (en) 2009-03-03 2010-02-26 Gas distribution apparatus and substrate processing apparatus having the same

Country Status (3)

Country Link
JP (1) JP2012519956A (en)
CN (1) CN102239543A (en)
TW (1) TW201038763A (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150039563A (en) * 2013-10-02 2015-04-10 가부시키가이샤 뉴플레어 테크놀로지 Semiconductor manufacturing apparatus and semiconductor manufacturing method
KR20150108466A (en) 2014-03-17 2015-09-30 삼성디스플레이 주식회사 Atomic layer deposition apparatus
JP2021082798A (en) * 2019-11-14 2021-05-27 ピーエスケー インコーポレイテッド Baffle unit and substrate processing apparatus including the same
CN113228245A (en) * 2018-12-26 2021-08-06 周星工程股份有限公司 Substrate processing apparatus
JP2021116474A (en) * 2020-01-22 2021-08-10 イーキューテックプラス株式会社 Radical unit injecting precursor and reaction gas together, and ald device including the same
CN113957390A (en) * 2020-07-21 2022-01-21 宝山钢铁股份有限公司 Vacuum coating device with air cushion buffer cavity
JPWO2022059163A1 (en) * 2020-09-18 2022-03-24
US11859286B2 (en) 2020-03-09 2024-01-02 Kioxia Corporation Semiconductor manufacturing apparatus and manufacturing method of semiconductor device

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102418086A (en) * 2011-11-16 2012-04-18 上海卓锐材料科技有限公司 Spraying head device for realizing gas isolation and homogenization
KR101503512B1 (en) * 2011-12-23 2015-03-18 주성엔지니어링(주) Substrate processing apparatus and substrate processing method
WO2013180453A1 (en) * 2012-05-29 2013-12-05 주성엔지니어링(주) Substrate processing device and substrate processing method
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR102215965B1 (en) * 2014-04-11 2021-02-18 주성엔지니어링(주) Apparatus for injection gas and apparatus for processing substrate including the same
KR102350588B1 (en) 2015-07-07 2022-01-14 삼성전자 주식회사 Film forming apparatus having injector
TWI649446B (en) * 2017-03-15 2019-02-01 漢民科技股份有限公司 Detachable gas injectorused for semiconductor equipment
CN113699509B (en) * 2021-10-27 2022-02-01 苏州长光华芯光电技术股份有限公司 Semiconductor growth equipment and working method thereof
CN114318300A (en) * 2021-12-30 2022-04-12 拓荆科技股份有限公司 Semiconductor processing equipment and reaction chamber and process pipeline cavity penetrating module thereof

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100614648B1 (en) * 2004-07-15 2006-08-23 삼성전자주식회사 Apparatus for treating substrates used in manufacturing semiconductor devices
KR100558922B1 (en) * 2004-12-16 2006-03-10 (주)퓨전에이드 Apparatus and method for thin film deposition
KR100905278B1 (en) * 2007-07-19 2009-06-29 주식회사 아이피에스 Apparatus, method for depositing thin film on wafer and method for gap-filling trench using the same

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101633557B1 (en) 2013-10-02 2016-06-24 가부시키가이샤 뉴플레어 테크놀로지 Semiconductor manufacturing apparatus and semiconductor manufacturing method
KR20150039563A (en) * 2013-10-02 2015-04-10 가부시키가이샤 뉴플레어 테크놀로지 Semiconductor manufacturing apparatus and semiconductor manufacturing method
KR20150108466A (en) 2014-03-17 2015-09-30 삼성디스플레이 주식회사 Atomic layer deposition apparatus
KR102229761B1 (en) * 2014-03-17 2021-03-23 삼성디스플레이 주식회사 Atomic layer deposition apparatus
CN113228245A (en) * 2018-12-26 2021-08-06 周星工程股份有限公司 Substrate processing apparatus
JP7190540B2 (en) 2019-11-14 2022-12-15 ピーエスケー インコーポレイテッド Baffle unit, substrate processing equipment including this
JP2021180318A (en) * 2019-11-14 2021-11-18 ピーエスケー インコーポレイテッド Baffle unit and substrate processing apparatus including the same
JP2021082798A (en) * 2019-11-14 2021-05-27 ピーエスケー インコーポレイテッド Baffle unit and substrate processing apparatus including the same
JP2021116474A (en) * 2020-01-22 2021-08-10 イーキューテックプラス株式会社 Radical unit injecting precursor and reaction gas together, and ald device including the same
JP7133240B2 (en) 2020-01-22 2022-09-08 イーキューテックプラス株式会社 Radical unit for injecting precursor and reaction gas together, and ALD apparatus including the same
US11661656B2 (en) 2020-01-22 2023-05-30 Eq Tech Plus Co., Ltd. Thin film forming apparatus and radical unit for forming thin film
US11859286B2 (en) 2020-03-09 2024-01-02 Kioxia Corporation Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
CN113957390A (en) * 2020-07-21 2022-01-21 宝山钢铁股份有限公司 Vacuum coating device with air cushion buffer cavity
CN113957390B (en) * 2020-07-21 2024-03-08 宝山钢铁股份有限公司 Vacuum coating device with air cushion buffer cavity
JPWO2022059163A1 (en) * 2020-09-18 2022-03-24
WO2022059163A1 (en) * 2020-09-18 2022-03-24 株式会社Kokusai Electric Substrate processing device, method for manufacturing semiconductor device, and program
JP7358654B2 (en) 2020-09-18 2023-10-10 株式会社Kokusai Electric Substrate processing equipment, semiconductor device manufacturing method and program

Also Published As

Publication number Publication date
TW201038763A (en) 2010-11-01
CN102239543A (en) 2011-11-09

Similar Documents

Publication Publication Date Title
JP2012519956A (en) Gas distribution apparatus and substrate processing apparatus having the same
US20110048325A1 (en) Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
US7479303B2 (en) Method for chemical vapor deposition (CVD) with showerhead and method thereof
KR20100099535A (en) Appratus for treating substrate and method for fabricating the same
JP5372757B2 (en) Chemical vapor deposition apparatus with shower head for positively adjusting the injection speed of reaction gas and method therefor
TWI222677B (en) Treatment device of substrate
TWI435948B (en) Gas injection unit and apparatus and method for depositing thin layer using the same
US20060112876A1 (en) Semiconductor processing apparatus
KR20030007175A (en) Modular injector and exhaust assembly
KR101334643B1 (en) Reactor for depositing thin film on wafer
WO2008032910A1 (en) Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
KR101123829B1 (en) Substrate treating apparatus
KR101765754B1 (en) Shower head and device for manufacturing a semiconductor substrate having the same
KR20110058393A (en) Gas distribution unit and apparatus for metal organic cvd having the gas distribution unit
KR100967882B1 (en) Reactor for depositing thin film on wafer
KR101651880B1 (en) Apparatus for mocvd
KR102631372B1 (en) Substrate processing apparatus
KR20110021624A (en) Source supplying apparatus and substrate processing apparatus having the same
JP5478723B2 (en) Deposition equipment
KR101395206B1 (en) Apparatus and method for treating substrate
KR102622739B1 (en) Batch type substrate processing apparatus
KR101473403B1 (en) Shower head assembly and apparatus for chemical vapor deposition having the same
KR101297344B1 (en) A chemical vapor deposition apparatus and a gas supply unit thereof
TW202314036A (en) Buffer tank, supply block including the same, and gas supply device
KR20150089328A (en) Showerhead and Chemical Vapor Deposition Apparatus Having the same

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20121211

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20121211

A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20130507