TW201038763A - Gas distribution apparatus and substrate processing apparatus having the same - Google Patents

Gas distribution apparatus and substrate processing apparatus having the same Download PDF

Info

Publication number
TW201038763A
TW201038763A TW99106061A TW99106061A TW201038763A TW 201038763 A TW201038763 A TW 201038763A TW 99106061 A TW99106061 A TW 99106061A TW 99106061 A TW99106061 A TW 99106061A TW 201038763 A TW201038763 A TW 201038763A
Authority
TW
Taiwan
Prior art keywords
gas
gas distribution
plate
space
disposed
Prior art date
Application number
TW99106061A
Other languages
Chinese (zh)
Inventor
Sun-Hong Choi
Seung-Ho Lee
Young-Hee Lee
Original Assignee
Jusung Eng Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020090018083A external-priority patent/KR20100099535A/en
Priority claimed from KR1020100014446A external-priority patent/KR20110021624A/en
Application filed by Jusung Eng Co Ltd filed Critical Jusung Eng Co Ltd
Publication of TW201038763A publication Critical patent/TW201038763A/en

Links

Abstract

Provided are a gas distribution apparatus and a substrate treating apparatus including the same. The substrate treating apparatus includes a chamber comprising a reaction space, a substrate seat unit disposed in the reaction space of the chamber to radially seat a plurality of substrates with respect to a center thereof, and a gas distribution device comprising a first gas distribution part configured to eject at least two source materials onto a substrate through routes different from each other and a second gas distribution part configured to eject a source material having a decomposition temperature greater than an average of decomposition temperatures of the at least two source materials onto the substrate. The first gas distribution part is divided into at least two sections and disposed such that the second gas distribution part is positioned therebetween; and couplable and separable to/from one another.

Description

201038763 六、發明說明: 【發明所屬之技術領域】 °亥氣體配送裝置經組態以 源材料。 本發明係關於基板處理裝置, 氣體配送裝置之基板處理裝置, 供應含有兩種或兩種以上元素之 【先前技術】 通常,為了製造半導體器件、顯千哭从 ...件及薄膜太陽能電 池,執仃用於將具有特定材料之薄膜 、, 联此積於基板上之薄膜 沈積製程、用於使用光阻來曝露或覆 、 设现/專膜之選定區域之 光微影製程及用於移除及圖案化選 .. u峰中之溥膜之蝕刻 該等製程中之薄膜沈積製程及㈣^程係於在真空 狀態中經最佳化的基板處理裝置内執行。 ,氣體配送裝置用於在具有反應空間 配送處理氣體。通常,執行化學氣相 在基板處理裝置中 之處理腔室内均一地 沈積(CVD)製程以將薄膜沈積於基板上。當執行cvd製程 時’乳體配送裝置之溫度可能增加以歸因於處理氣體在處 理腔室之蓋與氣體配送裝置之間或在氣體配送纟置内之分 解及反應而產生粉末或顆粒。舉例而言,當將複數種處理 氣體同時供應至處理腔室以形成含有兩種或兩種以上元素 之複合薄膜時,供應至氣體配送裝置中之該複數種處理氣 體可能在氣體配送裝置内彼此起反應以產生顆粒。氣體配 送裝置之噴射孔可能由該等顆粒阻塞,或該等顆粒可能吸 附至基板以改變器件性質。 因此’氣體配送裝置具有多層結構以解決產生顆粒之缺 146728.doc 201038763 陷。亦即,氣體配送裝置之内部分隔成上部空間及下部空 間。將-處理氣體供應至上部空間,且將另—處理氣體供 應,下部空間以防止該等處理氣體在氣體配送裝置内彼此 • 力氣體反應。適當地排列複數個針型管且執行硬焊製程若 * 干-人以製造氣體配送裝置。隨著氣體配送裝置之面積增 加’管之數目增加。因此,當使用硬焊製程耗接管時,故 障率可能增加。另外,可能重複執行硬焊製程而引起熱變 〇 形,且應力為經硬焊之部分所固有的而引起洩漏。 又,分解效率可能歸因於複數種處理氣體之間的分解溫 j差而降低,或處理氣體可能在將處理氣體噴射至處理腔 至中之則分解。結果,薄膜沈積速度可能減小,且可能使 薄膜之均一性劣化。又,處理氣體之使用增加而增加處理 成本。又,副產物之量增加而增加維護及修復成本。 【發明内容】 本發明提供一種氣體配送裝置,其中兩種或兩種以上之 〇 氣體由具有複數個通孔且使用鑽孔或金屬片形成製程製造 之第一氣體配送板及藉由將複數個管耦接至彼此而製造且 包括與該複數個通孔連通之複數個噴嘴之第二氣體配送板 獨立且穩定地喷射;及一種包括該氣體配送襞置之基板處 理裝置。 本發明亦提供一種氣體配送裝置,其中溫度量測單元安 置於i括複數個噴射嘴之氣體配送板上以將致冷劑調整至 適田/皿度’及一種包括該氣體配送裝置之基板處理裝置。 本發明亦提供一種氣體配送裝置,其中歸因於複數種處 146728.doc 201038763 理孔體之間的分解溫度差之分解效率降低及在喷射處理氣 體之前的處理氣體之分解得以防止;及—種包括該氣體配 送裝置之基板處理裝置。 本發明亦提供一種氣體配送裝置,其係分隔成複數個氣 體配送裝置以使該等氣體配送裝置彼此轉接/彼此分離; 及一種包括該氣體配送裝置之基板處理裝置。 根據一例示性實施例,一氣體配送裝置包括:一第一氣 體配送部分,其經組態賴由彼此不同的路線將至少兩源 材料喷射至基板上,及—第二氣體配送部分,其經組態以 將具有大於該至少兩源材料之分解溫度之平均值的分解溫 度之源材料噴射至該基板上,其中該第一氣體配送部分係 分隔成至少兩個區段且經安置使得該第二氣體配送部分定 4於4至v兩個區段之間;且該至少兩個區段彼此可耦接 且可分離。 該第一氣體配送部分可包括:一第一氣體配送板,其連 接至經組態以引入第一處理氣體之第一進氣管,該第一氣 體配送板包括複數個第—通孔以使第一處理氣體通過;一 第二氣體配送板,其連接至經組態以5丨人第二處理氣體之 第一進乳官,該第二氣體配送板包括與複數個第一通孔對 準以使第一處理氣體通過之複數個第二通孔及使第二處理 氣體通過之複數個第二通孔;及一第三氣體配送板,其包 括與該複數個第二通孔及該複數個第三通孔對準且經組態 以刀別噴射该第一處理氣體及該第二處理氣體之複數個第 噴為及複數個第二噴嘴,及供致冷劑流動之空間。 I46728.doc 201038763 該第-氣體配送板可包括:一外殼,其包括經組態以收 納自第-進氣管供應之第一處理氣體之空間;及一配送單 Τϋ,其女置於該空間内’該配送單元經組態以均一地配送 自該第一進氣管引入之該第一處理氣體。 該配送單元可包括―板及藉由對該板穿孔而界^之複數 個供氣孔。201038763 VI. Description of the invention: [Technical field to which the invention pertains] The gas distribution device of the invention is configured with a source material. The present invention relates to a substrate processing apparatus, a substrate processing apparatus for a gas distribution apparatus, and a supply of two or more elements. [Prior Art] Generally, in order to manufacture a semiconductor device, a device, and a thin film solar cell, A photolithography process for depositing a film of a specific material, a thin film deposition process on a substrate, a photoresist for exposure or overcoating, a selected area of a film/film, and a transfer process In addition to the patterning selection, the etching of the ruthenium film in the u-peak is performed in the substrate processing apparatus optimized in the vacuum state in the process of the thin film deposition process and the process in the vacuum state. The gas distribution device is used to distribute the process gas in a reaction space. Typically, a chemical vapor phase is performed in a processing chamber in a substrate processing apparatus to uniformly deposit (CVD) a process to deposit a thin film on a substrate. When the cvd process is performed, the temperature of the emulsion dispensing device may increase to produce powder or particles due to the decomposition and reaction of the process gas between the lid of the processing chamber and the gas distribution device or within the gas distribution device. For example, when a plurality of processing gases are simultaneously supplied to the processing chamber to form a composite film containing two or more elements, the plurality of processing gases supplied to the gas distribution device may be in the gas distribution device The reaction is initiated to produce particles. The orifices of the gas delivery device may be blocked by such particles, or the particles may be attached to the substrate to alter the properties of the device. Therefore, the gas distribution device has a multi-layer structure to solve the problem of the generation of particles 146728.doc 201038763. That is, the inside of the gas distribution device is partitioned into an upper space and a lower space. The process gas is supplied to the upper space and the additional process gas is supplied to the lower space to prevent the process gases from reacting with each other in the gas distribution device. A plurality of needle tubes are appropriately arranged and a brazing process is performed to make a gas distribution device. As the area of the gas distribution device increases, the number of tubes increases. Therefore, when using a hard soldering process, the failure rate may increase. In addition, it is possible to repeatedly perform the brazing process to cause thermal deformation, and the stress is inherent to the hard soldered portion to cause leakage. Further, the decomposition efficiency may be attributed to a decrease in the decomposition temperature j between the plurality of process gases, or the process gas may be decomposed when the process gas is injected into the process chamber. As a result, the film deposition speed may be reduced, and the uniformity of the film may be deteriorated. Also, the use of process gases is increased to increase processing costs. Also, the amount of by-products increases to increase maintenance and repair costs. SUMMARY OF THE INVENTION The present invention provides a gas distribution device in which two or more kinds of helium gas are produced by a first gas distribution plate having a plurality of through holes and using a drilling or sheet metal forming process, and by plural A second gas distribution plate, which is coupled to each other and includes a plurality of nozzles in communication with the plurality of through holes, is independently and stably ejected; and a substrate processing apparatus including the gas distribution device. The invention also provides a gas distribution device, wherein the temperature measuring unit is disposed on a gas distribution plate including a plurality of injection nozzles to adjust the refrigerant to the field/span degree and a substrate treatment including the gas distribution device Device. The present invention also provides a gas distribution device in which the decomposition efficiency of the decomposition temperature difference between the plurality of species 146728.doc 201038763 is reduced and the decomposition of the treatment gas before the process gas is prevented is prevented; A substrate processing apparatus including the gas distribution device. The present invention also provides a gas distribution device that is divided into a plurality of gas distribution devices to switch the gas distribution devices to each other/separate from each other; and a substrate processing device including the gas distribution device. According to an exemplary embodiment, a gas distribution apparatus includes: a first gas distribution portion configured to eject at least two source materials onto a substrate by a route different from each other, and - a second gas distribution portion, Configuring to eject a source material having a decomposition temperature greater than an average of decomposition temperatures of the at least two source materials onto the substrate, wherein the first gas distribution portion is separated into at least two segments and disposed such that the first The two gas distribution portions are defined between four segments of 4 to v; and the at least two segments are coupled to each other and separable. The first gas distribution portion may include: a first gas distribution plate coupled to the first intake pipe configured to introduce the first process gas, the first gas distribution plate including a plurality of first through holes The first process gas passes; a second gas distribution plate is coupled to the first influent officer configured to process the second process gas, the second gas distribution plate includes alignment with the plurality of first through holes a plurality of second through holes through which the first process gas passes and a plurality of second through holes through which the second process gas passes; and a third gas distribution plate including the plurality of second through holes and the plurality The third through holes are aligned and configured to inject a plurality of first sprays of the first process gas and the second process gas into a plurality of second nozzles and a space for the refrigerant to flow. I46728.doc 201038763 The first gas distribution plate may include: a casing including a space configured to receive a first process gas supplied from the first intake pipe; and a delivery unit, the female being placed in the space The distribution unit is configured to uniformly distribute the first process gas introduced from the first intake pipe. The dispensing unit may include a "plate" and a plurality of air supply holes defined by perforating the plate.

該第二氣體配送板可包括··—外殼,其連接至第二進氣 管,該外殼提供經組態以收納第二處理氣體之空間;複數 個柱體,#包括在該空間中之複數個第二通孔;及複數個 第三通孔,其係藉由對外殼之下部部分穿孔而界定。 該第二氣體配送板可包括:—隔板,其安置於該空間 内;及-緩衝空間’其係由外殼之側壁及該隔板分隔出, 該緩衝m經組態以收納自該第二進氣t供應之第二處理 氣體。 該第二氣體配送板可包括在隔板中之供氣孔以將該緩衝 空間之第二處理氣體供應至該空間。 該第三氣體配送板可包括 第一噴嘴及複數個第二噴嘴 空間;及一致冷劑流動管, 致冷劑。 :一外殼,其中安置有複數個 ,該外殼包括供致冷劑流動之 其連接至該外殼以供應或排放 該外殼可包括:-側壁,其環繞該空間之側表面;一上 部板’其安置於該側壁上方以與該複數個[噴嘴及該複 數個第二嘴嘴連通;及—下部板,其安置於該側壁下方以 與該複數個第一喷嘴及該複數個第二噴嘴連通。 146728.doc 201038763 該外殼:⑼:—側壁’其環繞該空間之側表面;及— 下部板’其中安置有直接垃艇 第一喷嘴及複數個第二喷嘴读第二氣體配送板之複數個 該氣體配送裝置可進一步包括一溫度計,該溫度計安置 於該第二氣體配送板與該第三氣體配送板中之至少一者 配达。P分可安置於一腔室蓋之下側 W工姚〜「调I甲心邵 / 刀處’且至少兩個第—氣體配送部分安置於該腔室蓋下方 =得該第二氣體配送部分定位於該至少兩個[氣體配 送部分之間。 該至少兩個第一氣體配送板中之至少一者彼此隔開。 八該氣體配送裝置可進一步包括至少—第三氣體配送部 刀及至少一第三氣體配送部分安置於該至少兩個第一氣 體配送部分之間以切㈣氣體(fuzzy gas)。 :亥第三氣體配送部分可朝向基板之外側噴射該攪擾 體。 突起可形成於該至少兩個第—氣體配送部分之兩側表面 处’且對應於該等突起之凹槽形成於該第三氣體配送部分 一 5 4表面處以將諸突起插人至該等凹槽中藉此將該第 三氣體配送部分耦接於該等第一氣體配送部分之間。 一溫度偵測器可安置於至少一第三氣體配送部分下方。 —根據另一例示性實施例,一基板處理裝置包括:一腔 '其包括反應空間;一基板定位單元,其安置於該腔室 之X反應空間中以相對於其中心徑向地定位複數個基板; 146728.doc 201038763 及一氣體配送器件,其包括一第一氣體配送部分,該第一 氣體配送部分經組態以經由彼此不同的路線將至少兩源材 料喷射至基板上,及一第二氣體配送部分,該第二氣體配 送郤刀經組態以將具有大於該至少兩源材料之分解溫度之 平均值的分解溫度之源材料喷射至該基板上,其中該第一 氣體配送部分係分隔成至少兩區段且經安置以使得該第二 氣體配送部分定位於該至少兩區段之間;且該至少兩區段 〇 彼此可耦接且可分離。 該腔室可包括··一腔室本體,其中提供反應空間;及一 腔室蓋,其經組態以密封該反應空間,且第一氣體配送部 为及第一氣體配送部分固定至該腔室蓋。 一致冷劑路徑可安置於該腔室蓋中,致冷劑在該致冷劑 路徑中循環。 該第一氣體配送部分可包括:一第一氣體配送板,其連 接至經組態以引入第一處理氣體之第一進氣管,該第一氣 〇 體配送板包括複數個第一通孔以使第一處理氣體通過;一 第二氣體配送板,其連接至經組態以引入第二處理氣體之 第一進氣t,該第二氣體配送板包括與該複數個第一通孔 -對準以使s亥第一處理氣體通過之複數個第二通孔及使第二 . 4理氣體通過之複數個第三通孔u三氣體配送板, 其包括與該複數個第二通孔及該複數個第三通孔對準且經 組態以分別喷射該第一處理氣體及該第二處理氣體之複數 個第-喷嘴及複數個第二喷嘴;及供致冷劑流動之空間。 該第二氣體配送部分可包括至少-中心注人噴嘴,該至 146728.doc -9- 201038763 少一中心注入噴嘴安詈 域之腔室區域中。、、-於該基板定位單元之中心區 该第二氣體配送部分可句. m ^括.一中心注入喷嘴,其安置 於该苐一耽體配送部分之中心 C-戈中,—延伸注入嗜嘴, 其延伸至該等第—氣體㈣# A ^ 路徑,其與該中心注入噴嘴 甲 貝策及5亥延伸注入喷嘴連通。 該氣體配送裝置可進_牛今k 包括—路徑改變器件,該路徑 改變态件安置於該第-翁髀、 1……第一札體配迗部分之下部區域中以朝向 土板噴射自S亥弟二氣體配送部分 <丨刀供應之處理氣體。 該路徑改變器件可包括:― 固又板’其一部分分別連接 至該複數個第一氣體配送部分, 6 δ亥固疋板係安置於該複數 個第-氣體配送部分之中心處;—延伸路徑,“該固定 板之中心區域朝向該基板定位單元延伸;及一路徑改變噴 嘴,其安置於該延伸路徑之末端區域處。 、 該氣體配送裝置可進一步包括.士细苗― ' 7 I栝.一加熱单兀,其經組態 以加熱自該第二氣體配送部分噴射之處理氣體;或一電漿 產生器件’其經組態以使用電聚來離子化自該第二氣體: 送部分喷射之處理氣體。 ' 該氣體配送褒置可進—步包括_安置於該基板定位單元 上之突起,該突起插入至該等第一氣體配送部分之間的該 第二配送部分之下側中。 【實施方式】 可由結合隨附圖式進行之以下描述更詳細地理解例示性 實施例。 146728.doc •10· 201038763 在下文’將參看隨附圖式詳细;Ρ Η' 4* a成 描述特定實施例。然而, 本杳明可以不同形式體現且肩 ^ 个應解釋為限於本文中所陳述 之只施例。實情為,提供此等 貝她例以使付本發明將為詳 猫且完整的,且將本發明之笳路入 月炙乾可全面傳達至熟習此項技術 者。相同參考數字始終指代相同元件。 圖1為根據例示性實施例之基板處理裝置之剖視圖,圖2 及圖3分別為說明根據例示性實施例之基板處理裝置之氣The second gas distribution plate may include an outer casing connected to the second intake pipe, the outer casing providing a space configured to receive the second process gas; a plurality of cylinders, #included in the space a second through hole; and a plurality of third through holes defined by perforating a lower portion of the outer casing. The second gas distribution plate may include: a partition disposed in the space; and a buffer space 'separated by a side wall of the outer casing and the partition, the buffer m configured to be received from the second The second process gas supplied by the intake air t. The second gas distribution plate may include an air supply hole in the partition to supply the second process gas of the buffer space to the space. The third gas distribution plate may include a first nozzle and a plurality of second nozzle spaces; and a uniform refrigerant flow tube, a refrigerant. An outer casing in which a plurality of housings are disposed, the outer casing including a refrigerant flowing thereto connected to the outer casing to supply or discharge the outer casing may include: - a side wall surrounding a side surface of the space; an upper plate 'positioned And a plurality of [nozzles and the plurality of second nozzles are connected above the sidewall; and a lower plate disposed under the sidewall to communicate with the plurality of first nozzles and the plurality of second nozzles. 146728.doc 201038763 The outer casing: (9): a side wall that surrounds a side surface of the space; and - a lower plate in which a plurality of direct boat first nozzles and a plurality of second nozzles read a second gas distribution plate are disposed The gas distribution device can further include a thermometer disposed in at least one of the second gas distribution plate and the third gas distribution plate. The P-segment can be placed under the side of a chamber cover, W-Yao~ "I-key-saw/knife" and at least two gas-distributing portions are placed under the chamber cover = the second gas distribution part Positioned between the at least two [gas distribution portions. At least one of the at least two first gas distribution plates is spaced apart from each other. The gas distribution device may further comprise at least a third gas distribution portion knife and at least one The third gas distribution portion is disposed between the at least two first gas distribution portions to cut a (fu) gas. The third gas distribution portion may spray the agitating body toward the outer side of the substrate. The protrusion may be formed at the at least Grooves at both side surfaces of the two first gas distribution portions and corresponding to the protrusions are formed at the surface of the third gas distribution portion 504 to insert the protrusions into the grooves, thereby The third gas distribution portion is coupled between the first gas distribution portions. A temperature detector may be disposed under the at least one third gas distribution portion. - According to another exemplary embodiment, a substrate processing device includes a chamber 'which includes a reaction space; a substrate positioning unit disposed in the X reaction space of the chamber to radially position a plurality of substrates relative to a center thereof; 146728.doc 201038763 and a gas distribution device including a first gas distribution portion configured to inject at least two source materials onto the substrate via different routes from each other, and a second gas distribution portion, the second gas distribution knife configured to Generating a source material having a decomposition temperature greater than an average of decomposition temperatures of the at least two source materials onto the substrate, wherein the first gas distribution portion is partitioned into at least two segments and disposed to cause the second gas to be dispensed Partially positioned between the at least two sections; and the at least two sections are coupled to each other and separable. The chamber may include a chamber body in which a reaction space is provided; and a chamber cover Configuring to seal the reaction space, and the first gas distribution portion and the first gas distribution portion are fixed to the chamber cover. The uniform refrigerant path can be disposed in the chamber cover, causing cooling The agent circulates in the refrigerant path. The first gas distribution portion may include: a first gas distribution plate coupled to the first intake pipe configured to introduce the first process gas, the first gas cartridge The body dispensing plate includes a plurality of first through holes for passing the first process gas; a second gas distribution plate coupled to the first intake air t configured to introduce a second process gas, the second gas distribution plate Included with the plurality of first through holes-aligned to pass the first processing gas through the plurality of second through holes and the plurality of third through holes u three gas distribution plates through which the second processing gas passes, The method includes a plurality of first nozzles and a plurality of second nozzles that are aligned with the plurality of second through holes and the plurality of third through holes and configured to respectively spray the first process gas and the second process gas And a space for the refrigerant to flow. The second gas distribution portion may include at least a center injection nozzle, which is 146728.doc -9- 201038763 less than a center injection nozzle in the chamber region of the nozzle. And, in the central area of the substrate positioning unit, the second gas distribution portion may be a central injection nozzle, which is disposed in the center C-go of the distribution portion of the first body, and extends the injection The nozzle extends to the first-gas (four) #A^ path, which is in communication with the central injection nozzle 甲贝策 and the 5 hai extension injection nozzle. The gas distribution device can include a path changing device, and the path changing state member is disposed in the lower portion of the first body, the first body of the first body, and is sprayed toward the soil plate from the S Haidi two gas distribution part < processing gas supplied by the sickle. The path changing device may include: a solid portion and a plate portion connected to the plurality of first gas distribution portions, respectively, wherein the 6 δ Haigu plate is disposed at a center of the plurality of first gas distribution portions; a central portion of the fixed plate extends toward the substrate positioning unit; and a path changing nozzle disposed at an end region of the extended path. The gas distribution device may further include a thin seedling - '7 I栝. a heating unit configured to heat the processing gas injected from the second gas distribution portion; or a plasma generating device configured to ionize from the second gas using electropolymerization: The process gas. The gas distribution device further includes a protrusion disposed on the substrate positioning unit, the protrusion being inserted into a lower side of the second dispensing portion between the first gas distribution portions. [Embodiment] The illustrative embodiments can be understood in more detail by the following description in conjunction with the accompanying drawings. 146728.doc •10· 201038763 In the following 'will be referred to with the accompanying drawings in detail; The present invention is described in terms of specific embodiments. However, the present invention may be embodied in different forms and should be construed as limited to the only embodiments set forth herein. In fact, such examples are provided to enable The invention will be described in detail and the entire disclosure of the present invention will be fully disclosed to those skilled in the art. The same reference numerals refer to the same elements throughout. Figure 1 is a substrate treatment in accordance with an exemplary embodiment. A cross-sectional view of the device, and FIG. 2 and FIG. 3 respectively illustrate the gas of the substrate processing apparatus according to an exemplary embodiment.

體配送裝置的詳細剖視圖及分解透視圖。圖4a至圖化為 說明製造根據例示性實施例之第三氣體配送板之製程的剖 視圖’且圖5為根據例示性實施例之第二氣體配送板之平 面圖。 參看圖1至圖5,基板處理裝置11〇包括:一處理腔室 112,其提供反應空間;一氣體配送裝置ιΐ4,其安置於處 理腔室112之内部上部部分以供應彼此不同的處理氣體; 一基板定位單元118,基板116定位於該基板定位單元118 上且面向氣體配送裝置114 ; 一基板入口 120,基板116經 由該基板入口 120裝載或卸載;及一排放孔122,反應空間 内之處理氣體及副產物經由該排放孔122排放。氣體配送 裝置114連接至射頻(rf)電源124。用於阻抗匹配之匹配器 126可安置於氣體配送裝置114與11?電源ι24之間。或者, 氣體配送裝置114可不連接至RF電源124以使用化學氣相沈 積(CVD)方法,其中處理氣體經簡單地供應至反應空間中 以形成薄膜。 處理腔室112包括腔室本體128及可拆卸地耦接至腔室本 146728.doc •11 · 201038763 體128以密封該反應空間之腔室蓋m。腔室本體i28具有 上側敞開之圓柱形或多邊形形狀。腔室蓋130具有一板形 狀,該板形狀具有對應於腔室本體128之形狀的形狀。儘 管未展示’但例如◦形環或墊圈之密封部件安置於腔室蓋 no與腔室本體128之間以使用固定部件將腔室蓋13〇柄接 至腔室本體128。如圖2中所示,通道146可經安置以防止 腔室蓋13G之溫度增加,致冷劑藉由致冷劑循環裝置⑽中 未展不)而作為溫度調節單元在該通道146中循環。此 由於在反應空間内處理基板116時反應空間内之溫度傳至 耗接至氣體配送裝置114之腔室蓋13(),因此腔室蓋之 溫度可能增加。亦即,致冷劑在循環至安置於腔室蓋⑽ 内之通道U6中時可防止腔室蓋⑽之溫度歸因於反應^間 之增加的溫度而增加。另外,其可防止在腔室蓋丨3〇之上 部部分處或鄰近於腔室蓋13〇而安置之周邊器件的溫度增 加。 如圖1中所示,基板定位單元118由支撐件132支撐。 又,基板定位單元118上升或下降且由支撐件132旋轉。支 撐件132連接至經組態以提供驅動力之驅動單元i3i。用於 維持在'封之波紋管(圖中未展示)及在支撐件132上升或下降 且旋轉時用作旋轉密封單元之磁性螺釘(圖中未展示)連接 於支撐件132與驅動單元13 1之間。基板定位單元丨丨8與基 板116具有彼此相同的組態。儘管在圖丨中說明上面定位一 個基板116之基板定位單元ns,但基板定位單元118可包 括上面定位基板116之複數個基座及上面安置複數個基座 146728.doc -12- 201038763 中之每一者且具有複數個插入孔以在其上定位複數個基板 116之圓盤。 如圖2及圖3中所示,氣體配送裝置114包括:一第一氣 體配送板134,其收納第一處理氣體以使第一處理氣體通 過’·一第二氣體配送板136 ,其收納第二處理氣體以使第 一處理氣體及第二處理氣體通過;及一第三氣體配送板 138,其將第一處理氣體及第二處理氣體喷射至基板定位 單元118上。 第一氣體配送板134包括第一進氣管134a、第一外殼 134b、擋板134c及複數個第一通孔134de第一進氣管13乜 通過腔室蓋130之中心部分以引入第一處理氣體。第一外 殼134b具有收納第一處理氣體之一第一空間16〇。擋板 134c用作一配送單元,用於將自第一進氣管13牦供應之第 一處理氣體均一地配送至第一外殼134b中。該複數個第一 通孔l34d安置於第一外殼^仆之底面上以使第一處理氣體 通過。 第二氣體配送板136包括第二進氣管136a、第二外殼 136b、緩衝空間136(;、複數個第二通孔n6d及複數個第三 通孔136e。第二進氣管136a通過腔室蓋13〇以引入第二處 理虱體。第二外殼136b具有收納第二處理氣體之一第二空 間162。緩衝空間13&係藉由使用隔板ι4〇分隔第二外殼 136b之側向空間而界定,且連接至第二進氣管136a以在將 第二處理氣體供應至第二空間162中之前收納第二處理氣 體。該複數個第二通孔136d與該複數個第一通孔134d連通 146728.doc -13- 201038763 以使第一處理氣體通過。該複數個第三通孔136e安置於第 二外殼之底面上以使第二處理氣體通過。緩衝空間 "6c係界定於第二外殼136b之側表面中。供氣孔142界定 於隔板140中以將第二處理氣體均一地供應至第二空間162 中。隔板140沿第二外殼136b之側壁安置且安置於該側壁 内部,且與該側壁隔開預定距離。緩衝空間13^係界定於 隔板140與第二外殼136b之間。緩衝空間13&收納自第二 進氣管136a供應之第二處理氣體。緩衝空間13^根據氣體 配送裝置U4之組態而具有圓環或多邊環形狀。然而,當 提供複數個第二進氣管136a且該等第二進氣管13仏中之每 7者連接至第二外殼136b之側表面時,可界定彼此隔絕之 複數個緩衝空間136c。又,複數個緩衝空間13心可彼此連 通。亦即,當第二氣體配送板136具有正方形形狀時可 在四邊中之每一者處安置且界定一第二進氣管及一緩 衝空間U6C。隔板140中界定之供氣孔142可具有具相同高 度之連續延伸狹縫形狀或斷續延伸以形成隔離的圖案之複 數個開口。 ^ 第三氣體配送板138包括第三外殼138a、複數個第一喷 嘴138b、複數個第二噴嘴13以及致冷劑流動管丨”。第三 外殼138a具有供致冷劑流動之第三空間164。該複數個第 一噴嘴138b安置於第三外殼138a内部且分別與複數個第二 通孔136d連通以喷射第一處理氣體。該複數個第二噴嘴 138c與該複數個第三通孔n6e連通以喷射第二處理氣體。 致冷劑流動管152連接至第三外殼13心以使致冷劑循^ 146728.doc -14- 201038763 致冷劑流動管152包括:一致冷劑供應管,其將致冷劑供 應至第三空間164中;及一致冷劑排放管,其排放第三空 間164内之致冷劑。致冷劑流動管152通過腔室蓋,插 入至處理腔室U2中且連接至第三外殼138&之側表面。致 冷劑循環至致冷劑循環裝置(圖中未展示)中。 當在大於約100(TC之溫度下在基板處理裝置11〇中對基 板116長時間執行薄膜沈積製程時,氣體配送裝置114可能 0 經過度加熱至耐熱溫度或耐熱溫度以上。此外,過度加熱 可能嚴重地出現在面向基板定位單元118之氣體配送裝置 114之第三配送板138處。因此,供致冷劑循環之致冷劑循 環裝置安置於第三配送板138内部以作為用於防止氣體配 送裝置114過度加熱之冷卻裝置。在致冷劑循環裝置發生 故障之狀況下,第一熱電偶144安置於第三氣體配送板138 上以量測氣體配送板114之溫度。當氣體配送板114經加熱 至耐熱溫度或耐熱溫度以上時,停止對處理腔室U2之加 〇 熱。又,第二熱電偶(圖中未展示)可安置於第二氣體配送 板136上。第一熱電偶及第二熱電偶分別量測第三氣體配 送板138及第二氣體配送板136之溫度,且比較第二氣體配 -送板136之溫度與第三氣體配送板138之溫度以調整致冷劑 之溫度。當第二氣體配送板136與第三氣體配送板138之間 的溫度差大時,彼此連通之複數個第二通孔136d與複數個 第一喷嘴138b及彼此連通之複數個第三通孔13&與複數個 第二喷嘴138c歸因於熱膨脹而可能彼此不對準。因此,可 調整致冷劑以防止第二氣體配送板136與第三氣體配送板 146728.doc 15 201038763 138之間的溫度差產生。結果,可防止歸因於熱膨脹之在 複數個第二通孔136d與複數個第一喷嘴138b之間及在複數 個第三通孔136e與複數個第二噴嘴138(;之間的不對準。 參看圖2及圖3,氣體配送裝置114之第一氣體配送板134 固定至腔室蓋130,且收納經由第一進氣管13牝引入之第 處理氣體之第一空間16〇係界定於腔室蓋13〇與第一氣體 配送板134之間。—凹入部分148界定於對應於第—氣體配 送板134之腔室蓋13〇中,且擋板13扣安置於凹入部分148 與由第-外殼134b界定之第一空間16〇之間。擋板13軋包 括板149及複數個供氣孔15〇,其中板149經穿孔以將凹入 邛刀148内之第一處理氣體平穩地供應至第一空間160中。 為了將凹入。48内之第一處理氣體平穩地供應至第一 空間160中’複數個供氣孔150中之任一者可不匹配第一進 氣管134a。亦即,經由第一進氣管13乜供應之第一處理氣 體由擋板134e反射且收納至凹人部分148巾。接著,將第 一處理氣體經由複數個供氣孔150供應至第—空間16〇中。 第氣體配送板13 4係使用具有極佳可處理性之|呂製 第氣體配送板134之内部經使用&狀紹鑽孔以界定 收納第一處理教體夕楚 介0日,α 札體之第一空間】6〇。接著,第一空間160之 底面經穿孔以界定用於使第—處理氣體通過之複數個第一 通孔⑽。或者,在不使用塊狀鋁的情況下,由鋁形成之 板可使用焊接製程彼_接’且接著其下料分可經穿孔 以界,第-氣體配送板134。第一外殼⑽之側壁具有足 以覆盘第二氣體配送板136之第二外殼136b中界定之緩衝 146728.doc -16- 201038763 空間136c的厚度。第一外殼134b之侧壁具有足以覆蓋緩衝 空間136c之厚度的原因在於,連接至緩衝空間136c之第二 進氣管136a係插入穿過腔室蓋13〇及第一外殼134b之側 - 壁。因此,第一外殼134b之側壁可具有等於第二外殼136b .之侧壁之寬度與緩衝空間13 6c之寬度之總和的厚度。 第一氣體配送板134之複數個第一通孔π 4d與第二氣體 配送板136之複數個第二通孔136d經對準以彼此連通,且 0 接著第二氣體配送板136耦接至第一氣體配送板134。第二 氣體配送板136係使用具有極佳可處理性之鋁製造。垂直 通過塊狀鋁之第二通孔136(1經界定,且在塊狀鋁之兩端之 間及在複數個第二通孔丨36d之間的部分經鑽孔以界定緩衝 空間136c及收納第二處理氣體之第二空間162。接著,在 複數個第二通孔136(1之間的部分經穿孔以界定複數個第三 通孔13 6 e。 參看圖3及圖5,塊狀鋁之底面經鑽孔以維持恆定厚度以 〇 形成具有第二通孔136d之複數個柱體166。複數個柱體166 之下部部分構成供界定複數個第三通孔136e之第二外殼 之底面。複數個柱體166中之每一者具有隔離之圖 案,該複數個柱體166之間的部分經鑽孔以界定彼此連通 之第二空間162。儘管複數個柱體166中之每一者可具有等 於各別第二通孔136d之形狀的圓柱形形狀,但本發明不限 於此。舉例而言,考慮製程方便性,該等柱體166中之每 -者可具有如圖5中所示之正方形形狀。當複數個柱體166 中之每一者具有正方形形狀時,可磨圓各別柱體166之邊 146728.doc •17- 201038763 緣=刀以使彳于第二處理氣體平穩流動。塊狀鋁經鑽孔以形 成第二外殼136b之側壁’其中第二空間162經界定且隔板 140分隔出緩衝空間136c。隔板14〇經處理以界定供氣孔 142,第二處理氣體在隔板14〇之上部部分經由該供氣孔 142供應。儘管一個柱體166在圖3及圖5中具有一個第二通 孔136d,但本發明不限於此。舉例而言,必要時,一個柱 體166可具有兩個或兩個以上第二通孔i36d。然而,當一 柱體166具有兩個或兩個以上第二通孔136d時,由於第三 通孔136e之數目小於第二通孔l36d之數目,因此與第一處 理氣體相比,可能供應通過複數個第一通孔13牝及複數個 第二通孔136d之相對較大量的第二處理氣體。因此,可考 慮第一處理氣體與第二處理氣體之供應率而調整形成於一 個柱體166中之第二通孔136d之數目。 弟氣體配送板I34之複數個第一通孔134d及第二氣體 配送板136之複數個第二通孔U6d經對準以彼此連通。當 第二氣體配送板136麵接至第一氣體配送板134時,第一氣 體配送板134之第—外殼134b之下部部分表面接觸複數個 柱體166之上部部分。因此,第一處理氣體經由第一氣體 配送板!34之複數個第—通孔md傳至第二氣體配送板136 之複數個第二通孔136d,同時維持第—處理氣體之密封。 此處,鄰近於—第三通孔136e之第二通孔⑽彼此間具有 同的距離$即,第二通孔i 3^係界定於四個第二通孔 之中〜處。當第二氣體配送板136耦接至第一氣體配 送板134日夺第-進氣官136a插入穿過腔室蓋130及第一氣 146728.doc -18- 201038763 體配送板134至緩衝空間136c中。緩衝空間i36c及第二空 間1 62經處理以在緩衝空間136c與第二空間ι62之間形成隔 板140,且收納至缓衝空間136c中之第二處理氣體經由供 氣孔142供應至第二空間162中。A detailed cross-sectional view and an exploded perspective view of the body dispensing device. 4a to FIG. 4 are cross-sectional views illustrating a process of manufacturing a third gas distribution plate according to an exemplary embodiment, and FIG. 5 is a plan view of a second gas distribution plate according to an exemplary embodiment. Referring to FIGS. 1 through 5, the substrate processing apparatus 11 includes: a processing chamber 112 that provides a reaction space; and a gas distribution device ι 4 disposed in an upper portion of the interior of the processing chamber 112 to supply processing gases different from each other; a substrate positioning unit 118, the substrate 116 is positioned on the substrate positioning unit 118 and faces the gas distribution device 114; a substrate inlet 120 through which the substrate 116 is loaded or unloaded; and a discharge hole 122 for processing in the reaction space Gas and by-products are discharged through the discharge holes 122. Gas distribution device 114 is coupled to a radio frequency (rf) power source 124. A matcher 126 for impedance matching can be placed between the gas distribution devices 114 and 11 - power supply ι 24. Alternatively, the gas distribution device 114 may not be connected to the RF power source 124 to use a chemical vapor deposition (CVD) method in which the process gas is simply supplied into the reaction space to form a thin film. The processing chamber 112 includes a chamber body 128 and a chamber cover m that is detachably coupled to the chamber body 146728.doc • 11 · 201038763 to seal the reaction space. The chamber body i28 has a cylindrical or polygonal shape with an open upper side. The chamber cover 130 has a plate shape having a shape corresponding to the shape of the chamber body 128. Although not shown, a sealing member such as a beak ring or a gasket is disposed between the chamber cover no and the chamber body 128 to connect the chamber cover 13 to the chamber body 128 using a fixing member. As shown in Fig. 2, the passage 146 may be disposed to prevent an increase in the temperature of the chamber cover 13G, and the refrigerant circulates in the passage 146 as a temperature adjustment unit by the refrigerant circulation device (10). Since the temperature in the reaction space is transferred to the chamber cover 13 () of the gas delivery device 114 when the substrate 116 is processed in the reaction space, the temperature of the chamber cover may increase. That is, the refrigerant prevents the temperature of the chamber cover (10) from being increased due to the increased temperature between the reactions when it is circulated into the passage U6 disposed in the chamber cover (10). In addition, it prevents an increase in the temperature of the peripheral device disposed at or adjacent to the upper portion of the chamber cover 〇3〇. As shown in FIG. 1, the substrate positioning unit 118 is supported by a support 132. Also, the substrate positioning unit 118 is raised or lowered and rotated by the support member 132. The support member 132 is coupled to a drive unit i3i configured to provide a driving force. A magnetic screw (not shown) for maintaining a 'sealed bellows (not shown) and serving as a rotary sealing unit when the support member 132 is raised or lowered and rotated is coupled to the support member 132 and the drive unit 13 1 between. The substrate positioning unit 丨丨8 and the substrate 116 have the same configuration as each other. Although the substrate positioning unit ns for positioning a substrate 116 is illustrated in the figure, the substrate positioning unit 118 may include a plurality of pedestals on which the substrate 116 is positioned and a plurality of pedestals 146728.doc -12- 201038763 disposed thereon. One and has a plurality of insertion holes to position a plurality of disks of the substrate 116 thereon. As shown in FIG. 2 and FIG. 3, the gas distribution device 114 includes a first gas distribution plate 134 that houses the first process gas to pass the first process gas through the second gas distribution plate 136. The second process gas passes through the first process gas and the second process gas; and a third gas distribution plate 138 that injects the first process gas and the second process gas onto the substrate positioning unit 118. The first gas distribution plate 134 includes a first intake pipe 134a, a first outer casing 134b, a baffle 134c, and a plurality of first through holes 134de. The first intake pipe 13 passes through a central portion of the chamber cover 130 to introduce the first process. gas. The first outer casing 134b has a first space 16〇 that houses one of the first process gases. The shutter 134c serves as a dispensing unit for uniformly distributing the first process gas supplied from the first intake pipe 13A into the first casing 134b. The plurality of first through holes l34d are disposed on the bottom surface of the first housing to pass the first process gas. The second gas distribution plate 136 includes a second intake pipe 136a, a second outer casing 136b, a buffer space 136 (;, a plurality of second through holes n6d and a plurality of third through holes 136e. The second intake pipe 136a passes through the chamber The cover 13 is for introducing a second processing body. The second housing 136b has a second space 162 for accommodating one of the second processing gases. The buffer space 13& separates the lateral space of the second housing 136b by using the spacer ι4〇 Defining and connecting to the second intake pipe 136a to receive the second process gas before supplying the second process gas into the second space 162. The plurality of second through holes 136d are in communication with the plurality of first through holes 134d 146728.doc -13- 201038763 to pass the first process gas. The plurality of third through holes 136e are disposed on the bottom surface of the second outer casing to pass the second process gas. The buffer space "6c is defined by the second outer casing In the side surface of 136b, a gas supply hole 142 is defined in the partition 140 to uniformly supply the second process gas into the second space 162. The partition 140 is disposed along the sidewall of the second outer casing 136b and disposed inside the side wall, and Separated from the side wall by a predetermined distance The buffer space 13 is defined between the partition 140 and the second outer casing 136b. The buffer space 13& receives the second process gas supplied from the second intake pipe 136a. The buffer space 13^ is configured according to the gas distribution device U4. Having a circular or polygonal ring shape. However, when a plurality of second intake pipes 136a are provided and each of the second intake pipes 13A is connected to the side surface of the second outer casing 136b, they may be delimited from each other. a plurality of buffer spaces 136c. Further, the plurality of buffer spaces 13 may be in communication with each other. That is, when the second gas distribution plate 136 has a square shape, it may be disposed at each of the four sides and define a second air intake. The tube and a buffer space U6C. The air supply holes 142 defined in the partition 140 may have a continuous extending slit shape of the same height or a plurality of openings intermittently extending to form an isolated pattern. ^ The third gas distribution plate 138 includes The three outer casings 138a, the plurality of first nozzles 138b, the plurality of second nozzles 13, and the refrigerant flow tube". The third outer casing 138a has a third space 164 for the refrigerant to flow. The plurality of first nozzles 138b are disposed The third outer casing 138a is internally and in communication with the plurality of second through holes 136d to inject a first process gas. The plurality of second nozzles 138c communicate with the plurality of third through holes n6e to inject a second process gas. The flow tube 152 is connected to the center of the third outer casing 13 so that the refrigerant circulates 146728.doc -14 - 201038763. The refrigerant flow tube 152 includes: a refrigerant supply pipe that supplies the refrigerant to the third space 164 And a consistent refrigerant discharge pipe that discharges the refrigerant in the third space 164. The refrigerant flow tube 152 is inserted into the processing chamber U2 through the chamber cover and connected to the side surface of the third outer casing 138 & The refrigerant is circulated to a refrigerant circulation unit (not shown). When the thin film deposition process is performed on the substrate 116 for a long time in the substrate processing apparatus 11A at a temperature greater than about 100 (TC), the gas distribution device 114 may be heated to a heat-resistant temperature or a heat-resistant temperature or higher. In addition, excessive heating may be performed. Seriously occurring at the third distribution plate 138 of the gas distribution device 114 facing the substrate positioning unit 118. Therefore, the refrigerant circulation device for circulating the refrigerant is disposed inside the third distribution plate 138 as a gas distribution prevention The cooling device is overheated by the device 114. In the event of a failure of the refrigerant circulation device, the first thermocouple 144 is disposed on the third gas distribution plate 138 to measure the temperature of the gas distribution plate 114. When the gas distribution plate 114 passes through When heated to a heat resistant temperature or a heat resistant temperature, the heat of the processing chamber U2 is stopped. Further, a second thermocouple (not shown) may be disposed on the second gas distribution plate 136. The first thermocouple and the first The two thermocouples respectively measure the temperatures of the third gas distribution plate 138 and the second gas distribution plate 136, and compare the temperature of the second gas distribution plate 136 with the third gas distribution. The temperature of 138 is used to adjust the temperature of the refrigerant. When the temperature difference between the second gas distribution plate 136 and the third gas distribution plate 138 is large, the plurality of second through holes 136d and the plurality of first nozzles 138b are communicated with each other. And the plurality of third through holes 13 & and the plurality of second nozzles 138c that are in communication with each other may be misaligned with each other due to thermal expansion. Therefore, the refrigerant may be adjusted to prevent the second gas distribution plate 136 from the third gas distribution plate 146728.doc 15 201038763 The temperature difference between 138 is generated. As a result, between the plurality of second through holes 136d and the plurality of first nozzles 138b and between the plurality of third through holes 136e and the plural due to thermal expansion can be prevented. The misalignment between the second nozzles 138. Referring to Figures 2 and 3, the first gas distribution plate 134 of the gas distribution device 114 is fixed to the chamber cover 130, and the storage is introduced via the first intake pipe 13 The first space 16 of the first process gas is defined between the chamber cover 13A and the first gas distribution plate 134. The concave portion 148 is defined in the chamber cover 13A corresponding to the first gas distribution plate 134. And the baffle 13 is disposed on the concave portion 14 8 between the first space 16A defined by the first outer casing 134b. The baffle 13 is rolled to include a plate 149 and a plurality of air supply holes 15, wherein the plate 149 is perforated to recess the first process gas in the boring tool 148 It is smoothly supplied into the first space 160. In order to smoothly supply the first process gas in the recess 48 into the first space 160, any one of the plurality of air supply holes 150 may not match the first intake pipe 134a. That is, the first process gas supplied through the first intake pipe 13A is reflected by the baffle 134e and received into the recessed portion 148. Then, the first process gas is supplied to the first space via the plurality of air supply holes 150. 16 〇. The gas distribution plate 13 4 uses the inside of the gas distribution plate 134 having excellent treatability, and is used to define the first processing body. The first space] 6〇. Next, the bottom surface of the first space 160 is perforated to define a plurality of first through holes (10) for passing the first process gas. Alternatively, in the case where bulk aluminum is not used, the plate formed of aluminum may be bonded to the first gas distribution plate 134 by using a welding process and then the blanking portion thereof may be perforated. The side wall of the first outer casing (10) has a thickness sufficient to cover the buffer 146728.doc -16 - 201038763 space 136c defined in the second outer casing 136b of the second gas distribution plate 136. The reason why the side wall of the first outer casing 134b has a thickness sufficient to cover the buffer space 136c is that the second intake pipe 136a connected to the buffer space 136c is inserted through the side wall of the chamber cover 13 and the first outer casing 134b. Therefore, the side wall of the first outer casing 134b may have a thickness equal to the sum of the width of the side wall of the second outer casing 136b. and the width of the buffer space 13 6c. The plurality of first through holes π 4d of the first gas distribution plate 134 and the plurality of second through holes 136d of the second gas distribution plate 136 are aligned to communicate with each other, and 0 then the second gas distribution plate 136 is coupled to the first A gas distribution plate 134. The second gas distribution plate 136 is made of aluminum having excellent handleability. Passing through the second through hole 136 of the block aluminum (1 is defined, and the portion between the two ends of the block aluminum and between the plurality of second through holes 36d is drilled to define the buffer space 136c and the storage a second space 162 of the second process gas. Next, a portion between the plurality of second through holes 136 (1 is perforated to define a plurality of third through holes 13 6 e. Referring to Figures 3 and 5, the block aluminum The bottom surface is drilled to maintain a constant thickness to form a plurality of cylinders 166 having second through holes 136d. The lower portions of the plurality of cylinders 166 form a bottom surface of the second outer casing defining a plurality of third through holes 136e. Each of the plurality of cylinders 166 has an isolated pattern, and portions between the plurality of cylinders 166 are drilled to define a second space 162 that is in communication with each other. Although each of the plurality of cylinders 166 can There is a cylindrical shape equal to the shape of the respective second through holes 136d, but the present invention is not limited thereto. For example, considering the process convenience, each of the columns 166 may have the structure as shown in FIG. Square shape. When each of the plurality of cylinders 166 has a square shape In the shape, the sides of the respective cylinders 166 can be rounded 146728.doc • 17- 201038763 edge = knife to make the second processing gas flow smoothly. The bulk aluminum is drilled to form the side wall of the second outer casing 136b The second space 162 is defined and the partition 140 separates the buffer space 136c. The partition 14 is treated to define the air supply hole 142, and the second process gas is supplied through the air supply hole 142 at the upper portion of the partition 14〇. The body 166 has a second through hole 136d in Figs. 3 and 5, but the present invention is not limited thereto. For example, one column 166 may have two or more second through holes i36d, if necessary. When a cylinder 166 has two or more second through holes 136d, since the number of the third through holes 136e is smaller than the number of the second through holes l36d, it may be supplied through the plural as compared with the first processing gas. a relatively large amount of the second processing gas of the first through hole 13 and the plurality of second through holes 136d. Therefore, the first processing gas and the second processing gas are adjusted to be formed in a column 166. The number of the second through holes 136d. The plurality of first through holes 134d of the feed plate I34 and the plurality of second through holes U6d of the second gas distribution plate 136 are aligned to communicate with each other. When the second gas distribution plate 136 is in contact with the first gas distribution plate 134 The surface of the lower portion of the first outer casing 134b of the first gas distribution plate 134 contacts the upper portion of the plurality of cylinders 166. Therefore, the first process gas is transferred to the plurality of first through holes md of the first gas distribution plate! a plurality of second through holes 136d of the second gas distribution plate 136 while maintaining a seal of the first process gas. Here, the second through holes (10) adjacent to the third through holes 136e have the same distance from each other, that is, The second through hole i 3 is defined in the middle of the four second through holes. When the second gas distribution plate 136 is coupled to the first gas distribution plate 134, the first intake valve 136a is inserted through the chamber cover 130 and the first gas 146728.doc -18- 201038763 body distribution plate 134 to the buffer space 136c in. The buffer space i36c and the second space 1 62 are processed to form a partition 140 between the buffer space 136c and the second space ι62, and the second process gas accommodated in the buffer space 136c is supplied to the second space via the air supply hole 142. 162.

弟—乳體配送板丨38搞接至第二氣體配送板136以使得第 一氣體配送板136之第二通孔136d及第三通孔i36e中之每 者與弟二氣體配送板138之第一喷嘴i38b及第二喷嘴 138c中之每一者連通。第三氣體配送板138係使用具有強 耐熱性及耐蝕性之不鏽鋼或鋁製造。第三氣體配送板丨38 係經由以下製程製造。如圖4A中所示,製備由不鏽鋼形成 之第一板170及第二板172。對第一板17〇及第二板m穿孔 以形成對應於複數個第一噴嘴1381?及複數個第二噴嘴13以 之複數個第一開口 1 74及複數個第二開口丨76。如圖4B中所 不,製備用作複數個第一喷嘴138b及複數個第二噴嘴138c 以用於噴射第一處理氣體及第二處理氣體之複數個針型管 H8。接著,將複數個管插入至第一開口^4及第二開 口 176中且加以排列。在其中排列有複數個管之第一板 170及第二板172上塗佈包括填充金屬之糊狀物18〇。如圖 4C中所示,執行硬焊製程以將複數個管178耦接至第一板 170及第二板172,藉此形成用於噴射第一處理氣體及第二 處理氣體之複數個第—喷嘴138b及複數個第二喷嘴咖了 :斷安置在第三空間164外部且自第一板17〇突出之複數個 s 178 ’且接著,安置由不鏽鋼形成之側板a]以使用 將侧板18冰接至第mo與第二板172之間的側表面, 146728.doc ·】9· 201038763 措此形成具有供致冷劑流動之第三空間i64之第三外殼 ⑽。將通過腔室蓋歸插人至氣體配送裝置ιΐ4之側表 面一中之致冷劑流㈣152連接至第三外殼咖之側表面。 第二致冷劑流動以冷卻氣體配送裝置u 4。 如圖仙中所示,插入至複數個第一開口 m及複數個第 -開口 Π6中之複數個管178突出至第一板17〇及第二板m 之外和在第一板17()及第二板172上塗佈包括填充金屬之 糊狀物。亦即,將塗佈於第一板17〇上之糊狀物安置於第 門4中且將塗佈於第二板172上之糊狀物安置於第 三空間164中。如圖4C中所示,切斷安置在第三空間164外 部且自第-板17〇及第二板m突出之複數個管Μ以使得 第一板170及第二板172與複數個管178彼此齊平。儘管圖 4A至圖4C中未展不’但可將例如熱電偶之溫度量測單元 安置於第-板17〇或第二板172上以在硬焊製程中所量測之 溫度超過合理溫度時停止硬焊製程。儘管係使用與第一板 1 70及第一板1 72相同的材料形成複數個針型管但本發明 不限於此。舉例而t,必要時,可使用不同於第一板17〇 及第一板172之材料形成針型管。硬焊製程表示一方法, 其中將填充金屬添加至待於約45〇(>c或45〇c>c以上之溫度接 合之兩種基料以在小於熔點之溫度將該兩種基料接合至彼 此。可根據待接合物件之基料及包括填充金屬之糊狀物之 類型而改變硬焊製程之處理溫度。 第一氣體配送板136之第二通孔136d及第三通孔13^中 之每一者與第二氣體配送板138之複數個第一噴嘴138b及 146728.doc -20- 201038763 複數個第二噴嘴138〇中之每一者經對準且彼此連通。當將 第三氣體配送板138耦接至第二氣體配送板136時,第二氣 體配送板136之第二外殼136b之下部部分表面接觸第三氣 . 體配送板138之第三外殼之上部部分。因此,第一處理氣 • 體及第一處理氣體通過複數個第二通孔136d及複數個第三 通孔136e及複數個第一喷嘴138b及複數個第二喷嘴13以且 嘴射至基板定位單元118上,同時維持第一處理氣體及第 ❹ 二處理氣體之密封。 儘管在圖2及圖3中氣體配送裝置114耦接至腔室蓋13〇, 但氣體配送裝置114可與腔室蓋130隔開安置。當腔室蓋 130與氣體配送裝置114隔開時,連接至第一進氣管13乜之 單獨後板安置於第一氣體配送板134之上部部分上。此 處,第一處理氣體可包括(例如)三甲基鎵(TMQa)、雙環戊 二烯基鎂(CpzMg)、三甲基鋁(TMA1)及三曱基銦(TMIn), 且第二處理氣體可包括諸如N2&NH3之氮氣、諸如SiH4及 O SlH6之矽氣體及只2。該等氣體可用於形成發光器件。舉例 而言’當GaN層形成於基板116上時,TMG可用作第一處 理氣體’且NH3可用作第二處理氣體。 圖6為根據另一例示性實施例之氣體配送裝置之分解透 視圖’且圖7A至圖7C為說明根據另一例示性實施例之第 二氣體配送板之製程的剖視圖。根據另一例示性實施例之 氣體配送裝置具有與先前描述之例示性實施例之氣體配送 裝置相同的功能。另外,可簡化根據另一例示性實施例之 氣體配送裝置之組件以降低製造成本。在此例示性實施例 146728.doc •21 · 201038763 中’與先前描述之例示性實施例之組件相同的組件由相同 參考數字表示。 參看圖6’氣體配送裝置114包括:一第一氣體配送板 1 34,其收納第一處理氣體以使第一處理氣體通過;一第 二氣體配送板136 ’其收納第二處理氣體以使第一處理氣 體及第二處理氣體通過;及一第三氣體配送板138,其將 第一處理氣體及第二處理氣體噴射至基板定位單元118 上。 第—氣體配送板134包括第一進氣管134a、第一外殼 134b、擋板13补及複數個第一通孔13牝。第一進氣管 通過腔至盍130之中心部分以引入第一處理氣體。第一外 设134b具有收納第一處理氣體之一第一空間16〇。擋板 134c用作一配送單元,用於將自第一進氣管13牦供應之第 一處理氣體均一地配送至第一外殼134b中^複數個第—通 孔134d界定於第一外殼13仆之底面中以使第一處理氣體通 過。第一外殼134b包括:一第一側壁19〇a,其環繞第—空 間160 ;及一第一下部板19〇b,其安置於第一側壁i9〇a下 方且具有複數個第一通孔13 4d。 第二氣體配送板136包括第二進氣管136a、第二外殼 136b、緩衝空間136c、複數個第二通孔n6d及複數個第三 通孔136e。第二進氣管136a通過腔室蓋13〇以引入第二處 理氣體。第二外殼136b具有收納第二處理氣體之一第二空 間162。緩衝空間13^係藉由使用隔板ι4〇分隔第二外殼 136b之側向空間而界定且連接至第二進氣管13以以在將第 146728.doc -22- 201038763 一處理氣體供應至弟二空間16 2之前收納該第二處理氣 體。複數個第二通孔136d與複數個第一通孔l34d連通以使 第一處理氣體通過。複數個第三通孔136e界定於第二外殼 13 6b之底面中以使第二處理氣體通過。第二外殼n6b包 括·一第二側壁192a ’其環繞第二空間162之周邊部分; 及一第一下部板192b,其安置於第二側壁192a下方且具有 複數個第一通孔134d及複數個第三通孔i36e。緩衝空間 〇 136(;界疋於第一外殼136b之側表面中。供氣孔142界定於 隔板140中以將第二處理氣體均一地供應至第二空間162 中。隔板14〇沿第二外殼136b之側壁192a安置且與側壁 192a隔開預定距離。緩衝空間136c界定於隔板14〇與第二 外殼136b之間。緩衝空間136c收納自第二進氣管13以供應 之第二處理氣體。緩衝空間136c根據氣體配送裝置ιΐ4之 組態而具有圓環或多邊環形狀。然而,當提供複數個第二 進氣管136a且該等第二進氣管136a中之每一者連接至第二 Ο 外殼136b之側壁192&時,可界定彼此隔絕之複數個緩衝空 間136c。又,複數個緩衝空間136c可彼此連通。亦即,當 第二氣體配送板136具有正方形形狀時,可在四邊中之每 一者處安置且界定一第二進氣管136a及一緩衝空間13心。 隔板140中界定之供氣孔142可具有具相同高度之連續延伸 狹縫形狀或斷續延伸以形成隔離的圖案之複數個開口。 第三氣體配送板138包括第三外殼138a、複數個第一噴 嘴138b複數個第二喷嘴138c及致冷劑流動管(圖中未展 示)第一外叙138a具有供致冷劑流動之第三空間丨64。複 146728.doc -23- 201038763 數個第一喷嘴138b安置於第三外殼l38a内部且分別與複數 個第二通孔l36d連通以噴射第一處理氣體。複數個第二噴 嘴138c與複數個第三通孔1 36e連通以喷射第二處理氣體。 致冷劑流動管連接至第三外殼1383以使致冷劑循環。第三 外殼138a包括:一第三側壁194a,其環繞第三空間164 ; 及一第三下部板194b,其安置於第三側壁194a下方且包括 第一喷嘴138b及第二喷嘴138c。該致冷劑流動管包括:— 致冷劑供應管,其將致冷劑供應至第三空間丨64中;及一 致冷劑排放管,其排放第三空間164内之致冷劑。該致冷 劑流動官通過腔室蓋13 0,插入至處理腔室丨丨2中且連接至 第三外殼138a之第三側壁194a。致冷劑循環至致冷劑循環 裝置(圖中未展示)中。 第三氣體配送板138係經由以下製程製造。如圖7八中所 不,製備由不鏽鋼或鋁形成之板22〇。對板22〇穿孔以形成 對應於複數個第一喷嘴13朴及複數個第二噴嘴13以之複數 個第一開口 174及複數個第二開口 17卜如圖7b中所示,製 備用作複數個第一噴嘴1381?及複數個第二噴嘴138c以用於 喷射第一處理氣體及第二處理氣體之複數個針型管178。 接著,將複數個管178插入至複數個第一開口 174及複數個 第-開α 176中且加以排列。在其中排列有複數個管178之 板222上塗佈包括填充金屬之糊狀物丨。如圖%中所示, 執订硬焊製程以將複數個管178耦接至第一板17〇及第二板 172,藉此形成用於喷射第_處理氣體及第二處理氣體之 複數個第噴嘴138b及複數個第二喷嘴138e。安置由不鑛 146728.doc -24- 201038763 鋼或紹形成之側板182以允許側板182環繞第三空間⑹且 連接板2觀®周部分,且接著使料接將板220及側板 182彼此輕接以形成具有供致冷劑流動之第三空間164之第 一外;λ 38a通過腔室蓋13〇且插入至氣體配送裝置I〗#之 側表面中之致冷劑流動管連接至第三外殼咖之側表面。 第二致冷劑流動以冷卻氣體配送裝置丨丨4。The breast-distributing plate 38 is engaged to the second gas distribution plate 136 such that each of the second through hole 136d and the third through hole i36e of the first gas distribution plate 136 is the same as the second gas distribution plate 138 Each of the nozzles i38b and the second nozzles 138c are in communication. The third gas distribution plate 138 is made of stainless steel or aluminum having high heat resistance and corrosion resistance. The third gas distribution plate 38 is manufactured through the following process. As shown in Fig. 4A, a first plate 170 and a second plate 172 formed of stainless steel are prepared. The first plate 17A and the second plate m are perforated to form a plurality of first openings 1 74 and a plurality of second openings 76 corresponding to the plurality of first nozzles 1381 and the plurality of second nozzles 13. As shown in Fig. 4B, a plurality of needle tubes H8 serving as a plurality of first nozzles 138b and a plurality of second nozzles 138c for ejecting the first process gas and the second process gas are prepared. Next, a plurality of tubes are inserted into the first opening ^4 and the second opening 176 and arranged. A paste containing a metal filling material 18 is applied to the first plate 170 and the second plate 172 in which a plurality of tubes are arranged. As shown in FIG. 4C, a brazing process is performed to couple a plurality of tubes 178 to the first plate 170 and the second plate 172, thereby forming a plurality of first processes for injecting the first process gas and the second process gas. The nozzle 138b and the plurality of second nozzles are: a plurality of s 178 ′ that are disposed outside the third space 164 and protrude from the first plate 17 且 and then a side plate a] formed of stainless steel is disposed to use the side plate 18 The ice is connected to the side surface between the moth and the second plate 172, 146728.doc.] 9 201038763 to form a third outer casing (10) having a third space i64 for the refrigerant to flow. The refrigerant flow (4) 152, which is inserted through the chamber cover to the side surface of the gas distribution device ι4, is connected to the side surface of the third outer casing. The second refrigerant flows to cool the gas distribution device u4. As shown in the figure, a plurality of tubes 178 inserted into the plurality of first openings m and the plurality of first opening openings 6 protrude beyond the first plate 17 and the second plate m and at the first plate 17 () A paste containing a filler metal is applied to the second plate 172. That is, the paste applied to the first plate 17 is placed in the door 4 and the paste applied to the second plate 172 is placed in the third space 164. As shown in FIG. 4C, a plurality of tubes disposed outside the third space 164 and protruding from the first plate 17 and the second plate m are cut so that the first plate 170 and the second plate 172 and the plurality of tubes 178 They are flush with each other. Although not shown in FIGS. 4A to 4C, a temperature measuring unit such as a thermocouple may be disposed on the first plate 17 or the second plate 172 to measure the temperature in the brazing process when the temperature exceeds a reasonable temperature. Stop the brazing process. Although a plurality of needle tubes are formed using the same material as the first plate 170 and the first plate 1 72, the present invention is not limited thereto. For example, t, if necessary, a needle tube can be formed using a material different from the first plate 17A and the first plate 172. The brazing process represents a method in which a filler metal is added to two binders to be joined at a temperature of about 45 Torr (>c or 45 〇c>c to join the two binders at a temperature lower than the melting point. To each other, the processing temperature of the brazing process can be changed according to the type of the base material to be joined and the type of the paste including the filler metal. The second through hole 136d of the first gas distribution plate 136 and the third through hole 13^ Each of the plurality of first nozzles 138b and 146728.doc -20- 201038763 of the second gas distribution plate 138 is aligned and in communication with each other. When the third gas is dispensed When the plate 138 is coupled to the second gas distribution plate 136, the lower portion of the second outer casing 136b of the second gas distribution plate 136 contacts the upper portion of the third outer casing of the third gas distribution plate 138. Therefore, the first treatment The gas and the first process gas pass through the plurality of second through holes 136d and the plurality of third through holes 136e and the plurality of first nozzles 138b and the plurality of second nozzles 13 and the nozzles are incident on the substrate positioning unit 118 while Maintain the first process gas and the second Sealing of the gas. Although the gas dispensing device 114 is coupled to the chamber cover 13A in Figures 2 and 3, the gas dispensing device 114 can be disposed spaced from the chamber cover 130. When the chamber cover 130 and the gas distribution device When separated by 114, a separate rear plate connected to the first intake pipe 13A is disposed on an upper portion of the first gas distribution plate 134. Here, the first process gas may include, for example, trimethylgallium (TMQa) , biscyclopentadienyl magnesium (CpzMg), trimethyl aluminum (TMA1), and tridecyl indium (TMIn), and the second process gas may include nitrogen such as N2 & NH3, helium gas such as SiH4 and O SlH6, and Only 2. These gases can be used to form a light-emitting device. For example, 'When a GaN layer is formed on the substrate 116, TMG can be used as the first process gas' and NH3 can be used as the second process gas. An exploded perspective view of an exemplary embodiment of a gas delivery device and FIGS. 7A-7C are cross-sectional views illustrating a process of a second gas distribution plate in accordance with another exemplary embodiment. Gas distribution according to another exemplary embodiment The device has an exemplary embodiment as previously described The gas distribution device has the same function. In addition, the components of the gas distribution device according to another exemplary embodiment may be simplified to reduce manufacturing costs. In the exemplary embodiment 146728.doc • 21 · 201038763 'exemplary with the previous description The same components of the embodiment are denoted by the same reference numerals. Referring to Fig. 6 'gas distribution device 114 includes: a first gas distribution plate 134 that houses the first process gas to pass the first process gas; a distribution plate 136' that houses the second process gas to pass the first process gas and the second process gas; and a third gas distribution plate 138 that injects the first process gas and the second process gas onto the substrate positioning unit 118 . The first gas distribution plate 134 includes a first intake pipe 134a, a first outer casing 134b, and a baffle 13 complementing a plurality of first through holes 13A. The first intake pipe passes through the cavity to the central portion of the crucible 130 to introduce the first process gas. The first outer casing 134b has a first space 16 收纳 accommodating one of the first process gases. The baffle 134c serves as a dispensing unit for uniformly distributing the first process gas supplied from the first intake pipe 13A into the first outer casing 134b. The plurality of first through holes 134d are defined in the first outer casing 13 The bottom surface is such that the first process gas passes. The first outer casing 134b includes: a first side wall 19〇a surrounding the first space 160; and a first lower plate 19〇b disposed under the first side wall i9〇a and having a plurality of first through holes 13 4d. The second gas distribution plate 136 includes a second intake pipe 136a, a second outer casing 136b, a buffer space 136c, a plurality of second through holes n6d, and a plurality of third through holes 136e. The second intake pipe 136a passes through the chamber cover 13 to introduce a second process gas. The second outer casing 136b has a second space 162 that houses one of the second process gases. The buffer space 13^ is defined by dividing the lateral space of the second outer casing 136b by using the partition ι4〇 and is connected to the second intake pipe 13 to supply the processing gas to the 146728.doc -22-201038763 The second process gas is received before the second space 16 2 . A plurality of second through holes 136d are in communication with the plurality of first through holes 134d to pass the first process gas. A plurality of third through holes 136e are defined in the bottom surface of the second outer casing 136b to pass the second process gas. The second outer casing n6b includes a second side wall 192a' surrounding the peripheral portion of the second space 162; and a first lower plate 192b disposed under the second side wall 192a and having a plurality of first through holes 134d and plural A third through hole i36e. The buffer space 〇 136 is defined in the side surface of the first outer casing 136b. The air supply hole 142 is defined in the partition 140 to uniformly supply the second process gas into the second space 162. The partition 14 is along the second The side wall 192a of the outer casing 136b is disposed and spaced apart from the side wall 192a by a predetermined distance. The buffer space 136c is defined between the partition 14 〇 and the second outer casing 136b. The buffer space 136c is received by the second intake pipe 13 for supplying the second process gas. The buffer space 136c has a circular or polygonal ring shape according to the configuration of the gas distribution device ι 4. However, when a plurality of second intake pipes 136a are provided and each of the second intake pipes 136a is connected to the The plurality of buffer spaces 136c that are isolated from each other may be defined by the side walls 192& of the outer casing 136b. Further, the plurality of buffer spaces 136c may be in communication with each other. That is, when the second gas distribution plate 136 has a square shape, it may be on four sides. Each of the second intake pipe 136a and a buffer space 13 is disposed and defined. The air supply holes 142 defined in the partition 140 may have continuous extending slit shapes of the same height or intermittently extend to form a partition. The plurality of openings of the pattern. The third gas distribution plate 138 includes a third outer casing 138a, a plurality of first nozzles 138b, a plurality of second nozzles 138c, and a refrigerant flow tube (not shown). The first outer 138a has a The third space 丨 64 of the refrigerant flows. 146728.doc -23- 201038763 The plurality of first nozzles 138b are disposed inside the third outer casing 138a and communicate with the plurality of second through holes l36d, respectively, to eject the first process gas. A plurality of second nozzles 138c are in communication with the plurality of third through holes 1 36e to inject a second process gas. The refrigerant flow tube is coupled to the third outer casing 1383 to circulate the refrigerant. The third outer casing 138a includes: a third a sidewall 194a surrounding the third space 164; and a third lower plate 194b disposed below the third sidewall 194a and including a first nozzle 138b and a second nozzle 138c. The refrigerant flow tube includes: - a refrigerant a supply pipe that supplies the refrigerant into the third space 丨 64; and a uniform refrigerant discharge pipe that discharges the refrigerant in the third space 164. The refrigerant flow officer is inserted through the chamber cover 130 To the processing chamber 丨丨2 and connected to The third side wall 194a of the third outer casing 138a. The refrigerant is circulated to a refrigerant circulation device (not shown). The third gas distribution plate 138 is manufactured through the following process. As shown in Fig. 7 and 8, it is prepared by a plate of stainless steel or aluminum is formed. The plate 22 is perforated to form a plurality of first openings 174 and a plurality of second openings 17 corresponding to the plurality of first nozzles 13 and the plurality of second nozzles 13 As shown in 7b, a plurality of needle tubes 178 are prepared for use in the plurality of first nozzles 1381 and the plurality of second nozzles 138c for injecting the first process gas and the second process gas. Next, a plurality of tubes 178 are inserted into the plurality of first openings 174 and the plurality of first-opening α 176 and arranged. A paste containing a filler metal is applied to the plate 222 in which a plurality of tubes 178 are arranged. As shown in FIG. %, a brazing process is performed to couple a plurality of tubes 178 to the first plate 17 and the second plate 172, thereby forming a plurality of processes for injecting the first process gas and the second process gas. The first nozzle 138b and the plurality of second nozzles 138e. The side plates 182 formed by the steel or 146728.doc -24-201038763 steel are disposed to allow the side plates 182 to surround the third space (6) and the connecting plate 2 is viewed from the circumferential portion, and then the material is connected to the plate 220 and the side plates 182. Forming a first outer portion having a third space 164 for the refrigerant to flow; λ 38a is connected to the third outer casing through the chamber cover 13 and inserted into the side surface of the gas distribution device I The side surface of the coffee. The second refrigerant flows to cool the gas distribution device 丨丨4.

士在另一例示性實施例中,第三氣體配送板138之第三外 设1一38a不包括上部板。第三外殼138a包括第三側壁19乜及 第三下部板⑽。因此,與複數個第二通孔136d及複數個 第三通孔136e連通之複數個管型第一噴嘴u8b及複數個管 型第二喷嘴U8c直接接觸第二外殼⑽之構成第二氣體配 送板136之第—下部板192b。由於複數個第一喷嘴及 複數個第—噴嘴138e中之每—者具有具某—厚度之管形 狀,因此複數個第一喷嘴13讣及複數個第二喷嘴i38c之上 部部分表面接觸第二下部板192b之下部部分。因此,在另 例示〖生實施例中,與先前描述之例示性實施例之製程相 比,可經由相對簡單的製程製造第三氣體配送板138 ^ 圖8為根據另一例示性實施例之氣體配送裝置之分解透 視圖,且圖9為根據另一例示性實施例之基板定位單元之 平面圖。此例示性實施例與先前描述之例示性實施例之不 同之處在於,當氣體配送裴置為大型氣體配送裝置時分隔 第氣體配送板與第三氣體配送板。在此例示性實施例 ’與先前描述之例示性實施例之組件相同的組件由相同 參考數字表示。 146728.d〇) •25· 201038763 參看圖8,氣體配送裝置114包括:一第一氣體配送板 134,其收納第一處理氣體以使第一處理氣體通過;一第 二氣體配送板136,其收納第二處理氣體以使第一處理氣 體及第二處理氣體通過;及一第三氣體配送板138,其將 第一處理氣體及第二處理氣體噴射至處理腔室之基板定位 單元(圖中未展示)上。 第一氣體配送板134包括第一進氣管134a、第一外殼 13 4b、擋板13 4c及複數個第一子氣體配送板2〇〇。第一進 氣官134a通過腔室蓋130以引入第—處理氣體。第一外殼 134b具有收納第一處理氣體之一第一空間16〇。擋板13牝 用作一配送單元,用於將自第一進氣管134a供應之第一處 理氣體均一地配送至第一外殼134b中。複數個第一子配送 板200包括界定於第一外殼134b之底面中之複數個第一通 孔134d以使第一處理氣體通過。 第一子氟體配送板200中之每一者具有根據處理腔室之 开》狀而變化的形狀。在此例示性實施例中,第一子氣體配 送板200具有扇形形狀,且鄰近於第一氣體配送板134之中 心部分之第一子氣體配送板2〇〇的末端具有弧形形狀以使 得第一子氣體配送板200適合於使用圓柱形處理腔室且堆 疊並處理作為基板之複數個圓形晶圓的狀況。當組合複數 個第一子氣體配送板2 〇 〇以組裝成第一氣體配送板丨3 4時, 具有空心之圓形形成於其中心部分處。 如圖9中所示,在晶圓用作基板且複數個基板116堆疊於 基板定位單元U 8上之狀況下’基板定位單元118包括上面 146728.doc • 26- 201038763 定位基板116之複數個基座及上面安置複數個基座21〇之圓 盤212。當第一氣體配送板134具有圓形形狀時,複數個子 氣體配送板200由通過第一氣體配送板134之中心之複數個 • 直線分隔。此處,複數個第一子氣體配送板200具有相同 大小田第一氣體配送板134包括六個第一子氣體配送板 200時,鄰近於第一氣體配送板134之中心部分之第一子氣 體配送板200中之每一者具有約6〇。之角度。當第一氣體配 0 送板134具有正方形形狀時,第一子氣體配送板被分隔成 彼此具有相同大小之複數個正方形形狀。 第一外设134b包括:一第一側壁丨9〇a ,其環繞第一空間 160 ;及一第一下部板19〇b,其安置於第一側壁19〇&下方 且具有複數個第一通孔134d。如圖9中所示,複數個基座 210並不安置在圓盤212之中心部分處。因此,由於基板 116並不定位於圓盤212之中心部分上,因此即使第一氣體 配送板134在其中心部分處具有空心,基板處理製程亦不 〇 受影響。又,由於各別第一子氣體配送板200之末端具有 弧形形狀以在第一氣體配送板134之中心部分處形成空 心,因此可容易地製造且組裝第一子氣體配送板2〇〇。當 第一子氣體配送板200之末端延伸直至處理腔寘之中心部 - 分時,可能難以對應於第一子氣體配送板200之末端而在 第一外:^134b之第一下部板i90b中均一地形成複數個第一 通孔134d。 第一進氣管134a分支為複數個子進氣管2〇4以將第一處 理氣體供應至複數個第一子氣體配送板2〇〇中之每一者之 146728.doc •27- 201038763 第一空間160中。一或多個第一子進氣管204均一地連接至 第一子氣體配送板200。第一子進氣管204可埋入至腔室蓋 130中以在第一子氣體配送板2〇〇之中心部分處供應第一處 理氣體,或第一子進氣管204可自第一進氣管134a分支至 處理腔室外部的第一子進氣管2〇4,且接著第一子進氣管 204可通過腔室蓋130以將第一處理氣體供應至第一子氣體 配送板200之第一空間中。 不同於先前描述之例示性實施例,在此例示性實施例 中’凹入部分148可不安置於腔室蓋13〇中。階梯式部分 230沿第一外殼134b之側壁190a之内圓周安置。當擋板 134c女置在階梯式部分230處時’收納自第一子進氣管2〇4 供應之第一處理氣體之收納空間232界定於第一外殼丨34b 内擋板134c上方。擋板i34c將收納空間232内之第一處理 氣體均一地供應至第一空間16〇中。 第一氣體配送板136包括第二進氣管(見圖1之參考數字 l36a)、第二外殼136b、緩衝空間13心、複數個第二通孔 136d及複數個第二子氣體配送板2〇6。第二進氣管136&通 過腔室盍130以引入第二處理氣體。第二外殼13讣具有收 納第二處理氣體之一第二空間162 ^緩衝空間n6c係藉由 使用隔板丨扣分隔第二外殼136b之側向空間而界定,且連 接至第—進氣管13仏以在將第二處理氣體供應至第二空間 162之前收納該第二處理氣體。複數個第二通孔136d與複 數個第$孔134d連通以使第處理氣體通過。複數個第 一子氣體配送板206包括界定於第二外殼136b之底面中之 146728.doc -28- 201038763 複數個第二通孔1366以使第二處理氣體通過。 ,第二子氣體配送板206與第一子氣體配送板2〇〇具有相同 形狀。因此,如同第一子氣體配送板200,第二子氣體配 .达板2〇6具有扇形形狀,且鄰近於第二氣體配送板136之中 。卩刀之第一子氣體配送板2〇6的末端具有弧形形狀。 又,當組裝複數個第二子氣體配送板2〇6以組裝成第二氣 體配送板136日寸,第二氣體配送板136具有在其中心部分處 〇 具有空心之圓形形狀。第二外殼136b包括:一第二側壁 192a,其環繞第二空間162之周邊部分;及一第二底面 192b,其女置於第二側壁192a下方且具有複數個第一通孔 134d及複數個第三通孔13心。緩衝空間界定於第二外 殼136b之侧向空間中。供氣孔142界定於隔板14〇中以將第 二處理氣體均一地供應至第二空間162中。㉟板14〇沿第二 外殼136b之側壁192a安置且安置於侧壁192&内部且與侧壁 192a隔開預定距離。緩衝空間136c界定於隔板140與第二 ❹ 外"又136b之間。缓衝空間136c收納自第二進氣管136a供應 之第二處理氣體。隔板140中界定之供氣孔142可具有具相 同尚度之連續延伸狹縫形狀或斷續延伸以形成隔離的圖案 之複數個開σ。 第二氣體配送板138包括第三外殼138a、複數個第一噴 嘴138b、複數個第二喷嘴13“及複數個子氣體配送板 208第二外威138a具有供致冷劑流動之第三空間丨64。複 數個第一噴嘴138b安置於第三外殼13仏内部且分別與複數 個第二通孔136d連通以喷射第一處理氣體。複數個第二噴 146728.doc •29· 201038763 嘴138c與複數個第三通孔136e連通以喷射第二處理氣體。 複數個子氣體配送板208包括一致冷劑流動管,該致冷劑 流動管連接至第三外殼13 8a以使致冷劑循環。第三外殼 13 8a包括:一第三側壁丨94a,其環繞第三空間丨64 ;及一 第三下部板194b,其安置於第三側壁194a下方且包括第一 噴嘴138b及第二噴嘴138e。該致冷劑流動管包括:一致冷 劑供應管’其將致冷劑供應至第三空間丨64中;及一致冷 劑排放管,其排放第三空間164内之致冷劑。該致冷劑流 動管通過腔室蓋130,插入至處理腔室112中且連接至第三 外殼138a之側表面。致冷劑循環至致冷劑循環裝置(圖中 未展示)中。 第二子氣體配送板208與第一子氣體配送板2〇〇及第二子 氣體配送板206具有相同形狀。因此,如同第一子氣體配 送板200及第二子氣體配送板2〇6,第三子氣體配送板2〇8 具有扇形形狀,且鄰近於第三氣體配送板138之中心部分 之第二子氣體配送板2〇8的末端具有弧形形狀。又,當組 裝複數個第二子氣體配送板2〇8以組裝成第三氣體配送板 138時’第三氣體配送板138具有在其中心部分處具有空心 之圓形形狀。第三外殼丨38b包括··一第三側壁丨94a,其環 繞第二空間164之周邊部分;及—第三下部板丨94b,其安 置於第三側壁194a下方且包括複數個第一噴嘴138b及複數 個第二噴嘴138c。 在此例示性實施例中’第三氣體配送板丨3 8之第三外殼 13 83包括第三側壁19蚀及第三下部板19仆。又,與複數個 146728.doc -30- 201038763 第一通孔136d及複數個第三通孔136e連通之複數個管型第 一喷嘴138b及複數個管型第二喷嘴138(;直接接觸第二外殼 136b之構成第二氣體配送板136之第二下部板192b。必要 時第一外设138a可包括與複數個第一喷嘴及複數個 第二喷嘴138c連通之上部板。由於複數個第一喷嘴13扑及 複數個第二喷嘴138()中之每一者具有具某一厚度之管形 狀,因此複數個第一喷f138b及複數個第二噴嘴138c之上 0 部部分表面接觸第二下部板192b之下部部分。因此,在此 例不性實施例中,與先前描述之例示性實施例之製程相 比,可經由相對簡單的製程製造第三氣體配送板138。 根據另一例示性實施例之氣體配送裝置114可將複數種 處理氣體之至少部分(potion)喷射至基板116之正上部區域 上且將忒複數種處理氣體中具有高分解溫度之處理氣體供 應至複數個基板116之間的空間(例如,基板定位單元118 之中心上部區域)中。在此種狀況下,複數個基板116可定 Ο 位於基板定位單元118上且相對於基板定位單元i丨8之中心 徑向地安置。因此,可將具有高分解溫度之處理氣體供應 至腔室蓋區域之具有最高溫度之區域中以改良分解效率。 •以下將描述根據另一例示性實施例之氣體配送裝置丨丨4及 _ 包括该氣體配送裝置i i 4之基板處理裝置。省略對與以上 例示性實施例之重複部分的描述。 圖10及圖11分別為根據另一例示性實施例之基板處理裝 置之剖視圖及平面圖,且圖12為說明根據另一例示性實施 例之基板處理裝置之氣體配送裝置的剖視圖。 146728.doc 31 201038763 參看圖10及圖12,根據此例示性實施例之基板處理裝置 包括:一處理腔室112,其提供反應空間;一基板定位單 元118,其安置於處理腔室112之該反應空間中以定位基板 116;及一氣體配送裝置114,其安置於處理腔室112之該 反應空間中以供應彼此不同的處理氣體。又,氣體配送裝 置114包括第一氣體配送部分31〇及第二氣體配送部分 320。此處,提供複數個第一氣體配送部分31〇。複數個第 一氣體配送部分310中之每一者包括彼此堆疊之第一氣體 配送板134、第二氣體配送板136及第三氣體配送板138。 在根據此例示性實施例之氣體配送裝置〗14中,第一氣 體配送部分310將複數種處理氣體之至少部分供應至基板 116之正上部區域上。又,第二氣體配送部分32〇將該複數 種處理氣體中具有高分解溫度之處j里氣體供應至複數個基 板116之間的空間(例如,基板定位單元ιΐ8之中心上部區 域)中。因此,可將具有高分解溫度之處理氣體噴射至腔 至蓋區域之具有最高溫度之區域中以改良分解效率。亦 即,氣體配送裝置114安置於腔室蓋13〇之下部底面上,且 將具有高分解溫度之處理氣體供應至安置有氣體配送裝置 114之區域的具有最尚溫度的區域。因此,可改良薄膜沈 積效率,且可減少未起反應的殘留處理氣體。可計算複數 種處理氣體之分解溫度之平均溫度以將具有大於該平均溫 度之分解溫度之處理材料供應至複數個基板U6之間的空 間中。此處,具有大於該平均溫度之分解溫度之處理氣體 私為具有高分解溫度之處理氣體。又,冷卻且接著供應具 146728.doc •32· 201038763 =该平均溫度之分解溫度之處理氣體。因此可防止 2較低分解溫度之處理氣體在第—氣體配送部分310内 :解且起反應。氣體配送裝置114包括—處理氣體儲存部 刀400,處理氣體經由該處理氣體儲存部分4〇〇加以供應。 又,氣體配送裝置114進—步包括—致冷_存部分500, 用於冷部處理氣體之致冷劑經由該致冷劑儲 以供應。 Ο Ο 將主要描述經組態以使用以下所述之兩種處理氣體將兩 種一合物沈積於基板上之裝置。亦即,提供第一處理 乳體儲存部分41〇及第二處理氣體儲存部分倒以分別將第 一處理氣體儲存部分41〇及第二處理氣體儲存部分内之 第-處理氣體及第二處理氣體噴射至基板ιΐ6上。此處, 第一處理氣體儲存部分41〇及第二處理氣體儲存部分侧可 儲存具有氣態之材料及具有液態之材料。為方便起見,將 第一處理氣體儲存部分4黯第二處理氣體儲存部分420稱 為處理氣體儲存部分400。又,此例示性實施例不限於 此,且可使用大量源材料。此處,第_處理氣體可包括諸 如 TMGa、Cp2Mg、TMA1ATMIn之材料,且第二處 可包括諸如N2&NH夕氣洛 ^ , c.u '體 %之氮風、諸如S1H4及SiH0之矽氣體及 H2。 第一氣體配送邹分31〇經由第-氣體供應管412及第二氣 體ί、應g 422接收第一處理氣體及第二處理氣體以將第— 處理氣體及第二處理氣體經由分離空間(或路線)供應至基 板116。第-氣體配送部分31〇冷卻第一處理氣體及第二處 146728.doc -33· 201038763 理孔體以供應經冷部之第—處理氣體及第二處理氣體。第 -氣體配送部分310包括第—氣體配送板134 '第二氣體配 送板136及第二氣體配送板138。第一氣體配送板經由 第一氣體供應管412接收第一氣體儲存部分41〇之第一處理 氣體以供應第一處理氣體。第二氣體配送板136經由第二 氣體供應管422接收第二氣體儲存部分42〇之第二處理氣體 以供應第二處理氣體。第三氣體配送板n8冷卻所供應之 處理氣體。此處,第—氣體配送板134、第二氣體配送板 136及第三氣體配送板138彼此垂直堆疊。如圖⑺中所示, 第二氣體配送板138可安置於第一氣體配送板134及第二氣 體配送板136與基板定位單元丨丨8之間以防止第一氣體配送 板134及第二氣體配送板136内之處理氣體歸因於基板定位 單元118之熱量而分解。如上所述,該等氣體配送板中之 每一者可根據處理氣體之數目而不同地變化。 弟一氣體配送板134包括第一進氣管134a、第一外殼 134b及複數個第一通孔134d。第一進氣管13牦通過腔室蓋 130以引入第一處理氣體。第一外殼l34b具有收納第一處 理氣體之一第一空間160。複數個第一通孔134(1自第一外 殼l34b延伸以使第一處理氣體通過。又’第一氣體配送板 134可進一步包括一擋板(圖中未展示),其將第一處理氣體 均一地配送至第一外殼134b中。第二氣體配送板136包括 第二進氣管136a、第二外殼136b、複數個第二通孔136(1及 複數個弟二通孔136e。第二進氣管136a通過腔室蓋13〇以 引入弟一處理氣體。第二外殼13 6b具有收納第二處理氣體 146728.doc -34- 201038763 之一第二空間162。複數個第二通孔136(1與複數個第一通 孔134d連通以使第一處理氣體通過。複數個第三通孔13心 界定於第二外殼136,b之底面中以使第二處理氣體通過。第 —氣體配送板138包括第三外殼138a、複數個第一噴嘴 138b及複數個第二噴嘴138c。第三外殼138&具有供致冷劑 流動之第三空間164。複數個第一喷嘴丨38b安置於第三外 殼138a内部且分別與複數個第二通孔136(1連通以喷射第一 〇 處理氣體。複數個第二喷嘴138c與複數個第三通孔1366連 通以喷射第二處理氣體。又,第三氣體配送板138進一步 包括一致冷劑流動管152 ’該致冷劑流動管152連接至第三 外殼138a以使致冷劑循環。該致冷劑流動管包括:一致冷 劑供應管152a,其將致冷劑供應至第三空間ι64中;及一 致冷劑排放管152b,其排放第三空間164内之致冷劑。第 至第二乳體配送板134、136及138可具有與參看.圖ι至圖 9描述之組件相同的組件。 〇 如上所述,將供應至第一氣體配送板134之第一空間160 中之第一處理氣體經由通過第二氣體配送板136之第二空 間162之第一通孔i36d及第三氣體配送板138之第一噴嘴 138b供應至處理腔室112之内部空間(亦即,反應空間)中。 - 又’將供應至第二氣體配送板136之第二空間162中之第二 處理氣體經由第三通孔136e及第三氣體配送板138之第二 噴嘴138c供應至處理腔室112之内部空間中。 第一處理氣體及第二處理氣體可藉由致冷劑而具有小於 基板定位單元118之溫度的溫度。因此,可防止第—處理 146728.doc •35· 201038763 氣體及第'一處理氣體太 被噴射至處理腔室112之反應空間 中之前因熱量而分解。詳言<,當沈積含有兩種或兩種以 上元素之複合薄膜時’應使用具有彼此不同的分解溫度之 兩種或兩種以上源材料。因& ’當不使用致冷劑於其中循 裱之第二氣體配送板138時,該兩種或兩種以上處理氣體 中具有相對較低分解溫度之處理氣體歸因力基板定位單元 118之熱量而在第一氣體配送板134及第二氣體配送板136 之内部(亦即,内部空間160及162)因熱量而分解。因此’ 薄膜沈積效率可能顯著降低而致產生顆粒。 根據此例不性實施例,提供致冷劑於其中循環之第三氣 體配送板138以冷卻第一氣體配送板134及第二氣體配送板 136之第空間I60及第二空間162以及第一喷嘴138b及第 二喷嘴138c,藉此防止處理氣體因熱量而分解。然而,在 此種狀況下,由於冷卻該兩種或兩種以上處理氣體中具有 相對IBJ 7J解/皿度之處理氣體’因此可能降低分解效率。在 處理氣體具有相對高分解溫度之狀況下,該處理氣體經供 應至處理腔室112之反應空間中且接著在該反應空間内加 熱。然而’存在處理氣體藉由加熱不具有足夠分解效率之 缺陷。因此,為了解決該缺陷,應增加具有相對高分解溫 度之處理氣體之供應量。由於冷卻具有相對高分解溫度之 處理氣體以降低分解效率’因此可增加處理氣體之供應 置。因此,可能增加未起反應的殘留源材料之量以増加處 理成本。 如上所述,該兩種或兩種以上處理氣體中具有相對高分 146728.doc -36- 201038763 解溫度之處理氣體可經由第二氣體配送部分320噴射至基 板定位單元11 8之中心區域以解決以上所述之缺陷。亦 即,在此例示性實施例中,具有板形狀且對應於基板定位 單元118之第一氣體配送部分310分離成對應於基板116之 複數個第一氣體配送部分31〇,如圖11中所示。因此,移 除安置於基板定位單元118之中心區域上方之第一氣體配 送部分310。亦即,朝向上側(亦即,腔室蓋區域)敞開基板 0 定位單元118之中心區域。安置將兩種或兩種以上處理氣 體中具有相對高分解溫度之處理氣體噴射至基板定位單元 118之中心部分之上部區域(亦即,腔室蓋13〇之中心區域) 中的第二氣體配送部分32〇。第二氣體配送部分32〇包括一 中心噴射嘴321,該中心喷射嘴321安置在腔室蓋13〇之對 應於基板定位單元118之中心區域的一位置處。中心噴射 嘴321與其中分解溫度高之第二處理氣體儲存部分連 通。因此,中心喷射嘴321可將具有相對高分解溫度之第 〇 二處理氣體供應至基板定位單元118之中心部分之上部區 域中。此處,供應至基板定位單元丨丨8之中心區域中之第 二處理II體自腔室蓋13〇之料區域朝向基板定位翠元ιΐ8 ’ 射。接著’第二處理氣體朝向徑向地安置在基板定位單 .元118之中心區域周圍的基板116移動。因此第二處理氣 體具有大於自第-氣體配送部分31〇喷射之第二處理氣體 之移動距離的移動距離。亦即,喷射至基板定位單元US 之中心區域中之第二處理氣體移動至基板定位單元⑴之 邊緣區域且經排出。此係由於第二處理氣體經由基板定位 146728.doc -37· 201038763 單兀118之下部邊緣區域排出。此處,由於處理氣體之移 動距離(亦即,路徑)增加,因此自第二氣體配送部分32〇噴 射之第二處理氣體可接收基板定位單元118之熱量歷時較 長時間。因此,可藉由腔室内之溫度預先加熱第二處理氣 體以改良分解效率。此外,由於單獨冷卻部件未安置於第 二氣體配送部分320與基板定位單元118之間,因此可防止 所喷射之第二處理氣體冷卻。 在此例示性實施例中,由於另外將兩種或兩種以上處理 氣體中具有相對高分解溫度之處理氣體供應至第二氣體配 送部分320中,因此可改良分解效率。因此,具有相對高 分解溫度之處理氣體之供應量較先前技術之供應量而言可 減少約10%。在此例示性實施例中,將第二氣體儲存部分 420之第二處理氣體供應至第二氣體配送板136之第二進氣 管136a及第二氣體配送部分32〇之中心噴射嘴321中。此 處,諸如質量流量控制器(MFC)之流量控制器可安置在第 二進氣管136a及中心噴射嘴321處以改變第二處理氣體之 流量(亦即,供應量)。又,流量控制器可安置於第一氣體 配送板134之第一進氣管i36a與第一氣體儲存部分41〇之 間。 此例示性實施例之基板處理裝置不限於上述描述。亦 即,基板處理裝置可不同地變化。在下文,將描述基板處 理裝置之修改實例。以下所述之修改實例可適用於彼此。 參看圖13,可在一本體中製造第一氣體配送部分31〇以 覆蓋安置於基板定位單元118上之所有基板116。因此,第 146728.doc -38- 201038763 —氣體配送部分3〗0可具有環形形狀。第二氣體配送部分 320安置在環形之中心區域處。由於第—氣體配送部分mo 具有環形形狀’因此可旋轉基板定位單元i〗8。亦即,即 • 使旋轉基板定位單元118,亦可將處理氣體連續地供應至 基板116上。此係由於第一氣體配送部分310係對應於歸因 於基板定位單元118之旋轉的旋轉半徑而按環形形狀製 造。因此,由於旋轉基板定位單元118,因此可改良沈積 〇 於基板116上之薄膜之均一性。此處,如圖13中所示,具 有環形形狀之第-氣體配送部分31〇可包括複數個區塊。 當定位複數個大型基板時,具有環形形狀之第一氣體配送 部分3H)之直徑可能增加。因此,可能難以使用單—處理 來製造氣體配送裝置。如圖13中所示,可提供具有近似扇 形形狀(圖U中之四個區塊)之複數個第一氣體配送部分川 以將其彼此辆接’藉此製造具有環形形狀之第—氣體配送 部分3H)。此處,可獨立地操作經㈣區塊中之每一者。 ^ 又’如圖13中所示,供庠5且古樓…, U 仏應至具有環形形狀之第-氣體配送 部分3 10及第二氣體配送部分32() 卜 r之處理氣體可經由彼此 不同的管供應。又,該等管可連 j運接至彼此不同的儲存槽。 • 可製造可分離且可輕接夕名 J稱接之乳體配送裝置114, 圖16中所示。此處,圖14A_诚v U 王 ‘ 為根據另-例示性實施例之氣體 、、 、、、為根據另-例示性實施例之氣體 配送裝置之分解透視圖,且圖16 ’ .^ ^ ^ ^ , 為根據另一例不性實施例 之氣體配送裝置之耦接剖視圖。 θ 參看圖14至圖16,根櫨士仓丨_ 根據此例不性實施例之氣體配送裝置 146728.doc -39- 201038763 Π4包括第二氣體配送部分32〇、 第一氣體配送部分3職第接之 1刀及弟4體配送部分330。該第二氣 體配达部分安置在腔室蓋13〇之下 、 ^ ^ ^ 中心4 /刀處。複數個 第一乳體配送部分31G接觸第二氣體配送部分咖之側表面 且安置在腔室蓋130之下側。第三氣體配送部分330安置在 複數個第-氣體配送部分則之間以供應㈣氣體。亦 即’在根據此例示性實施例之源材料供應部分⑽中,中 心喷射部分320安置在腔室蓋13〇之下部中心部分處,複數 個源材料喷射部分3_接至腔室蓋13〇之下側以接觸中心 喷射部分320,且複數個攪擾氣體注入部分耦接於複數個 源材料噴射部分310之間。 多看圖14及圖15,腔室蓋13〇具有大致等於腔室本體Kg 之内部之形狀的形狀,例如,具有預定厚度之圓板形狀。 垂直通過腔室蓋130之複數個流入孔611、612及613界定於 腔至蓋1 3 0中。該複數個流入孔6丨1、6丨2及6丨3係界定於分 別對應於第一氣體配送部分320、複數個第一氣體配送部 分310及複數個第三氣體配送部分33〇之區域中。亦即,一 第二流入孔612界定於對應於第二氣體配送部分320之中心 部分處’第一流入孔611及第二流入孔612界定於對應於複 數個第一氣體配送部分3 1 0之部分處,且第三流入孔613界 定於對應於複數個第三氣體配送部分330之部分處。此 處’可在對應於第一氣體配送部分310之區域處界定一第 一流入孔611及至少一第二流入孔612。第二流入孔612之 數目可根據第一處理氣體及第二處理氣體之流入速率而改 146728.doc -40. 201038763 變。舉例而言,可在一第一氣體配送部分3 1()中界定三個 第二流入孔612。又,界定於對應於第—氣體配送部分31〇 之區域中之一第一流入孔611及至少—第二流入孔612可根 據第一氣體配送部分3 10之組態而以相等間隔排列。亦 即,可在對應於第一氣體配送部分31〇之區域之中心部分 處界定一第一流入孔611,且可相對於第一流入孔611及第 一流入孔612以相等間隔界定至少一(例如,三個)第二流入 孔612。第一流入孔611連接至供應第一處理氣體之第一氣 體供應管412,第一流入孔612連接至供應第二處理氣體之 第二氣體供應管422,且第三流入孔613連接至供應挽擾氣 體之攪擾氣體供應管432。因此’第二氣體配送部分321及 第一氣體配送部分310自第一氣體供應管412及第二氣體供 應管422經由第一流入孔611及第二流入孔6 12接收儲存於 第一氣體儲存部分410及第二氣體儲存部分420中之第一處 理氣體及第·一處理氣體。又’第三氣體配送部分330自撲 擾氣體供應管432經由第三流入孔613接收攪擾氣體。第一 氣體供應管412及第二氣體供應管422可朝向腔室蓋13〇之 中心部分安置,自腔室蓋130之中心部分分支且連接至第 一流入孔611及第二流入孔612。又,第一氣體供應管4丄2 及第二氣體供應管422可自腔室蓋130之外部分支且連接至 弟一流入孔611及第二流入孔612。此處,與第二處理氣體 之量相比引入相對少量之第一處理氣體以執行沈積製程。 第二氣體配送部分320安置在腔室蓋130之中心部分處且 具有大致圓柱形形狀。第二氣體配送部分320可與腔室蓋 146728.doc -41 - 201038763 m整合。或者’分別製造第二氣體配逐部分32〇與 m以在腔室蓋13G之下部中^部分處將第:氣體配送部分 麵接至腔室蓋13〇。在第二氣體配送部分32g之上側界 應於腔室>蓋130之第二流入孔612之第二氣體注入孔如。 又,在第二氣體配送部分320之下側處界定至少一注入 孔。因此,第二氣體配送部分32〇收納第二處理氣體以朝 向其下侧喷射第二處理氣體。此處,第二氣體配送部分 320朝向基板定位單元118之中心部分噴射第二處理氣體。 亦即,第二氣體配送部分320將第二處理氣體喷射至由定 :於基板定位單元118上之複數個基板ii6界定之中心空間 複數個第—氣體配送部分31〇中之每—者之内表 弟二氣體配送部分32〇且固定至腔室蓋⑽之下側。可提供 ^少兩:或兩個以上第一氣體配送部分心當提供兩個 乳體配送部分3科’該兩個第—氣體配送部分31〇中 之母:者具有半圓形形狀。當提供三個或三個以上第 體配送部分31〇時,第二氣體配送部分3辦之每—者呈 扇形形狀,其中接肖、 日j 送部分320之内表面具有 X ,、寬度朝向其外部逐漸變寬。又,當複$ 至腔室蓋"。時,第一氣 冑Μ的第—氣體配送部分31G且與鄰近的 第體:她1〇隔開預定距離。又,突起-可縱二: 此第札體配送部分31G之兩側面上。由於提供突起314,因 二C體配送部分33〇可輕接於第—氣體配送部分別之 146728.doc -42· 201038763 間。在第一氣體配送部分310之上側處界定一第一源材料 注入孔614及至少一第二源材料喷射孔615。一第一源材料 注入孔614及至少一第二源材料注入孔615對應於腔 130之第一流入孔611及第二流入孔612。又,如以上例示 性實施例中所述及圖式中所示,第一氣體配送部分3 1〇包 括彼此堆疊之第一氣體配送板134、第二氣體配送板136及 第二氣體配送板138。第一氣體配送板134、第二氣體配送 〇 板136及第三氣體配送板138分別製造,且接著將其堆疊並 耦接至彼此。亦即,第一氣體配送板134、第二氣體配送 板136及第三氣體配送板138可整合於一本體中。此處,由 於第一氣體配送板134、第二氣體配送板丨36及第三氣體配 送板138具有與參看圖式描述之結構及功能相同的結構及 功能’因此將省略其之結構及功能。 第二氣體配送部分330具有一條形形狀,其具有預定寬 度及厚度及其中之預定空間。在第三氣體配送板33〇之兩 Ο 侧面中縱向地界定凹槽332。第一氣體配送部分31〇之突起 3 14插入至界定於第三氣體配送板330之兩侧面中之凹槽 332中。因此,第三氣體配送部分33〇插入且耦接於兩鄰近 •的第氣體配送部分310之間。在第三氣體配送部分33〇之 上側中界定攪擾氣體注入孔616以經由腔室蓋之第三流 入孔613注入攪擾氣體且將攪擾氣體注入至基板定位單元 U8之外部。為了將攪擾氣體噴射至基板定位單元118之外 部’可在面向其中界定有攪擾氣體注入孔616之頂面之底 面的外部部分中或在面向對應於第二氣體配送部分320之 146728.doc -43- 201038763 内表面的外表面中界定攪擾氣體注入部分之注入孔。亦 即,當在底面中界定注入孔時,可在底面及安置於外表面 邊界上之底面中界定注入孔。又,溫度計333可安置於至 少弟一氣體配送部分330(例如,面向彼此之至少兩個第 二氣體配送部分3 3 0)上以量測處理腔室丨丨2内之溫度。溫 度計333可安置於第三氣體配送部分33〇之底面上。又,第 三氣體配送部分330之一部分可凹入,且溫度計333可埋入 至該凹入部分中。 在根據此例示性實施例之氣體配送裝置114中,儘管將 四個第一氣體配送部分310及安置於四個第一氣體配送部 分3 10之間的四個第三氣體配送部分作為實例加以說明, 但第一氣體配送部分3 10之數目可根據處理腔室丨12之内部 大小及基板11 6之數目而改變。又,由於複數個第一氣體 配送部分可分離且可耦接,因此可更容易地製造符合大型 處理腔室112之趨勢的大型氣體配送裝置114。 如圖Π中所示,第二氣體配送部分32〇包括中心噴射嘴 321、延伸噴射嘴324及延伸路徑323。中心噴射嘴32ι安置 於複數個氣體配送部分310之中心區域中。延伸喷射嘴 延伸至第一氣體配送部分310之間的空間中。延伸路徑 與中心噴射嘴321及延伸喷射嘴324連通以接收第二處理氣 體。分別對應於基板116而安置此例示性實施例之第_ 2 體配送部分310。因此,可將第二處理氣體噴射至第—氘 體配送部分3 10之間的空間中以將第二處理氣體供應至= 板116之間的空間中。因此,可將未冷 土 弟一處理氣體 146728.doc • 44· 201038763 進步供應至基板116上。結果,可改良第二處理氣體之 分解效率以增加薄膜沈積效率。 如圖1 8中所示,用於加熱供應至第二氣體配送部分320 中之第二處理氣體之外部加熱單元340可進一步安置在第 • 一氣體配送部分320外部。電加熱器件及光學加熱器件可 用作外部加熱單元340。因此,可加熱第二處理氣體以進 一步改良分解效率。 Q 如圖19中所示,第二氣體配送部分320可包括複數個中 〜噴射嘴321。因此,可將第二處理氣體有效地供應至基 板定位單元118之中心區域。又,第二氣體配送部分32〇可 進一步包括一路徑改變器件35〇 ,該路徑改變器件35〇朝向 基板116喷射自第二氣體配送部分32〇供應之第二處理氣 體。路徑改變器件350包括:一固定板351 ; 一延伸路徑 352,其自固定板351之中心區域朝向基板定位單元工η延 伸;及一路徑改變喷嘴353,其安置在延伸路徑352之末端 Ο 處。此處,固定板351收集經由第二氣體配送部分32〇噴射 之第二處理氣體。在圖19中,固定板35丨之一部分連接且 固定至第一氣體配送部分31〇。然而,本發明不限於此。 ' 舉例而言,固定板351可連接且固定至腔室蓋13〇。延伸路 徑352具有一桿狀形狀’其中其末端封閉。因此,供應至 延伸路徑352中之第二處理氣體經由安置在延伸路徑352末 端周圍之路徑改變噴嘴353朝向基板116喷射。亦即,相對 於基板116在大致垂直方向上喷射自第二氣體配送部分32〇 供應之第二處理氣體。因此,第二處理氣體一旦碰到基板 146728.doc -45- 201038763 疋位單元11 8,則在所有方 .^ , 上(亦即,朝向基板)散開。狭 而,在此例示性實施例之 敬)放開然 應至路徑改變器件350之内邻=中’將第二處理氣體供 延伸路徑352之下表面阻延伸路徑吻。由於 土 口此可經由安置在延伸路徑 向上啥十當考 變嗔嘴353在平行於基板⑴之方 向上喷射第一處理氣體。因 A ^5 1 1 6 ^ μ itrt ^ 可均一地調整朝向複數個 基板116之上部空間嘴射之第二處理氣體的喷射量。 如圖20中所示,内部 腔室山之内部空間之第可進—步安置於處理 之第一乳體配送部分320的下部區域中 ^加熱自第二氣體配送部分32〇供應之第二處理氣體。亦 P内部加熱單元360可安置於第二氣體配送部分320與路 徑改變器件350之間的空間中。此處,電加熱器件及光學 加熱益件可用作内部加熱單元36〇。目此,由於加敎經由 ^二氣體配送部分32〇喷射至處理腔室ιΐ2内部之第二處理 氣體’因此可進—步改良第:處理氣體之分解效率。 尸如圖21中所示,可進—步提供在處理腔室112之在第二 氣體配送。(5分32〇下方的區域中產生電聚之個別電衆產生 器件37〇。電漿產生器件370包括:一天線371,其安置於 第二氣體配送部分320與路徑改變器件350之間的空間中; 及4源供應部分372,其將電漿功率供應至天線371。自 第二氣體配送部分32〇供應之第二處理氣體可由電漿離子 化由於第二處理氣體經離子化,因此可改良薄膜沈積效 率。可使用電容耦合電漿(CCP)方法代替以上所述之感應 耦合4漿(ICP)方法。為此’單獨電極可安置於第二氣體 146728.doc -46- 201038763 配运部分320之下部區域中。又,可應用遠端電聚方法。 因此,可進一步提供用於將供應至第^㈣配^ ㈣ 中之第二處理氣體改變為電漿之器件。 如圖22中所示’可將具有低分解溫度之第-處理氣體經 由第一氣體配送部分310喷射至處理腔室112之内部空間 中,且可將具有高分解溫度之第二處理氣體經由第二氣體 配送部分32G噴射至處理腔室112之内部空間中。亦即,可 〇 將處理乳體分別喷射至分離的空間中以沈積薄膜。因此, 可防止具有低分解溫度之第一處理氣體在將第一處理氣體 喷射至處理腔室112之内部空間中之前分解。又,可防止 具有高分解溫度之第二處理氣體在第二處理氣體處於冷卻 狀W之狀態下噴射至處理腔室1 1 2之内部空間中。 又,儘管未展示,但第一氣體配送部分310可與腔室蓋 Π〇整合。亦即,第一氣體配送部分310可安置在腔室蓋 130内部。在上述描述中’主要描述詩處理複數個基板 〇 之半分批型裝置。然而,本發明不限於此。舉例而言,本 發=可適用於用於處理單一基板之裝置。在此種狀況下, 可安置將第二處理氣體喷射至基板之周邊區域中之第二氣 體配送部分。 ” 圖23中所不,向上突出之突起3 80可安置於基板定位 早疋118之中心區域中。此處,第二氣體配送部分320可具 有小於第-氣體配送部分310之厚度的厚度。在此種狀況 下,當基板定位單元118±升時,突可部分地插入至 第—氣體配送部分310之間的第二氣體配送部分320之下侧 146728.doc -47- 201038763 中。因此’第二氣體配送部分320朝向突起380喷射第二處 理氣體,且第·一處理氣體之流向由突起380改變以朝向某 板116流動。 使用此例示性實施例之基板處理裝置同時將含有兩種或 兩種以上元素之化合物(GaN、Ga/IN/A1N、TiN及Ti/A1N) 沈積於複數個基板上。根據該薄膜沈積製程,供應至第二 氣體配送部分320中之第二處理氣體之供應量可變化。舉 例而言,第二處理氣體之供應可由第二氣體配送部分32〇 70全中斷。此表示可使用第一氣體配送部分31〇及第二氣 體配送部分320中之僅至少一者供應處理氣體。除第一氣 體配送部分310彼此分離或耦接以外,根據例示性實施例 之第一氣體配送部分3丨〇及第二氣體配送部分32〇可耦接且 固定至腔室蓋130。 包括根據例示性實施例之氣體配送裝置之基板處理裝置 具有以下效應。 在其中同牯獨立地噴射兩種處理氣體之三個氣體配送板 由於係在包括用於將處理氣體喷射至基板上之嗔嘴的 氣體配,板中界定供致冷劑流動之空間,因此可防止顆粒 因處理氣體之分解而產生且防止氣體配送裝置熱變形。使 用鑽孔或金屬片形成製程製造兩個氣體配送板。又,由於 僅有心噴嘴之氣體配送板係使用硬焊製程製造,因此可 實現間化結構’且亦可降低製造成本。 溫度計安置於包括噴嘴之氣體配送板 在硬焊或基板處理製程如^ 彳°唬 表知期間S風體配送板之溫度增加超過 146728.doc 48- 201038763 預定溫度時藉由該信號停止處理或基板處理製程。因此, 由於藉由信號自動停止處理或基板處理製程,因此可防止 在製造製程或基板處理製程期間出現之缺陷。 又,由於係將具有高分解溫度之處理氣體喷射至基板之 . 的空間中’因此該處理氣體之行進時間大於在將處理氣 體直接噴射於基板上之狀況下的處理氣體之行進時間。因 此可在處理腔至内較長時間地預先加熱處理氣體以增加 〇 纟有高分解溫度之處理氣體之分解,藉此減少處理氣體之 使用且改良薄膜沈積效率。 又,由於經由喷射器件之周邊區域喷射複數種處理氣體 中具有高分解溫度之處理氣體(除非喷射器件具有冷卻功 能)’因此可在不冷卻處理氣體的情況下將具有高分解溫 度之處理氣體喷射至處理腔室中(亦即,基板卜 又,由於係在上面定位有複數個基板之基板定位單元之 中心部分上方的腔室蓋區域(亦即,其中溫度在氣體喷射 ❹㈣中相對高的區域)中噴射具有高分解溫度之處理氣 體’因此可歸因於處理氣體之預先加熱而減少處理氣體之 使用且可改良薄膜沈積效率。 又’單獨路徑改變器件可安置於其中喷射具有高分解溫 度之處理氣體以朝向基板喷射處理氣體的區域中。因此, 供應至基板上之處理氣體的量可為均一的。 又,可分隔氣體配送裝置之複數個第二氣體g己送部分, 且該複數個第二氣體配送部分可彼此耗接且分離。因此, 可更谷易地製造符合大型處理腔室112之趨勢的大型氣體 146728.doc -49- 201038763 配送裝置。 儘管已參考特定實施例描述氣體配送裝置及具有該氣體 配送裝置之基板處理裝置’但其不限於此。因此,熟習此 項技術者將容易地理解,在不脫離由隨附申請專利範圍界 定之本發明之精神及範疇的情況下可進行各種修改及改 變0 【圖式簡單說明】 圖1為根據例示性實施例之基板處理裝置之剖視圖; 圖2及圖3分別為說明根據例示性實施例之基板處理農置 之氣體配送裝置的詳細剖視圖及分解透視圖; 圖4A至圖4C為說明製造根據例示性實施例之第三氣體 配送板之製程的剖視圖; 圖5為根據例示性實施例之第二氣體配送板之平面圖; 圖6為根據另一例示性實施例之氣體配送裝置之分解透 視圖; 圖7A至圖7C為說明根據另一例示性實施例之第三氣體 配送板之製程的剖視圖; 圖8為根據另-例示性實施例之氣體配送裝置之分 視圖; 圖9為根據另一例示性實施例之基板定位單元之平面 圖; 圖10及圖11分別為根據另—例示性實施例之基板處 置的剖視圖及平面圖; 、 圖12為說明根據另_例示性實施例之基板處理襄置之氣 146728.doc -50- 201038763 體配送裝置的剖視圖; 圖13為說明根據另—例示性實施例之基板處理襄置之氣 體配送裝置的平面圖; 圖14至圖16為根據另一例示性蜜 J 丁庄λ知例之氣體配送裝置之 平面圖、分解透視圖及耦接剖視圓; 圖17為根據另一例示性實施例之氣體配送裝置之平面 圖;及In another exemplary embodiment, the third outer portion 1 - 38a of the third gas distribution plate 138 does not include the upper plate. The third outer casing 138a includes a third side wall 19A and a third lower plate (10). Therefore, the plurality of tubular first nozzles u8b and the plurality of tubular second nozzles U8c communicating with the plurality of second through holes 136d and the plurality of third through holes 136e directly contact the second outer casing (10) to constitute the second gas distribution plate The first of 136 - the lower plate 192b. Since each of the plurality of first nozzles and the plurality of first nozzles 138e has a tube shape having a certain thickness, the upper surface of the plurality of first nozzles 13 and the plurality of second nozzles i38c are in contact with the second lower portion. The lower portion of the plate 192b. Therefore, in another exemplary embodiment, the third gas distribution plate 138 can be fabricated via a relatively simple process as compared to the process of the previously described exemplary embodiment. FIG. 8 is a gas according to another exemplary embodiment. An exploded perspective view of the dispensing device, and FIG. 9 is a plan view of a substrate positioning unit in accordance with another exemplary embodiment. This exemplary embodiment differs from the previously described exemplary embodiments in that the gas distribution plate and the third gas distribution plate are separated when the gas distribution device is a large gas distribution device. The components of this exemplary embodiment that are identical to the components of the previously described exemplary embodiments are denoted by the same reference numerals. 146728.d〇) • 25· 201038763 Referring to FIG. 8, the gas distribution device 114 includes a first gas distribution plate 134 that houses the first process gas to pass the first process gas, and a second gas distribution plate 136. Storing a second process gas for passing the first process gas and the second process gas; and a third gas distribution plate 138 for injecting the first process gas and the second process gas to the substrate positioning unit of the processing chamber (in the figure Not shown). The first gas distribution plate 134 includes a first intake pipe 134a, a first outer casing 13 4b, a baffle 13 4c, and a plurality of first sub-gas distribution plates 2A. The first inflator 134a passes through the chamber cover 130 to introduce a first process gas. The first outer casing 134b has a first space 16〇 that houses one of the first process gases. The shutter 13 is used as a dispensing unit for uniformly distributing the first process gas supplied from the first intake pipe 134a into the first casing 134b. The plurality of first sub-distribution panels 200 include a plurality of first through holes 134d defined in a bottom surface of the first outer casing 134b to pass the first process gas. Each of the first sub-flute distribution plates 200 has a shape that varies depending on the opening of the processing chamber. In this exemplary embodiment, the first sub gas distribution plate 200 has a fan shape, and the end of the first sub gas distribution plate 2A adjacent to the central portion of the first gas distribution plate 134 has an arc shape so that the first A sub gas distribution plate 200 is suitable for use in a cylindrical processing chamber and stacking and processing a plurality of circular wafers as substrates. When a plurality of first sub-gas distribution plates 2 〇 组合 are combined to assemble into the first gas distribution plate 丨 3 4 , a circular shape having a hollow shape is formed at a central portion thereof. As shown in FIG. 9, in the case where the wafer is used as a substrate and a plurality of substrates 116 are stacked on the substrate positioning unit U8, the substrate positioning unit 118 includes a plurality of bases of the above-mentioned 146728.doc • 26-201038763 positioning substrate 116. A plurality of discs 212 of the base 21 are placed on the seat and above. When the first gas distribution plate 134 has a circular shape, the plurality of sub gas distribution plates 200 are separated by a plurality of straight lines passing through the center of the first gas distribution plate 134. Here, the plurality of first sub-gas distribution plates 200 have the same size when the first gas distribution plate 134 includes six first sub-gas distribution plates 200, and the first sub-gas adjacent to the central portion of the first gas distribution plate 134 Each of the distribution boards 200 has about 6 inches. The angle. When the first gas distribution plate 134 has a square shape, the first sub gas distribution plate is divided into a plurality of square shapes having the same size as each other. The first peripheral device 134b includes: a first sidewall 丨9〇a surrounding the first space 160; and a first lower plate 19〇b disposed under the first sidewall 19〇& and having a plurality of A through hole 134d. As shown in Fig. 9, a plurality of pedestals 210 are not disposed at a central portion of the disk 212. Therefore, since the substrate 116 is not positioned on the central portion of the disk 212, even if the first gas distribution plate 134 has a hollow at its central portion, the substrate processing process is not affected. Further, since the ends of the respective first sub-gas distribution plates 200 have an arc shape to form a hollow at the central portion of the first gas distribution plate 134, the first sub-gas distribution plate 2 can be easily manufactured and assembled. When the end of the first sub-gas distribution plate 200 extends until the center portion of the processing chamber is disposed, it may be difficult to correspond to the end of the first sub-gas distribution plate 200 at the first outer portion: the first lower plate i90b of the ^134b A plurality of first through holes 134d are uniformly formed in the middle. The first intake pipe 134a branches into a plurality of sub intake pipes 2〇4 to supply the first process gas to each of the plurality of first sub gas distribution plates 2 146 146728.doc • 27- 201038763 In space 160. One or more first sub-intake ducts 204 are uniformly connected to the first sub-gas distribution panel 200. The first sub-intake pipe 204 may be buried in the chamber cover 130 to supply the first process gas at a central portion of the first sub-gas distribution plate 2, or the first sub-intake pipe 204 may be self-contained The gas pipe 134a branches to the first sub-intake pipe 2〇4 outside the processing chamber, and then the first sub-intake pipe 204 can pass through the chamber cover 130 to supply the first process gas to the first sub-gas distribution plate 200 In the first space. Unlike the previously described exemplary embodiment, the 'recessed portion 148 may not be disposed in the chamber cover 13〇 in this exemplary embodiment. The stepped portion 230 is disposed along the inner circumference of the side wall 190a of the first outer casing 134b. When the shutter 134c is placed at the stepped portion 230, the storage space 232 of the first process gas supplied from the first sub-intake pipe 2〇4 is defined above the inner casing 134c of the first casing 丨 34b. The shutter i34c uniformly supplies the first process gas in the storage space 232 into the first space 16A. The first gas distribution plate 136 includes a second intake pipe (see reference numeral l36a of FIG. 1), a second outer casing 136b, a buffer space 13 core, a plurality of second through holes 136d, and a plurality of second sub-gas distribution plates 2 6. A second intake pipe 136 & passes through the chamber bore 130 to introduce a second process gas. The second outer casing 13A has a second space 162 for accommodating the second processing gas. The buffer space n6c is defined by separating the lateral space of the second outer casing 136b by using the spacer buckle, and is connected to the first air intake pipe 13 The second process gas is received prior to supplying the second process gas to the second space 162. A plurality of second through holes 136d are in communication with a plurality of first holes 134d to pass the first process gas. The plurality of first sub-gas distribution plates 206 include 146728.doc -28- 201038763 defined in the bottom surface of the second outer casing 136b, a plurality of second through holes 1366 for passing the second process gas. The second sub gas distribution plate 206 has the same shape as the first sub gas distribution plate 2A. Therefore, like the first sub-gas distribution plate 200, the second sub-gas distribution plate 2〇6 has a sector shape and is adjacent to the second gas distribution plate 136. The end of the first sub gas distribution plate 2〇6 of the file has an arc shape. Further, when a plurality of second sub-gas distribution plates 2 to 6 are assembled to be assembled into a second gas distribution plate 136, the second gas distribution plate 136 has a circular shape having a hollow shape at a central portion thereof. The second outer casing 136b includes a second side wall 192a surrounding the peripheral portion of the second space 162, and a second bottom surface 192b disposed below the second side wall 192a and having a plurality of first through holes 134d and a plurality of The third through hole 13 is a core. The buffer space is defined in the lateral space of the second outer casing 136b. A gas supply hole 142 is defined in the partition 14〇 to uniformly supply the second process gas into the second space 162. The 35-plate 14 turns along the side wall 192a of the second outer casing 136b and is disposed inside the side wall 192& and spaced apart from the side wall 192a by a predetermined distance. The buffer space 136c is defined between the partition 140 and the second outer "and 136b. The buffer space 136c accommodates the second process gas supplied from the second intake pipe 136a. The air supply apertures 142 defined in the partition 140 may have a continuous extension slit shape of the same degree of continuation or a plurality of opening σs intermittently extending to form an isolated pattern. The second gas distribution plate 138 includes a third outer casing 138a, a plurality of first nozzles 138b, a plurality of second nozzles 13" and a plurality of sub-gas distribution plates 208. The second outer 138a has a third space 供 64 for the refrigerant to flow. The plurality of first nozzles 138b are disposed inside the third outer casing 13b and communicate with the plurality of second through holes 136d to eject the first process gas. The plurality of second sprays 146728.doc • 29· 201038763 the mouth 138c and the plurality of The third through holes 136e are in communication to inject a second process gas. The plurality of sub gas distribution plates 208 include a refrigerant flow pipe connected to the third outer casing 13 8a to circulate the refrigerant. 8a includes: a third sidewall 丨 94a surrounding the third space 丨 64; and a third lower plate 194b disposed below the third sidewall 194a and including a first nozzle 138b and a second nozzle 138e. The flow tube includes: a refrigerant supply pipe that supplies the refrigerant into the third space 丨 64; and a refrigerant discharge pipe that discharges the refrigerant in the third space 164. The refrigerant flow pipe passes Chamber cover 130, inserted into The chamber 112 is connected to the side surface of the third outer casing 138a. The refrigerant is circulated to a refrigerant circulation device (not shown). The second sub gas distribution plate 208 and the first sub gas distribution plate 2 The second sub gas distribution plate 206 has the same shape. Therefore, like the first sub gas distribution plate 200 and the second sub gas distribution plate 2〇6, the third sub gas distribution plate 2〇8 has a fan shape and is adjacent to The end of the second sub-gas distribution plate 2〇8 of the central portion of the third gas distribution plate 138 has an arc shape. Further, when a plurality of second sub-gas distribution plates 2〇8 are assembled to be assembled into the third gas distribution plate 138 The third gas distribution plate 138 has a circular shape having a hollow shape at a central portion thereof. The third outer casing 丨 38b includes a third side wall 丨 94a that surrounds a peripheral portion of the second space 164; The lower plate 94b is disposed below the third side wall 194a and includes a plurality of first nozzles 138b and a plurality of second nozzles 138c. In the exemplary embodiment, the third outer casing 13 of the third gas distribution plate 38 83 includes a third sidewall 19 etched and The lower plate 19 is servant. Further, a plurality of tubular first nozzles 138b and a plurality of tubular second nozzles 138 are connected to the plurality of 146728.doc -30-201038763 first through holes 136d and the plurality of third through holes 136e ( Directly contacting the second lower plate 192b of the second outer casing 136b constituting the second gas distribution plate 136. If necessary, the first peripheral device 138a may include an upper plate in communication with the plurality of first nozzles and the plurality of second nozzles 138c. Each of the plurality of first nozzles 13 and the plurality of second nozzles 138 () has a tube shape having a certain thickness, and thus the surface of the plurality of first sprays f138b and the plurality of second nozzles 138c The lower portion of the second lower plate 192b is contacted. Thus, in this exemplary embodiment, the third gas distribution plate 138 can be fabricated via a relatively simple process as compared to the processes of the previously described exemplary embodiments. The gas distribution device 114 according to another exemplary embodiment may spray at least a portion of a plurality of process gases onto an upper portion of the substrate 116 and supply a process gas having a high decomposition temperature among the plurality of process gases to A space between the plurality of substrates 116 (for example, a central upper region of the substrate positioning unit 118). In this case, a plurality of substrates 116 may be positioned on the substrate positioning unit 118 and disposed radially with respect to the center of the substrate positioning unit i 8 . Therefore, the processing gas having a high decomposition temperature can be supplied to the region having the highest temperature of the chamber cover region to improve the decomposition efficiency. The gas distribution device 丨丨 4 and the substrate processing device including the gas distribution device i i 4 according to another exemplary embodiment will be described below. Descriptions of the overlapping portions with the above exemplary embodiments are omitted. 10 and 11 are a cross-sectional view and a plan view, respectively, of a substrate processing apparatus according to another exemplary embodiment, and FIG. 12 is a cross-sectional view illustrating a gas dispensing apparatus of a substrate processing apparatus according to another exemplary embodiment. 146728.doc 31 201038763 Referring to FIGS. 10 and 12, a substrate processing apparatus according to this exemplary embodiment includes a processing chamber 112 that provides a reaction space, and a substrate positioning unit 118 that is disposed in the processing chamber 112. The reaction space is positioned to position the substrate 116; and a gas distribution device 114 disposed in the reaction space of the processing chamber 112 to supply process gases different from each other. Further, the gas distribution device 114 includes a first gas delivery portion 31A and a second gas delivery portion 320. Here, a plurality of first gas delivery portions 31A are provided. Each of the plurality of first gas distribution portions 310 includes a first gas distribution plate 134, a second gas distribution plate 136, and a third gas distribution plate 138 stacked on each other. In the gas distribution device 14 according to this exemplary embodiment, the first gas delivery portion 310 supplies at least a portion of the plurality of process gases to the upper portion of the substrate 116. Further, the second gas distributing portion 32 supplies the gas having a high decomposition temperature in the plurality of processing gases to a space between the plurality of substrates 116 (for example, a central upper region of the substrate positioning unit ι 8). Therefore, a process gas having a high decomposition temperature can be injected into the region of the cavity to the cap region having the highest temperature to improve the decomposition efficiency. That is, the gas distribution device 114 is disposed on the bottom surface of the lower portion of the chamber cover 13 and supplies a process gas having a high decomposition temperature to the region having the most temperature in the region where the gas distribution device 114 is disposed. Therefore, the film deposition efficiency can be improved, and the residual reaction gas which is not reacted can be reduced. The average temperature of the decomposition temperatures of the plurality of process gases can be calculated to supply a treatment material having a decomposition temperature greater than the average temperature into the space between the plurality of substrates U6. Here, the processing gas having a decomposition temperature greater than the average temperature is privately a processing gas having a high decomposition temperature. Further, it is cooled and then supplied with a processing gas having a decomposition temperature of the average temperature of 146728.doc • 32· 201038763. Therefore, it is possible to prevent the processing gas of the lower decomposition temperature from being dissolved in the first gas distribution portion 310. The gas distribution device 114 includes a process gas storage unit cutter 400 through which the process gas is supplied. Further, the gas distribution device 114 further includes a refrigerant-storage portion 500 through which the refrigerant for the cold process gas is supplied. Ο Ο A device configured to deposit two monolayers onto a substrate using two process gases as described below will be primarily described. That is, the first processed milk storage portion 41 and the second processing gas storage portion are provided to respectively respectively process the first process gas storage portion 41 and the second process gas storage portion into the first process gas and the second process gas. Sprayed onto the substrate ΐ6. Here, the first process gas storage portion 41 and the second process gas storage portion side may store a material having a gaseous state and a material having a liquid state. For convenience, the first process gas storage portion 4, the second process gas storage portion 420, is referred to as a process gas storage portion 400. Also, this exemplary embodiment is not limited thereto, and a large amount of source material can be used. Here, the first processing gas may include materials such as TMGa, Cp2Mg, TMA1ATMIn, and the second portion may include nitrogen such as N2 & NH gas, cu '% nitrogen, gas such as S1H4 and SiH0, and H2 . The first gas distribution 31 receives the first process gas and the second process gas via the first gas supply pipe 412 and the second gas ί, 422, to pass the first process gas and the second process gas through the separation space (or The route) is supplied to the substrate 116. The first gas distribution portion 31 cools the first process gas and the second portion 146728.doc -33· 201038763 to supply the first process gas and the second process gas through the cold portion. The first gas distribution portion 310 includes a first gas distribution plate 134', a second gas distribution plate 136, and a second gas distribution plate 138. The first gas distribution plate receives the first process gas of the first gas storage portion 41 via the first gas supply pipe 412 to supply the first process gas. The second gas distribution plate 136 receives the second process gas of the second gas storage portion 42 via the second gas supply pipe 422 to supply the second process gas. The third gas distribution plate n8 cools the supplied process gas. Here, the first gas distribution plate 134, the second gas distribution plate 136, and the third gas distribution plate 138 are vertically stacked with each other. As shown in FIG. 7 , the second gas distribution plate 138 may be disposed between the first gas distribution plate 134 and the second gas distribution plate 136 and the substrate positioning unit 丨丨 8 to prevent the first gas distribution plate 134 and the second gas. The process gas within the distribution plate 136 is decomposed due to the heat of the substrate positioning unit 118. As noted above, each of the gas distribution plates can vary differently depending on the number of process gases. The gas distribution plate 134 includes a first intake pipe 134a, a first outer casing 134b, and a plurality of first through holes 134d. The first intake pipe 13 is passed through the chamber cover 130 to introduce a first process gas. The first outer casing 134b has a first space 160 that houses one of the first processing gases. A plurality of first through holes 134 (1 extend from the first outer casing 134b to pass the first process gas. Further, the first gas distribution plate 134 may further include a baffle (not shown) that will treat the first process gas Uniformly distributed into the first outer casing 134b. The second gas distribution plate 136 includes a second intake pipe 136a, a second outer casing 136b, a plurality of second through holes 136 (1 and a plurality of second through holes 136e. The gas pipe 136a passes through the chamber cover 13 to introduce a process gas. The second outer casing 13 6b has a second space 162 accommodating the second process gas 146728.doc -34 - 201038763. The plurality of second through holes 136 (1) And communicating with the plurality of first through holes 134d to pass the first process gas. The plurality of third through holes 13 are defined in the bottom surface of the second outer casing 136, b to pass the second process gas. The first gas distribution plate 138 The third outer casing 138a, the plurality of first nozzles 138b and the plurality of second nozzles 138c are included. The third outer casing 138& has a third space 164 for the refrigerant to flow. The plurality of first nozzles 38b are disposed on the third outer casing 138a. Internally and separately connected to a plurality of second through holes 136 (1) The first helium process gas is injected. The plurality of second nozzles 138c are in communication with the plurality of third through holes 1366 to inject a second process gas. Further, the third gas distribution plate 138 further includes a refrigerant flow tube 152 'the refrigerant The flow tube 152 is connected to the third outer casing 138a to circulate the refrigerant. The refrigerant flow tube includes: a refrigerant supply pipe 152a that supplies the refrigerant into the third space ι 64; and a refrigerant discharge pipe 152b, which discharges the refrigerant in the third space 164. The first to second emulsion dispensing plates 134, 136 and 138 may have the same components as those described with reference to Figures 1 to 9. 〇 As described above, The first process gas supplied to the first space 160 of the first gas distribution plate 134 passes through the first through hole i36d passing through the second space 162 of the second gas distribution plate 136 and the first nozzle 138b of the third gas distribution plate 138 Supplyed into the internal space of the processing chamber 112 (ie, the reaction space) - again 'the second processing gas supplied to the second space 162 of the second gas distribution plate 136 via the third through hole 136e and the third Second spray of gas distribution plate 138 The nozzle 138c is supplied into the internal space of the processing chamber 112. The first process gas and the second process gas may have a temperature lower than the temperature of the substrate positioning unit 118 by the refrigerant. Therefore, the first process can be prevented 146728.doc • 35· 201038763 The gas and the first process gas are decomposed by heat before being ejected into the reaction space of the processing chamber 112. < When depositing a composite film containing two or more elements, two or more source materials having different decomposition temperatures from each other should be used. When the second gas distribution plate 138 in which the refrigerant is circulated is not used, the treatment gas having a relatively low decomposition temperature among the two or more treatment gases is attributed to the substrate positioning unit 118. The heat is decomposed inside the first gas distribution plate 134 and the second gas distribution plate 136 (that is, the internal spaces 160 and 162) due to heat. Therefore, the film deposition efficiency may be significantly lowered to cause particles. According to this exemplary embodiment, the third gas distribution plate 138 in which the refrigerant circulates is provided to cool the first space I60 and the second space 162 of the first gas distribution plate 134 and the second gas distribution plate 136 and the first nozzle 138b and the second nozzle 138c, thereby preventing the process gas from decomposing due to heat. However, in such a case, the decomposition efficiency may be lowered by cooling the process gas having a relative IBJ 7J solution/dish degree among the two or more process gases. The process gas is supplied to the reaction space of the processing chamber 112 and then heated within the reaction space in the case where the process gas has a relatively high decomposition temperature. However, there is a defect that the processing gas does not have sufficient decomposition efficiency by heating. Therefore, in order to solve this drawback, the supply amount of the process gas having a relatively high decomposition temperature should be increased. Since the treatment gas having a relatively high decomposition temperature is cooled to lower the decomposition efficiency', the supply of the treatment gas can be increased. Therefore, it is possible to increase the amount of unreacted residual source material to increase the processing cost. As described above, the processing gas having a relatively high score of 146728.doc -36 - 201038763 in the two or more processing gases may be ejected to the central region of the substrate positioning unit 117 via the second gas distributing portion 320 to solve The above mentioned defects. That is, in this exemplary embodiment, the first gas distribution portion 310 having a plate shape and corresponding to the substrate positioning unit 118 is separated into a plurality of first gas distribution portions 31A corresponding to the substrate 116, as shown in FIG. Show. Therefore, the first gas distribution portion 310 disposed above the central region of the substrate positioning unit 118 is removed. That is, the central region of the substrate 0 positioning unit 118 is opened toward the upper side (i.e., the chamber cover region). Disposing a second gas distribution in which a process gas having a relatively high decomposition temperature among two or more process gases is sprayed into an upper portion of a central portion of the substrate positioning unit 118 (ie, a central region of the chamber cover 13A) Part 32〇. The second gas delivery portion 32A includes a center ejection nozzle 321 which is disposed at a position of the chamber cover 13 corresponding to a central portion of the substrate positioning unit 118. The center nozzle 321 communicates with a second process gas storage portion in which the decomposition temperature is high. Therefore, the center injection nozzle 321 can supply the second processing gas having a relatively high decomposition temperature to the upper portion of the central portion of the substrate positioning unit 118. Here, the second processing body II supplied to the central portion of the substrate positioning unit 丨丨8 is directed from the material region of the chamber cover 13 toward the substrate to position the tiling. The second process gas is then moved toward the substrate 116 radially disposed about the central region of the substrate positioning unit 118. Therefore, the second process gas has a moving distance greater than the moving distance of the second process gas injected from the first gas distributing portion 31. That is, the second process gas injected into the central region of the substrate positioning unit US moves to the edge region of the substrate positioning unit (1) and is discharged. This is because the second process gas is discharged through the lower edge region of the substrate 146728.doc -37· 201038763. Here, since the moving distance (i.e., the path) of the processing gas is increased, the second processing gas ejected from the second gas distributing portion 32 can receive the heat of the substrate positioning unit 118 for a longer period of time. Therefore, the second process gas can be preheated by the temperature in the chamber to improve the decomposition efficiency. Further, since the individual cooling member is not disposed between the second gas distributing portion 320 and the substrate positioning unit 118, the second process gas to be ejected can be prevented from being cooled. In this exemplary embodiment, since the treatment gas having a relatively high decomposition temperature among two or more kinds of treatment gases is additionally supplied to the second gas distribution portion 320, the decomposition efficiency can be improved. Therefore, the supply of process gas having a relatively high decomposition temperature can be reduced by about 10% compared to the supply of the prior art. In the exemplary embodiment, the second process gas of the second gas storage portion 420 is supplied to the second intake pipe 136a of the second gas distribution plate 136 and the center injection nozzle 321 of the second gas delivery portion 32A. Here, a flow controller such as a mass flow controller (MFC) may be disposed at the second intake pipe 136a and the center injection nozzle 321 to change the flow rate (i.e., the supply amount) of the second process gas. Further, the flow controller may be disposed between the first intake pipe i36a of the first gas distribution plate 134 and the first gas storage portion 41A. The substrate processing apparatus of this exemplary embodiment is not limited to the above description. That is, the substrate processing apparatus can be varied differently. Hereinafter, a modified example of the substrate processing apparatus will be described. The modified examples described below can be applied to each other. Referring to Figure 13, a first gas distribution portion 31 can be fabricated in a body to cover all of the substrates 116 disposed on the substrate positioning unit 118. Therefore, the 146728.doc -38 - 201038763 - gas distribution portion 3 0 may have a ring shape. The second gas distribution portion 320 is disposed at a central portion of the ring. Since the first gas distribution portion mo has a ring shape ', the substrate positioning unit i 8 can be rotated. That is, even if the substrate positioning unit 118 is rotated, the processing gas can be continuously supplied onto the substrate 116. This is because the first gas distribution portion 310 is formed in a ring shape corresponding to the radius of rotation attributed to the rotation of the substrate positioning unit 118. Therefore, since the substrate positioning unit 118 is rotated, the uniformity of the film deposited on the substrate 116 can be improved. Here, as shown in Fig. 13, the first gas distribution portion 31A having a ring shape may include a plurality of blocks. When a plurality of large substrates are positioned, the diameter of the first gas distributing portion 3H) having a ring shape may increase. Therefore, it may be difficult to manufacture a gas distribution device using a single process. As shown in FIG. 13, a plurality of first gas distribution portions having approximately fan-shaped shapes (four blocks in FIG. U) may be provided to connect them to each other 'by manufacturing a gas-shaped distribution having a ring shape. Part 3H). Here, each of the (four) blocks can be operated independently. ^ ', as shown in FIG. 13, the supply gas of the 庠5 and the ancient building..., U 仏 to the first gas distribution portion 3 10 having the annular shape and the second gas distribution portion 32 () can be passed to each other Different tube supplies. Moreover, the tubes can be transported to different storage tanks from each other. • A detachable and lightweight breast dispensing device 114 can be fabricated, as shown in FIG. Here, Fig. 14A_诚v U王' is an exploded perspective view of a gas distribution device according to another exemplary embodiment, and Fig. 16 ' . ^ ^ is a coupled cross-sectional view of a gas dispensing device according to another exemplary embodiment. θ Referring to FIG. 14 to FIG. 16 , the gas distribution device 146728.doc -39- 201038763 根据4 according to this example embodiment includes the second gas distribution portion 32〇, the first gas distribution portion 3 A 1 knife and a 4 body delivery portion 330 are connected. The second gas distribution portion is disposed below the chamber cover 13 , ^ ^ ^ center 4 / knife. A plurality of first emulsion dispensing portions 31G contact the side surface of the second gas dispensing portion and are disposed on the lower side of the chamber cover 130. The third gas distribution portion 330 is disposed between the plurality of first gas distribution portions to supply the (iv) gas. That is, in the source material supply portion (10) according to this exemplary embodiment, the center ejection portion 320 is disposed at the central portion of the lower portion of the chamber cover 13b, and the plurality of source material ejection portions 3_ are connected to the chamber cover 13" The lower side is in contact with the center ejection portion 320, and the plurality of disturbing gas injection portions are coupled between the plurality of source material ejection portions 310. 14 and 15, the chamber cover 13A has a shape substantially equal to the shape of the inside of the chamber body Kg, for example, a disk shape having a predetermined thickness. A plurality of inflow holes 611, 612, and 613 that pass vertically through the chamber cover 130 are defined in the cavity to the cover 130. The plurality of inflow holes 6丨1, 6丨2, and 6丨3 are defined in regions corresponding to the first gas distribution portion 320, the plurality of first gas distribution portions 310, and the plurality of third gas distribution portions 33〇, respectively. . That is, a second inflow hole 612 is defined at a central portion corresponding to the second gas distribution portion 320. The first inflow hole 611 and the second inflow hole 612 are defined to correspond to the plurality of first gas distribution portions 3 1 0. At a portion, the third inflow hole 613 is defined at a portion corresponding to the plurality of third gas distribution portions 330. Here, a first inflow hole 611 and at least one second inflow hole 612 may be defined at a region corresponding to the first gas distribution portion 310. The number of the second inflow holes 612 may be changed according to the inflow rate of the first process gas and the second process gas, 146728.doc -40. 201038763. For example, three second inflow holes 612 may be defined in a first gas distribution portion 31 (). Further, one of the first inflow holes 611 and at least the second inflow holes 612 defined in the region corresponding to the first gas distributing portion 31A may be arranged at equal intervals according to the configuration of the first gas distributing portion 310. That is, a first inflow hole 611 may be defined at a central portion of the region corresponding to the first gas distribution portion 31, and at least one may be defined at equal intervals with respect to the first inflow hole 611 and the first inflow hole 612 ( For example, three) second inflow holes 612. The first inflow hole 611 is connected to the first gas supply pipe 412 that supplies the first process gas, the first inflow hole 612 is connected to the second gas supply pipe 422 that supplies the second process gas, and the third inflow hole 613 is connected to the supply port The gas is disturbed by the gas supply pipe 432. Therefore, the second gas distribution portion 321 and the first gas distribution portion 310 are received from the first gas supply pipe 412 and the second gas supply pipe 422 via the first inflow hole 611 and the second inflow hole 6 12 and stored in the first gas storage portion. The first process gas and the first process gas in the 410 and second gas storage portions 420. Further, the third gas distribution portion 330 receives the disturbance gas from the perturbation gas supply pipe 432 via the third inflow hole 613. The first gas supply pipe 412 and the second gas supply pipe 422 are disposed toward a central portion of the chamber cover 13b, branch from a central portion of the chamber cover 130, and are connected to the first inflow hole 611 and the second inflow hole 612. Further, the first gas supply pipe 4丄2 and the second gas supply pipe 422 may be branched from the outside of the chamber cover 130 and connected to the first inflow hole 611 and the second inflow hole 612. Here, a relatively small amount of the first process gas is introduced to perform the deposition process as compared to the amount of the second process gas. The second gas distribution portion 320 is disposed at a central portion of the chamber cover 130 and has a substantially cylindrical shape. The second gas distribution portion 320 can be integrated with the chamber cover 146728.doc -41 - 201038763 m. Alternatively, the second gas matching portions 32 and m are separately fabricated to face the gas distribution portion to the chamber cover 13A at a portion of the lower portion of the chamber cover 13G. A second gas injection hole of the second inflow hole 612 of the chamber > cover 130 is bounded on the upper side of the second gas distribution portion 32g. Further, at least one injection hole is defined at a lower side of the second gas distribution portion 320. Therefore, the second gas distributing portion 32 accommodates the second process gas to inject the second process gas toward the lower side thereof. Here, the second gas delivery portion 320 injects a second process gas toward a central portion of the substrate positioning unit 118. That is, the second gas distribution portion 320 injects the second process gas into each of the plurality of first gas distribution portions 31 of the central space defined by the plurality of substrates ii6 on the substrate positioning unit 118. The cousin two gas distribution portion 32 is fixed to the lower side of the chamber cover (10). It is possible to provide two less than two: or two or more first gas distribution portions when the two breast dispensing portions 3 are provided. The mothers of the two first gas distribution portions 31 have a semicircular shape. When three or more first body dispensing portions 31A are provided, each of the second gas distributing portions 3 has a fan shape, wherein the inner surface of the connecting portion 320 has X, and the width thereof faces The outside gradually widens. Also, when the complex $ to the chamber cover ". At this time, the first gas distribution portion 31G of the first gas is separated from the adjacent first body: her side by a predetermined distance. Further, the protrusions - can be longitudinally 2: on both sides of the first body distribution portion 31G. Since the projections 314 are provided, the two C-body dispensing portions 33 can be lightly connected to the first gas distribution portion between 146728.doc - 42 · 201038763. A first source material injection hole 614 and at least one second source material injection hole 615 are defined at an upper side of the first gas distribution portion 310. A first source material injection hole 614 and at least one second source material injection hole 615 correspond to the first inflow hole 611 and the second inflow hole 612 of the cavity 130. Further, as described in the above exemplary embodiment and illustrated in the drawings, the first gas distribution portion 31 includes the first gas distribution plate 134, the second gas distribution plate 136, and the second gas distribution plate 138 stacked on each other. . The first gas distribution plate 134, the second gas distribution raft 136, and the third gas distribution plate 138 are separately fabricated and then stacked and coupled to each other. That is, the first gas distribution plate 134, the second gas distribution plate 136, and the third gas distribution plate 138 may be integrated into one body. Here, since the first gas distribution plate 134, the second gas distribution plate 36, and the third gas distribution plate 138 have the same structure and function as those described with reference to the drawings, the structure and function thereof will be omitted. The second gas distribution portion 330 has a strip shape having a predetermined width and thickness and a predetermined space therein. The groove 332 is longitudinally defined in the two sides of the third gas distribution plate 33. The protrusions 3 14 of the first gas distribution portion 31 are inserted into the grooves 332 defined in the two side faces of the third gas distribution plate 330. Therefore, the third gas distribution portion 33 is inserted and coupled between the gas distribution portions 310 of the two adjacent sides. The agitating gas injection hole 616 is defined in the upper side of the third gas distributing portion 33A to inject the agitating gas through the third inflow hole 613 of the chamber cover and inject the agitating gas to the outside of the substrate positioning unit U8. In order to eject the disturbing gas to the outside of the substrate positioning unit 118, 'may be in an outer portion facing the bottom surface of the top surface in which the agitating gas injection hole 616 is defined or in a face corresponding to the second gas distributing portion 320 146728.doc -43 - 201038763 An injection hole defining the agitating gas injection portion is defined in the outer surface of the inner surface. That is, when the injection hole is defined in the bottom surface, the injection hole may be defined in the bottom surface and the bottom surface disposed on the boundary of the outer surface. Further, the thermometer 333 may be disposed on at least one of the gas distribution portions 330 (e.g., at least two second gas distribution portions 340 facing each other) to measure the temperature in the processing chamber 丨丨2. The temperature meter 333 can be disposed on the bottom surface of the third gas delivery portion 33. Further, a portion of the third gas distributing portion 330 may be recessed, and the thermometer 333 may be buried into the recessed portion. In the gas distribution device 114 according to this exemplary embodiment, although four first gas distribution portions 310 and four third gas distribution portions disposed between the four first gas distribution portions 3 10 are illustrated as an example However, the number of the first gas distribution portions 3 10 may vary depending on the internal size of the processing chamber 12 and the number of the substrates 116. Moreover, since the plurality of first gas distribution portions are separable and coupleable, the large gas distribution device 114 conforming to the tendency of the large processing chamber 112 can be more easily manufactured. As shown in the figure, the second gas distribution portion 32 includes a center nozzle 321, an extension nozzle 324, and an extension path 323. The center spray nozzle 32i is disposed in a central region of the plurality of gas distribution portions 310. The extension spray nozzle extends into the space between the first gas distribution portions 310. The extension path is in communication with the center nozzle 321 and the extension nozzle 324 to receive the second process gas. The _ 2 body dispensing portion 310 of this exemplary embodiment is disposed corresponding to the substrate 116, respectively. Therefore, the second process gas can be injected into the space between the first body distribution portions 3 10 to supply the second process gas into the space between the plates 116. Therefore, the uncooled soil-processing gas 146728.doc • 44· 201038763 can be supplied to the substrate 116. As a result, the decomposition efficiency of the second process gas can be improved to increase the film deposition efficiency. As shown in Fig. 18, the external heating unit 340 for heating the second process gas supplied to the second gas distribution portion 320 may be further disposed outside the first gas distribution portion 320. The electric heating device and the optical heating device can be used as the external heating unit 340. Therefore, the second process gas can be heated to further improve the decomposition efficiency. Q As shown in Fig. 19, the second gas distribution portion 320 may include a plurality of medium-to-injection nozzles 321. Therefore, the second process gas can be efficiently supplied to the central region of the substrate positioning unit 118. Further, the second gas distributing portion 32A may further include a path changing device 35, which ejects the second processing gas supplied from the second gas distributing portion 32A toward the substrate 116. The path changing device 350 includes: a fixing plate 351; an extending path 352 extending from the central portion of the fixing plate 351 toward the substrate positioning unit η; and a path changing nozzle 353 disposed at the end 延伸 of the extending path 352. Here, the fixed plate 351 collects the second process gas injected through the second gas distributing portion 32A. In Fig. 19, one of the fixing plates 35 is connected and fixed to the first gas distributing portion 31A. However, the invention is not limited thereto. For example, the fixing plate 351 can be connected and fixed to the chamber cover 13A. The extension path 352 has a rod shape 'with its end closed. Therefore, the second process gas supplied into the extension path 352 is ejected toward the substrate 116 via the path change nozzle 353 disposed around the end of the extension path 352. That is, the second process gas supplied from the second gas distributing portion 32 is injected in a substantially vertical direction with respect to the substrate 116. Therefore, once the second process gas hits the substrate 146728.doc -45 - 201038763, the clamping unit 11 8 is spread out on all sides (ie, toward the substrate). Narrowly, in this exemplary embodiment, the release of the second process gas supply extension path 352 below the surface resistance extension path kisses. This is because the soil port can eject the first process gas in a direction parallel to the substrate (1) via the nozzle 353 disposed in the extension path. The ejection amount of the second processing gas which is emitted toward the upper space of the plurality of substrates 116 can be uniformly adjusted by A ^5 1 1 6 ^ μ itrt ^ . As shown in Fig. 20, the inner space of the inner chamber mountain can be further disposed in the lower region of the treated first milk dispensing portion 320 to heat the second processing gas supplied from the second gas distributing portion 32. . Also, the P internal heating unit 360 may be disposed in a space between the second gas distributing portion 320 and the path changing device 350. Here, the electric heating device and the optical heating element can be used as the internal heating unit 36A. Therefore, since the twisting is injected into the second process gas inside the processing chamber ι 2 via the two gas distributing portion 32, the decomposition efficiency of the processing gas can be further improved. The corpse, as shown in Figure 21, can be provided in a second gas distribution at the processing chamber 112. (The individual electricity generating device 37 that generates electricity in the region below 5 minutes 32. The plasma generating device 370 includes an antenna 371 disposed in a space between the second gas distributing portion 320 and the path changing device 350. And a source supply portion 372 that supplies the plasma power to the antenna 371. The second process gas supplied from the second gas distribution portion 32A can be ionized by the plasma because the second process gas is ionized, thereby improving Thin film deposition efficiency. Capacitively coupled plasma (CCP) method can be used instead of the inductively coupled 4 plasma (ICP) method described above. For this purpose, the 'separate electrode can be placed in the second gas 146728.doc -46- 201038763 distribution part 320 In the lower region, a remote electropolymerization method can be applied. Therefore, a device for changing the second process gas supplied to the (4)th (4) to the plasma can be further provided. 'The first process gas having a low decomposition temperature may be injected into the inner space of the processing chamber 112 via the first gas distribution portion 310, and the second process gas having a high decomposition temperature may be passed through the second gas The delivery portion 32G is ejected into the internal space of the processing chamber 112. That is, the treated milk can be separately sprayed into the separated space to deposit a film. Therefore, the first processing gas having a low decomposition temperature can be prevented from being A process gas is decomposed before being injected into the inner space of the processing chamber 112. Further, the second process gas having a high decomposition temperature is prevented from being ejected to the processing chamber 1 1 2 in a state where the second process gas is in a cooling state W Also, although not shown, the first gas distribution portion 310 can be integrated with the chamber cover. That is, the first gas distribution portion 310 can be disposed inside the chamber cover 130. In the above description, 'mainly A semi-batch type device for processing a plurality of substrates is described. However, the present invention is not limited thereto. For example, the present invention is applicable to a device for processing a single substrate. In this case, a second device can be disposed. The processing gas is ejected to the second gas distribution portion in the peripheral region of the substrate." No, in FIG. 23, the upwardly protruding protrusions 380 may be disposed in the central region of the substrate positioning early warning 118. Here, the second gas distribution portion 320 may have a thickness smaller than the thickness of the first gas distribution portion 310. In this case, when the substrate positioning unit 118 is ± liter, the protrusion may be partially inserted into the first gas distribution portion 310. The second gas distribution portion 320 is between the lower side 146728.doc -47 - 201038763. Thus the 'second gas distribution portion 320 ejects the second process gas toward the protrusion 380, and the flow of the first process gas is changed by the protrusion 380 Flowing toward a certain plate 116. Using the substrate processing apparatus of this exemplary embodiment, a compound containing two or more elements (GaN, Ga/IN/A1N, TiN, and Ti/A1N) is simultaneously deposited on a plurality of substrates. . According to the thin film deposition process, the supply amount of the second processing gas supplied to the second gas distributing portion 320 may vary. For example, the supply of the second process gas may be completely interrupted by the second gas delivery portion 32〇70. This means that the processing gas can be supplied using only at least one of the first gas distributing portion 31 and the second gas distributing portion 320. The first gas distribution portion 3A and the second gas distribution portion 32A according to the exemplary embodiment may be coupled and fixed to the chamber cover 130, except that the first gas distribution portions 310 are separated or coupled to each other. The substrate processing apparatus including the gas delivery device according to the exemplary embodiment has the following effects. The three gas distribution plates in which the two process gases are independently sprayed in the same manner define a space for the flow of the refrigerant in the plate by the gas distribution including the nozzle for spraying the process gas onto the substrate, and thus The particles are prevented from being generated by the decomposition of the process gas and the gas distribution device is prevented from being thermally deformed. Two gas distribution plates are fabricated using a drill or sheet metal forming process. Further, since the gas distribution plate having only the core nozzle is manufactured by a brazing process, the intervening structure can be realized and the manufacturing cost can be reduced. The thermometer is disposed on the gas distribution plate including the nozzle during the brazing or substrate processing process, such as the temperature of the wind distribution plate, when the temperature of the wind distribution plate increases exceeds 146728.doc 48-201038763, the predetermined temperature is stopped by the signal or the substrate is stopped. Process the process. Therefore, since the processing is automatically stopped by the signal or the substrate processing process, defects occurring during the manufacturing process or the substrate processing process can be prevented. Further, since the processing gas having a high decomposition temperature is ejected into the space of the substrate, the traveling time of the processing gas is therefore larger than the traveling time of the processing gas in the case where the processing gas is directly ejected onto the substrate. Therefore, the processing gas can be preheated for a long time in the processing chamber to increase the decomposition of the processing gas having a high decomposition temperature, thereby reducing the use of the processing gas and improving the film deposition efficiency. Further, since the processing gas having a high decomposition temperature among the plurality of processing gases is injected via the peripheral region of the ejection device (unless the ejection device has a cooling function), it is possible to eject the processing gas having a high decomposition temperature without cooling the processing gas. Into the processing chamber (i.e., the substrate, due to the chamber cover region above the central portion of the substrate positioning unit on which the plurality of substrates are positioned (i.e., where the temperature is relatively high in the gas jet ❹ (4)) The medium is sprayed with a treatment gas having a high decomposition temperature', so that the use of the process gas can be reduced due to the preheating of the process gas and the film deposition efficiency can be improved. Further, the 'single path change device can be disposed in which the spray has a high decomposition temperature. Processing the gas in a region in which the processing gas is sprayed toward the substrate. Therefore, the amount of the processing gas supplied to the substrate may be uniform. Further, a plurality of second gas g-sending portions of the gas distributing device may be separated, and the plurality of The second gas distribution portions can be consumed and separated from each other. Therefore, the system can be more easily produced. Large gas 146728.doc -49 - 201038763 dispensing device conforming to the trend of the large processing chamber 112. Although the gas distributing device and the substrate processing device having the gas distributing device have been described with reference to the specific embodiments, it is not limited thereto. It will be readily understood by those skilled in the art that various modifications and changes can be made without departing from the spirit and scope of the invention as defined by the appended claims. FIG. FIG. 2 and FIG. 3 are respectively a detailed cross-sectional view and an exploded perspective view illustrating a substrate processing agricultural gas distribution apparatus according to an exemplary embodiment; FIGS. 4A to 4C are diagrams illustrating manufacturing according to an exemplary FIG. 5 is a plan view of a second gas distribution plate according to an exemplary embodiment; FIG. 6 is an exploded perspective view of a gas distribution device according to another exemplary embodiment; 7A through 7C are cross-sectional views illustrating a process of a third gas distribution plate according to another exemplary embodiment; FIG. 9 is a plan view of a substrate positioning unit according to another exemplary embodiment; FIGS. 10 and 11 are respectively a cross-sectional view and a plan view of a substrate disposal according to another exemplary embodiment. FIG. 12 is a cross-sectional view showing a substrate processing apparatus according to another exemplary embodiment, and a substrate processing apparatus according to another exemplary embodiment. FIG. 13 is a view illustrating a substrate processing apparatus according to another exemplary embodiment. FIG. 14 to FIG. 16 are a plan view, an exploded perspective view, and a coupled cross-sectional view of a gas distribution device according to another exemplary honey J Dingzhuang λ; FIG. 17 is a diagram showing another exemplary implementation according to another exemplary embodiment. a plan view of a gas distribution device; and

圖1 8至圖2 3為根據例示性實施例之基板處理裝置之剖視 圖。 【主要元件符號說明】 Ο 110 基板處理裝置 112 處理腔室 114 氣體配送裴置 116 基板 118 基板定位單元 120 基板入口 122 排放孔 124 射頻(RF)電源 126 匹配器 128 腔室本體 130 腔室蓋 131 驅動單元 132 支撐件 134 第一氣體配送板 146728.doc 201038763 134a 第一進氣管 134b 第一外殼 134c 擋板 134d 第一通孔 136 第二氣體配送板 136a 第二進氣管 136b 第二外殼 136c 緩衝空間 136d 第二通孔 136e 第三通孔 138 第三氣體配送板 138a 第三外殼 138b 第一噴嘴 138c 第二喷嘴 140 隔板 142 供氣孔 144 第一熱電偶 146 通道 148 凹入部分 149 板 150 供氣孔 152 致冷劑流動管 152a 致冷劑供應管 152b 致冷劑排放管 146728.doc -52- 201038763 160 第一空間 162 第二空間 164 第三空間 166 柱體 170 第一板 172 第二板 174 第一開口 176 Ο 第二開口 178 針型管 180 糊狀物 182 側板 190a 第一側壁 190b 第一下部板 192a 第二側壁 192b 第二下部板 〇 194a 第三側壁 194b 第三下部板 200 第一子氣體配送板 - 204 第一子進氣管 206 第二子氣體配送板 208 第三子氣體配送板 210 基座 212 圓盤 220 板 146728.doc -53- 201038763 222 板 230 階梯式部分 232 收納空間 300 源材料供應部分 310 第一氣體配送部分 314 突起 320 第二氣體配送部分 321 中心喷射嘴 322 第二氣體注入孔 323 延伸路徑 324 延伸喷射嘴 330 第三氣體配送部分 332 凹槽 333 温度計 340 外部加熱單元 350 路徑改變器件 351 固定板 352 延伸路徑 353 路徑改變喷嘴 360 内部加熱單元 370 電漿產生器件 371 天線 372 電源供應部分 380 突起 146728.doc -54- 201038763 400 處理氣體儲存部分 410 第一處理氣體儲存部分 412 第一氣體供應管 420 第二處理氣體儲存部分 422 第二氣體供應管 432 攪擾氣體供應管 500 致冷劑儲存部分 611 第一流入孔 612 第二流入孔 613 第三流入孔 614 弟--源材料注入孔· 615 弟二源材料注入孔 616 攪擾氣體注入孔 〇 146728.doc -55-18 to 23 are cross-sectional views of a substrate processing apparatus according to an exemplary embodiment. [Main component symbol description] Ο 110 substrate processing device 112 processing chamber 114 gas distribution device 116 substrate 118 substrate positioning unit 120 substrate inlet 122 discharge hole 124 radio frequency (RF) power supply 126 matcher 128 chamber body 130 chamber cover 131 Drive unit 132 support 134 first gas distribution plate 146728.doc 201038763 134a first intake pipe 134b first outer casing 134c baffle 134d first through hole 136 second gas distribution plate 136a second intake pipe 136b second outer casing 136c Buffer space 136d second through hole 136e third through hole 138 third gas distribution plate 138a third outer casing 138b first nozzle 138c second nozzle 140 partition 142 air supply hole 144 first thermocouple 146 passage 148 concave portion 149 plate 150 Air supply port 152 refrigerant flow pipe 152a refrigerant supply pipe 152b refrigerant discharge pipe 146728.doc -52- 201038763 160 first space 162 second space 164 third space 166 column 170 first plate 172 second plate 174 first opening 176 Ο second opening 178 needle tube 180 paste 182 side plate 190a first side wall 190b first lower plate 192a second side wall 192b second lower plate 194a third side wall 194b third lower plate 200 first sub gas distribution plate - 204 first sub intake pipe 206 second sub gas distribution plate 208 third Sub gas distribution plate 210 pedestal 212 disk 220 plate 146728.doc -53- 201038763 222 plate 230 stepped portion 232 accommodating space 300 source material supply portion 310 first gas distribution portion 314 protrusion 320 second gas distribution portion 321 center injection Mouth 322 second gas injection hole 323 extension path 324 extension nozzle 330 third gas distribution portion 332 groove 333 thermometer 340 external heating unit 350 path changing device 351 fixing plate 352 extension path 353 path changing nozzle 360 internal heating unit 370 Slurry generating device 371 Antenna 372 Power supply portion 380 Projection 146728.doc -54- 201038763 400 Process gas storage portion 410 First process gas storage portion 412 First gas supply pipe 420 Second process gas storage portion 422 Second gas supply pipe 432 Stirring gas supply pipe 500 refrigerant storage The first portion 611 flows into the second inflow hole 613 hole 612 third inflow holes 614 Di - source material injection hole 615 brother-material injection hole 616 second source gas injection holes disturb square 146728.doc -55-

Claims (1)

201038763 七、申請專利範圍: !· 一種氣體配送裝置,其包含: -第-氣體配送部分’其經組態以經由彼此不同的路 線將至少兩源材料噴射至一基板上;及 -第二氣體配送部分,其經組態以將具有大於該至少 兩源材料之分解溫度之一平均值的一分解溫度之一源材 料喷射至該基板上, ❹ 2. 其中該第一氣體配送部分係分隔成至少兩個區段且經 安置以使得該第二氣體配送部分定位於該至少兩區段之 間;且該至少兩個區段彼此可耦接且可分離。 如請求項1之氣體配送裝置,其中該第一氣體配送部分 包含: 一第一氣體配送板’其連接至經組態以引入一第一處 理氣體之一第一進氣管,該第一氣體配送板包含複數個 第一通孔以使該第一處理氣體通過; 一第二氣體配送板’其連接至經組態以引入一第二處 理氣體之一第二進氣管’該第二氣體配送板包含與該複 數個第一通孔對準以使該第一處理氣體通過之複數個第 二通孔及使該第二處理氣體通過之複數個第三通孔;及 一第三氣體配送板,其包含:與該複數個第二通孔及 該複數個第三通孔對準且經組態以分別喷射該第—處理 氣體及該第二處理氣體之複數個第一喷嘴及複數個第二 噴嘴;及供一致冷劑流動之一空間。 3·如請求項2之氣體配送裝置,其中該第一氣體配送板包 146728.doc 201038763 含: 一外殼,其包含經組態以收納自該第一進氣管供應之 該第一處理氣體之一空間;及 一配送單元,其安置於該空間内,該配送單元經組態 以均一地配送自該第一進氣管引入之該第一處理氣體。 4. 如請求項3之氣體配送裝置,其中該配送單元包含一板 及藉由對該板穿孔而界定之複數個供氣孔。 5. 如請求項2之氣體配送裝置,其中該第二氣體配送板包 含: 一外殼,其連接至該第二進氣管,該外殼提供經組態 以收納該第二處理氣體之一空間; 複數個柱體,其包含在該空間中之該複數個第二通 孔;及 複數個第三通孔,其藉由對該外殼之一下部部分穿孔 而界定。 6. 如請求項5之氣體配送裝置,其中該第二氣體配送板包 含: 一隔板,其安置於該空間内;及 一緩衝空間,其係由該外殼之一側壁及該隔板分隔 出,該緩衝空間經組態以收納自該第二進氣管供應之該 第二處理氣體。 7. 如請求項6之氣體配送裝置,其中該第二氣體配送板包 含在該隔板中之一供氣孔以將該緩衝空間之該第二處理 氣體供應至該空間。 146728.doc 201038763 8 ·如5月求項2之氣體g?样姑婆 艰配送裝置,其中該第三氣體配送板包 含: 外殼Ί安置該複數個第一喷嘴及該複數個第二 喷嘴’該外殼包含供該致冷劑流動之該空間;及 致冷^動官,其連接至該外殼以供應或排放該致 冷劑。 9·如請求項8之氣體配送裝置,其中該外殼包含:-侧 〇 壁’其環繞該Μ之-側表面;_上部板,其安置於該 :壁上方以與該複數個第-喷嘴及該複數個第二噴嘴連 ^及下部板,其安置於該側壁下方以與該複數個第 一噴嘴及該複數個第二噴嘴連通。 1〇·如。月求項8之氣體配送裝置,其中該外殼包含:-側 土其環繞s亥空間之一側表面;及一下部板,其中安置 直接接觸該第二氣體配送板之該複數個第_纟嘴及該複 數個第二噴嘴。 〇 u·如明求項1之氣體配送裝置,其進一步包含一溫度計, 该溫度計安置於該第二氣體配送板及該第三氣體配送板 中之至少一者上。 士 3求項1之氣體配送裝置,其中該第二氣體配送部分 安置於〜腔室蓋之一下側之一中心部分處,且該至少兩 個第氣體配送部分安置於該腔室蓋下方以使得該第二 氣體配送部分定位於該至少兩個第一氣體配送部分之 間。 求項1之氣體配送裝置’其中該至少兩個第一氣體 146728.doc 201038763 配送板中之至少一者彼此隔開。 14_如請求項1或13之氣體配送裝置,其進—步包含至少一 第三氣體配送部分,該至少一第三氣體配送部分安置於 該至少兩個第一氣體配送部分之間以噴射一攪擾氣體。 15. 如請求項14之氣體配送裝置,其中該第三氣體配送部分 朝向該基板之一外側噴射該攪擾氣體。 16. 如請求項15之氣體配送裝置,其中突起形成於該至少兩 個第氣體配送部分之兩侧表面處,且對應於該等突起 之凹槽形成於該第三氣體配送部分之兩側表面處以將突 起插入至該等凹槽中,藉此將該第三氣體配送部分 於該等第一氣體配送部分之間。 17- 士叫求項14之氣體配送裝置,其中一溫度偵測器安置於 s亥至少一第三氣體配送部分下方。 18· —種基板處理裝置,其包含: 二至,其包含一反應空間; 基板疋位單元’其安置於該腔室之該反應空間中以 子於其中心徑向地定位複數個基板;及 第—Γ體配运^件,其包含:一第—氣體配送部分,該 乳體配送部分經組態以經由彼此不同的路線將至少 ^源㈣噴射至-基板上,及—第二氣體配送部分,該 =乳體配送部分經組態以將具有大於該至少兩源材料 該I::度之—平均值的一分解溫度之一源材料噴射至 其中該第—氣體配送部分係分隔成至少兩個區段,且 146728.doc 201038763 該等分隔之第—氣體配送部分經安置以使得該第二氣體 配送部分定位於該等分隔之第一氣體配送部分之間:且 該專刀隔之第一氣體配送部分彼此可輕接且可分離。 19 20. Ο 21. 22. 如請求項18之氣體配送裝置 本體’其中提供該反應空間 密封該反應空間,且該第一 配送部分固定至該腔室蓋。 ,其中該腔室包含:―腔室 ,及一腔室蓋,其經組態以 氣體配送部分及該第二氣體 如請求項18之氣體配送裝置,其中_致冷劑路徑安置於 该腔室蓋中,一致冷劑在該致冷劑路徑中循環。 氣體配送部分 如請求項18之氣體配送裝置,其中該第一 包含: 一第一氣體配送板,其連接至經組態以引入一第一處 理氣體之-第-進氣管,該第—氣體配送板包含複數: 第一通孔以使該第一處理氣體通過; 一第二氣體配送板,其連接至經組態以引入—第一严 理風體之-第二進氣管,該第二氣體配送板包含與該複 數個第-通孔對準以使該第—處理氣體通過之複數個第 二通孔及使該第二處理氣體通過之複數個第三通孔;及 一第三氣體配送板,其包含:與該複數個第二通孔及 該複數個第三通孔對準且經組態以分別噴射該第—處理 氣體及該第二處理氣體之複數個第一噴嘴及複數個$二 喷嘴,及供一致冷劑流動之一空間。 如請求項18之氣體配送裝置,其中該第二氣體配送, 包含至少-中心注入喷嘴’該至少一中心注入噴嘴安: 146728.doc 201038763 於對應於該基板定位單元之—中心區域之—胪 中。 工至區域 23. 24. 25. 26. 如請求項18之氣體配送裝置,其中該第二氣體配送 包含: ' ° h 一中心注入噴嘴,其安置於該第一氣體配送部分之— 中心區域中; 一延伸注入噴嘴,其延伸至該第一氣體配 ^ ^ 〜°丨分之間 的一空間中;及 延伸路徑,其與該中心注入噴嘴及該延伸注入噴嘴 連通。 如請求項18之氣體配送裝置,其進一步包含—路徑改變 器件,該路徑改變器件安置於該第二氣體配送部分之— 下部區域中以朝向該基板噴射自該第二氣體配送部分供 應之一處理氣體。 /、 如β求項24之氣體配送裝置,其中該路徑改變器件勹 含: °匕 八一固定板,其一部分連接至該複數個第一氣體配送部 分中之每—者’該固定板安置於該複數個第-氣體配送 部分之一中心處; -延伸路徑,其自該固定板之一中心區域朝向該基板 定位單元延伸;及 路傻改變喷嘴,其安置於該延伸路徑之一末端區域 處。 如請求項18之氣體配送裝置,其進—步包含··一加熱單 I46728.doc -6 - 201038763 元,該加熱單元經組態以加熱自該第二氣體配送部分喷 射之一處理氣體;或一電漿產生器件,其經組態以使用 電漿來離子化自該第二氣體配送部分喷射之該處理氣 體。 27.如請求項18之氣體配送裝置,其進一步包含一突起,該 突起安置於該基板定位單元上,該突起係插入至該等第 一氣體配送部分之間的該第二配送部分之一下側中。201038763 VII. Patent application scope: !· A gas distribution device comprising: - a first gas distribution portion 'configured to inject at least two source materials onto a substrate via different routes from each other; and - a second gas a dispensing portion configured to inject a source material having a decomposition temperature greater than an average of one of the decomposition temperatures of the at least two source materials onto the substrate, wherein the first gas distribution portion is separated into At least two sections and disposed such that the second gas distribution portion is positioned between the at least two sections; and the at least two sections are coupleable and separable from each other. The gas distribution device of claim 1, wherein the first gas distribution portion comprises: a first gas distribution plate coupled to a first intake pipe configured to introduce a first process gas, the first gas The distribution plate includes a plurality of first through holes for passing the first process gas; a second gas distribution plate 'connected to the second intake pipe configured to introduce a second process gas to the second gas The distribution plate includes a plurality of second through holes aligned with the plurality of first through holes for passing the first process gas and a plurality of third through holes for passing the second process gas; and a third gas distribution a plate comprising: a plurality of first nozzles aligned with the plurality of second through holes and the plurality of third through holes and configured to respectively inject the first process gas and the second process gas a second nozzle; and a space for the flow of the refrigerant. 3. The gas dispensing device of claim 2, wherein the first gas distribution plate package 146728.doc 201038763 comprises: an outer casing comprising the first process gas configured to receive the supply of the first process gas from the first intake pipe a space; and a dispensing unit disposed within the space, the dispensing unit configured to uniformly dispense the first process gas introduced from the first intake pipe. 4. The gas dispensing device of claim 3, wherein the dispensing unit comprises a plate and a plurality of air supply holes defined by perforating the plate. 5. The gas dispensing device of claim 2, wherein the second gas distribution plate comprises: a housing coupled to the second intake tube, the housing providing a space configured to receive the second processing gas; a plurality of cylinders including the plurality of second through holes in the space; and a plurality of third through holes defined by perforating a lower portion of the outer casing. 6. The gas distribution device of claim 5, wherein the second gas distribution plate comprises: a partition disposed in the space; and a buffer space separated by a side wall of the outer casing and the partition The buffer space is configured to receive the second process gas supplied from the second intake pipe. 7. The gas dispensing device of claim 6, wherein the second gas distribution plate comprises one of the gas supply holes in the separator to supply the second process gas of the buffer space to the space. 146728.doc 201038763 8 · A gas distribution device according to item 2 of May 2, wherein the third gas distribution plate comprises: a casing Ί locating the plurality of first nozzles and the plurality of second nozzles And including a space for the refrigerant to flow; and a cooling unit connected to the outer casing to supply or discharge the refrigerant. 9. The gas dispensing device of claim 8, wherein the outer casing comprises: a side wall that surrounds the side surface of the weir; an upper plate disposed above the wall to intersect the plurality of first nozzles and The plurality of second nozzles and the lower plate are disposed below the side wall to communicate with the plurality of first nozzles and the plurality of second nozzles. 1〇·如. The gas distribution device of claim 8, wherein the outer casing comprises: - a side surface surrounding one side surface of the s-sea space; and a lower plate, wherein the plurality of first nozzles directly contacting the second gas distribution plate are disposed And the plurality of second nozzles. The gas distribution device of claim 1, further comprising a thermometer disposed on at least one of the second gas distribution plate and the third gas distribution plate. 3. The gas distribution device of claim 1, wherein the second gas distribution portion is disposed at a central portion of a lower side of the chamber cover, and the at least two gas distribution portions are disposed under the chamber cover such that The second gas distribution portion is positioned between the at least two first gas distribution portions. The gas distribution device of claim 1 wherein at least one of the at least two first gas 146728.doc 201038763 distribution plates are spaced apart from one another. 14_ The gas distribution device of claim 1 or 13, further comprising at least one third gas distribution portion disposed between the at least two first gas distribution portions to inject one Agitate the gas. 15. The gas dispensing device of claim 14, wherein the third gas dispensing portion injects the agitating gas toward an outside of one of the substrates. 16. The gas distribution device of claim 15, wherein protrusions are formed at both side surfaces of the at least two gas distribution portions, and grooves corresponding to the protrusions are formed on both side surfaces of the third gas distribution portion The projections are inserted into the grooves whereby the third gas distribution portion is between the first gas distribution portions. 17- The gas distribution device of claim 14, wherein a temperature detector is disposed at least below a third gas distribution portion. a substrate processing apparatus comprising: two to a reaction space; a substrate clamping unit disposed in the reaction space of the chamber to radially position a plurality of substrates at a center thereof; a first body assembly, comprising: a first gas distribution portion configured to inject at least a source (four) onto the substrate via different routes from each other, and - a second gas distribution a portion, the = milk dispensing portion is configured to inject a source material having a decomposition temperature greater than the average value of the I:: degrees of the at least two source materials to wherein the first gas distribution portion is separated into at least Two sections, and 146728.doc 201038763 the first gas-distributing portions of the partitions are positioned such that the second gas distribution portion is positioned between the first gas distribution portions of the partitions: and the special knife is separated A gas distribution portion is lightly connectable and separable from each other. 19 20. Ο 21. 22. The gas distribution device body of claim 18, wherein the reaction space is provided to seal the reaction space, and the first dispensing portion is secured to the chamber cover. Wherein the chamber comprises: a chamber, and a chamber cover configured with a gas distribution portion and the second gas such as the gas delivery device of claim 18, wherein a refractory path is disposed in the chamber In the cover, the refrigerant is circulated in the refrigerant path. The gas distribution portion is the gas distribution device of claim 18, wherein the first comprises: a first gas distribution plate coupled to the first-intake tube configured to introduce a first process gas, the first gas The dispensing plate includes a plurality of: a first through hole for passing the first process gas; a second gas distribution plate coupled to the second intake pipe configured to introduce a first strict wind body, the second gas The distribution plate includes a plurality of second through holes aligned with the plurality of first through holes for passing the first processing gas and a plurality of third through holes for passing the second processing gas; and a third gas distribution a plate comprising: a plurality of first nozzles aligned with the plurality of second through holes and the plurality of third through holes and configured to respectively inject the first process gas and the second process gas $ two nozzles, and one space for the flow of consistent refrigerant. The gas distribution device of claim 18, wherein the second gas distribution comprises at least a central injection nozzle 'the at least one central injection nozzle: 146728.doc 201038763 in a central region corresponding to the substrate positioning unit . 24. The gas distribution device of claim 18, wherein the second gas delivery comprises: '° h a central injection nozzle disposed in the central region of the first gas distribution portion An extension injection nozzle extending into a space between the first gas distribution and the extension path, and an extension path communicating with the center injection nozzle and the extension injection nozzle. The gas dispensing device of claim 18, further comprising: a path changing device disposed in the lower region of the second gas dispensing portion for processing the supply of the substrate from the second gas dispensing portion gas. /, the gas distribution device of β, wherein the path changing device comprises: a 匕 固定 fixed plate, a portion of which is connected to each of the plurality of first gas distribution portions, wherein the fixing plate is disposed a center of one of the plurality of first gas distribution portions; an extension path extending from a central region of the fixed plate toward the substrate positioning unit; and a roadside change nozzle disposed at an end region of the extension path . The gas distribution device of claim 18, further comprising: a heating unit I46728.doc -6 - 201038763, the heating unit configured to heat a treatment gas from the second gas distribution portion; or A plasma generating device configured to ionize the process gas injected from the second gas distribution portion using a plasma. 27. The gas dispensing device of claim 18, further comprising a protrusion disposed on the substrate positioning unit, the protrusion being inserted into a lower side of the second dispensing portion between the first gas dispensing portions in. 146728.doc146728.doc
TW99106061A 2009-03-03 2010-03-02 Gas distribution apparatus and substrate processing apparatus having the same TW201038763A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020090018083A KR20100099535A (en) 2009-03-03 2009-03-03 Appratus for treating substrate and method for fabricating the same
KR20090079174 2009-08-26
KR1020100014446A KR20110021624A (en) 2009-08-26 2010-02-18 Source supplying apparatus and substrate processing apparatus having the same

Publications (1)

Publication Number Publication Date
TW201038763A true TW201038763A (en) 2010-11-01

Family

ID=44888876

Family Applications (1)

Application Number Title Priority Date Filing Date
TW99106061A TW201038763A (en) 2009-03-03 2010-03-02 Gas distribution apparatus and substrate processing apparatus having the same

Country Status (3)

Country Link
JP (1) JP2012519956A (en)
CN (1) CN102239543A (en)
TW (1) TW201038763A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI649446B (en) * 2017-03-15 2019-02-01 漢民科技股份有限公司 Detachable gas injectorused for semiconductor equipment

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102418086A (en) * 2011-11-16 2012-04-18 上海卓锐材料科技有限公司 Spraying head device for realizing gas isolation and homogenization
KR101503512B1 (en) 2011-12-23 2015-03-18 주성엔지니어링(주) Substrate processing apparatus and substrate processing method
US9748077B2 (en) * 2012-05-29 2017-08-29 Jusung Engineering Co., Ltd. Substrate processing device and substrate processing method
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6226677B2 (en) * 2013-10-02 2017-11-08 株式会社ニューフレアテクノロジー Semiconductor manufacturing apparatus and semiconductor manufacturing method
KR102229761B1 (en) 2014-03-17 2021-03-23 삼성디스플레이 주식회사 Atomic layer deposition apparatus
KR102215965B1 (en) * 2014-04-11 2021-02-18 주성엔지니어링(주) Apparatus for injection gas and apparatus for processing substrate including the same
KR102350588B1 (en) 2015-07-07 2022-01-14 삼성전자 주식회사 Film forming apparatus having injector
KR20200079696A (en) * 2018-12-26 2020-07-06 주성엔지니어링(주) Apparatus for Processing Substrate
KR102225657B1 (en) * 2019-11-14 2021-03-10 피에스케이 주식회사 Baffle unit, substrate processing apparatus including the same
KR102170451B1 (en) 2020-01-22 2020-10-28 (주)이큐테크플러스 Radical unit device for distributing precursor and reactant gas and atomic layer deposition apparatus including radical unit device therefor
JP2021141285A (en) 2020-03-09 2021-09-16 キオクシア株式会社 Semiconductor manufacturing apparatus and manufacturing method for semiconductor device
CN113957390B (en) * 2020-07-21 2024-03-08 宝山钢铁股份有限公司 Vacuum coating device with air cushion buffer cavity
CN115812245A (en) * 2020-09-18 2023-03-17 株式会社国际电气 Substrate processing apparatus, method for manufacturing semiconductor device, and program
CN113699509B (en) * 2021-10-27 2022-02-01 苏州长光华芯光电技术股份有限公司 Semiconductor growth equipment and working method thereof
CN114318300A (en) * 2021-12-30 2022-04-12 拓荆科技股份有限公司 Semiconductor processing equipment and reaction chamber and process pipeline cavity penetrating module thereof

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100614648B1 (en) * 2004-07-15 2006-08-23 삼성전자주식회사 Apparatus for treating substrates used in manufacturing semiconductor devices
KR100558922B1 (en) * 2004-12-16 2006-03-10 (주)퓨전에이드 Apparatus and method for thin film deposition
KR100905278B1 (en) * 2007-07-19 2009-06-29 주식회사 아이피에스 Apparatus, method for depositing thin film on wafer and method for gap-filling trench using the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI649446B (en) * 2017-03-15 2019-02-01 漢民科技股份有限公司 Detachable gas injectorused for semiconductor equipment

Also Published As

Publication number Publication date
CN102239543A (en) 2011-11-09
JP2012519956A (en) 2012-08-30

Similar Documents

Publication Publication Date Title
TW201038763A (en) Gas distribution apparatus and substrate processing apparatus having the same
TWI435948B (en) Gas injection unit and apparatus and method for depositing thin layer using the same
KR100614648B1 (en) Apparatus for treating substrates used in manufacturing semiconductor devices
US8668775B2 (en) Machine CVD shower head
US8764902B2 (en) Film-forming apparatus
US8876974B2 (en) Chemical vapor deposition apparatus capable of controlling discharging fluid flow path in reaction chamber
TWI524371B (en) Batch processing chamber with diffuser plate and injector assembly
JP5613680B2 (en) MOCVD reactor with cylindrical gas inlet part
TWI490366B (en) Flow control features of cvd chambers
US8216419B2 (en) Drilled CVD shower head
US20100263588A1 (en) Methods and apparatus for epitaxial growth of semiconductor materials
US8808454B2 (en) Gas injection unit for chemical vapor desposition apparatus
JP4564656B2 (en) Dual channel gas distribution plate
US6176929B1 (en) Thin-film deposition apparatus
US20090084317A1 (en) Atomic layer deposition chamber and components
JP2002053965A (en) Reaction vessel for vapor depositing thin film
JP2006322074A (en) Method for chemical vapor deposition with shower head and apparatus thereof
KR20010023887A (en) Vaporization and deposition apparatus and process
KR20100099535A (en) Appratus for treating substrate and method for fabricating the same
TW201209214A (en) Gas distribution showerhead with high emissivity surface
KR20070107711A (en) Gas inlet element for a cvd reactor
KR20090131384A (en) Top plate and apparatus for depositing thin film on wafer using the same
KR20010006524A (en) Cvd reactor and use thereof
KR101319823B1 (en) Metal organic chemical vapor deposition apparatus
KR20110021624A (en) Source supplying apparatus and substrate processing apparatus having the same