JPH08148470A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JPH08148470A
JPH08148470A JP28664094A JP28664094A JPH08148470A JP H08148470 A JPH08148470 A JP H08148470A JP 28664094 A JP28664094 A JP 28664094A JP 28664094 A JP28664094 A JP 28664094A JP H08148470 A JPH08148470 A JP H08148470A
Authority
JP
Japan
Prior art keywords
film
plasma
wiring layer
etching
plasma teos
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP28664094A
Other languages
Japanese (ja)
Inventor
Eiichi Mitsusaka
栄一 三坂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sanyo Electric Co Ltd
Original Assignee
Sanyo Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sanyo Electric Co Ltd filed Critical Sanyo Electric Co Ltd
Priority to JP28664094A priority Critical patent/JPH08148470A/en
Publication of JPH08148470A publication Critical patent/JPH08148470A/en
Pending legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PURPOSE: To protect Al against corrosion and a semiconductor device against contamination caused by heavy metal in an etching device by a method wherein an oxide film is formed on the side wall of an At wiring layer, and then a second silicon oxide film and an SOG film formed on all the surface are etched back. CONSTITUTION: An Al wiring layer 32 is formed on a BPSG film 31 provided onto a silicon substrate, a plasma Si3 N4 film 33 is formed covering the Al wiring layer 32, and then a first plasma TEOS film is formed on all the surface. Then, the first plasma TEOS film is etched throughout its surface making the surface of plasma Si3 N4 film 33 function as an etching end point detection plane, whereby a side wall oxide film 35 is formed on the side wall of the Al wiring layer 32. Then, a second plasma TEOS film 36 is formed on all the surface of the substrate, SOG is applied onto all the surface of the second plasma TEOS film 36 by spin coating so as to form an SOG film 37 to fill recesses located on the second plasma TEOS film 36. An interlayer insulating film flattened by etching back is formed.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、半導体装置の製造方法
に関し、さらに詳しく言えばAl配線層上に形成する層間
絶縁膜の平坦化方法の改善に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly to an improvement in a method for planarizing an interlayer insulating film formed on an Al wiring layer.

【0002】[0002]

【従来の技術】従来の半導体装置の製造方法を図6乃至
図13を参照しながら説明する。まず、図6に示すよう
に、半導体基板上に形成したBPSG膜(1)上に、Al
配線層(2)を形成し、そのAl配線層(2)を被覆する
ように、プラズマCVD装置によりプラズマTEOS膜
3を形成する。次に、図7に示すように、そのプラズマ
TEOS膜(3)上の全面にSOGを回転塗布する。す
ると、プラズマTEOS膜(3)の凹部を充填するよう
にSOG膜(4)が形成される。そして、図8に示すよ
うに、全面エッチバックにより、平坦化された層間絶縁
膜を形成している。しかしながら、プラズマTEOS膜
(3)は、オーバーハングした形状を有するために、エ
ッチバック後にSOG膜の残り(4A)が生じ、このた
めプラズマTEOS膜(3)のクラック(5)の発生を
招いていた。
2. Description of the Related Art A conventional method of manufacturing a semiconductor device will be described with reference to FIGS. First, as shown in FIG. 6, Al is formed on the BPSG film (1) formed on the semiconductor substrate.
A wiring layer (2) is formed, and a plasma TEOS film 3 is formed by a plasma CVD apparatus so as to cover the Al wiring layer (2). Next, as shown in FIG. 7, SOG is spin-coated on the entire surface of the plasma TEOS film (3). Then, the SOG film (4) is formed so as to fill the concave portion of the plasma TEOS film (3). Then, as shown in FIG. 8, a flattened interlayer insulating film is formed by etching back the entire surface. However, since the plasma TEOS film (3) has an overhanging shape, the rest (4A) of the SOG film is generated after the etching back, which causes cracks (5) in the plasma TEOS film (3). It was

【0003】その一方、SOG膜の残り(4A)を防止
するためにSOGのエッチングレートをプラズマTEO
Sのエッチングレートに比して大きく設定することは、
両者のエッチングレートを等しくするというエッチバッ
ク本来の特徴が生かせず、十分な平坦性が得られないと
いう欠点がある。そこで、上記の課題を解決するため
に、Al配線層の側壁酸化膜を設けることにより、プラズ
マTEOS膜(3)がオーバーハング形状となりSOG
膜が残るのを防止する方法が考えられた。以下、その製
造方法を図9乃至図13を参照しながら説明する。ま
ず、図9に示すように、半導体基板上に形成したBPS
G膜(11)上に、Al配線層(12)を形成し、そのAl
配線層(12)を被覆するように、プラズマCVD装置
により第1のプラズマTEOS膜(13)を形成する。
次に、図10に示すように、第1のプラズマTEOS膜
(13)をエッチバックし、側壁酸化膜14を形成す
る。第2のプラズマTEOS膜(15)を形成する。こ
のとき、側壁酸化膜(14)を設けたことにより下地に
傾斜がついているので第2のプラズマTEOS膜(1
5)はオーバーハングした形状とはならない。
On the other hand, in order to prevent the remaining (4 A) of the SOG film, the etching rate of SOG is set to plasma TEO.
Setting larger than the etching rate of S is
The original characteristic of etch-back that the etching rates of both are equalized cannot be utilized, and there is a drawback that sufficient flatness cannot be obtained. Therefore, in order to solve the above-mentioned problems, by providing a sidewall oxide film of the Al wiring layer, the plasma TEOS film (3) becomes an overhang shape and becomes SOG.
A method of preventing the film from remaining was considered. The manufacturing method will be described below with reference to FIGS. 9 to 13. First, as shown in FIG. 9, BPS formed on a semiconductor substrate
An Al wiring layer (12) is formed on the G film (11) and the Al
A first plasma TEOS film (13) is formed by a plasma CVD apparatus so as to cover the wiring layer (12).
Next, as shown in FIG. 10, the first plasma TEOS film (13) is etched back to form the sidewall oxide film 14. A second plasma TEOS film (15) is formed. At this time, since the side wall oxide film (14) is provided, the base is inclined, so that the second plasma TEOS film (1
5) does not have an overhanging shape.

【0004】次いで、図12に示すように、その第2の
プラズマTEOS膜(13)上の全面にSOGを回転塗
布し、プラズマTEOS膜(15)の凹部を充填するよ
うにSOG膜(16)を形成する。そして、図13に示
すように、全面エッチバックにより平坦化した層間絶縁
膜(17)を形成する。上記の製造方法によれば、第2
のプラズマTEOS膜(15)がオーバーハングした形
状とならないので、SOG膜の残りが発生しにくくな
り、層間絶縁膜(17)にクラックが入ることが防止さ
れるとともに、プラズマTEOSとSOGに対するエッ
チングレートを等しくできるので、より平坦化した層間
絶縁膜を形成することが可能となる。
Next, as shown in FIG. 12, SOG is spin-coated on the entire surface of the second plasma TEOS film (13) to fill the recesses of the plasma TEOS film (15). To form. Then, as shown in FIG. 13, a planarized interlayer insulating film (17) is formed by etch back. According to the above manufacturing method, the second
Since the plasma TEOS film (15) does not have an overhanging shape, the SOG film is less likely to remain, cracks are prevented from being formed in the interlayer insulating film (17), and the etching rate for the plasma TEOS and SOG is reduced. Can be made equal to each other, so that it is possible to form a flatter interlayer insulating film.

【0005】[0005]

【発明が解決しようとする課題】しかしながら、上記の
半導体装置の製造方法では、図10に示すように、選択
酸化膜(14)の形成時にAl配線層(12)の表面が露
出するため、Alコロージョンが発生したり、エッチング
装置内に重金属類の汚染が発生するなどの問題があっ
た。
However, in the above-described method for manufacturing a semiconductor device, the surface of the Al wiring layer (12) is exposed when the selective oxide film (14) is formed, as shown in FIG. There are problems such as corrosion and contamination of heavy metals in the etching apparatus.

【0006】[0006]

【課題を解決するための手段】本発明は、上記課題を解
決するために、Al配線層をシリコン窒化膜で被覆した後
にプラズマTEOS膜を全面に形成し、そのプラズマT
EOS膜をエッチバックして側壁酸化膜を形成する際
に、エッチングレートの差を利用してシリコン窒化膜の
表面でエッチングの終点検出を行うようにした。
According to the present invention, in order to solve the above-mentioned problems, a plasma TEOS film is formed on the entire surface after coating an Al wiring layer with a silicon nitride film.
When the EOS film was etched back to form the sidewall oxide film, the etching end point was detected on the surface of the silicon nitride film by utilizing the difference in etching rate.

【0007】[0007]

【作用】本発明によれば、Al配線層をシリコン窒化膜で
被覆しているので、側壁酸化膜を形成するときにAl配線
層が露出することがなくなり、Alコロージョンの発生や
エッチング装置の重金属汚染等を防止することができ
る。また、本発明によれば、Al配線層の側壁酸化膜を形
成しているので、プラズマTEOS膜をオーバーハング
した形状とならず、この結果SOG膜の残りが発生しに
くくなり、層間絶縁膜にクラックが入ることが防止され
るとともに、プラズマTEOSとSOGに対するエッチ
ングレートを等しくできるので、より平坦化した層間絶
縁膜を形成することが可能となる。
According to the present invention, since the Al wiring layer is covered with the silicon nitride film, the Al wiring layer is not exposed when the sidewall oxide film is formed, and the occurrence of Al corrosion and the heavy metal of the etching apparatus. It is possible to prevent pollution and the like. Further, according to the present invention, since the sidewall oxide film of the Al wiring layer is formed, the plasma TEOS film does not have an overhanging shape, and as a result, the SOG film is less likely to remain, and the interlayer insulating film is not formed. Since cracks can be prevented and the etching rates for the plasma TEOS and SOG can be made equal, it is possible to form a flatter interlayer insulating film.

【0008】[0008]

【実施例】以下で、本発明の半導体装置の製造方法の一
実施例を図1乃至図5を参照しながら説明する。まず、
図1に示すように、シリコン基板上に形成したBPSG
膜(31)上に、5000Åから7000Å程度のAl配
線層(32)を形成し、そのAl配線層(32)を被覆す
るように、500Åから1000Å程度のプラズマSi3N
4膜(33)を形成し、その後5000Åから7000
Å程度の第1のプラズマTEOS膜(34)を全面に形
成する。プラズマSi3N4膜(33)は、プラズマCVD
装置を使用し、NH3,SiH4, N2 のガス系を反応させて
得ている。また、プラズマTEOS膜についても同様に
プラズマCVD装置を使用し、O2, TEOSのガス系を反応
させて得ている。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of the method for manufacturing a semiconductor device of the present invention will be described below with reference to FIGS. First,
As shown in FIG. 1, BPSG formed on a silicon substrate
An Al wiring layer (32) of about 5000 Å to 7000 Å is formed on the film (31), and plasma Si3N of about 500 Å to 1000 Å is formed so as to cover the Al wiring layer (32).
4 membranes (33) are formed, then 5000 Å to 7000
A first plasma TEOS film (34) having a thickness of about Å is formed on the entire surface. Plasma Si3N4 film (33) is plasma CVD
It is obtained by reacting a gas system of NH3, SiH4, and N2 using a device. Similarly, the plasma TEOS film was obtained by using a plasma CVD apparatus and reacting a gas system of O2 and TEOS.

【0009】次に、図2に示すように、プラズマSi3N4
膜(33)の表面をエッチングの終点検出面として前記
第1のプラズマTEOS膜(34)を全面エッチングす
ることによりAl配線層(32)の側壁に側壁酸化膜(3
5)を形成する。すなわち、本工程では、プラズマTE
OSとプラズマSi3N4とが異なるエッチングレートを示
すことを利用して、プラズマSi3N4膜(33)の表面が
露出した段階でエッチングを停止し、Al配線層(32)
の表面が露出するのを防止している。したがって、プラ
ズマTEOSのプラズマSi3N4に対する選択比をできる
だけ大きくとることが望まれる。
Next, as shown in FIG. 2, plasma Si3N4
The first plasma TEOS film (34) is entirely etched by using the surface of the film (33) as an etching end point detection surface, and thereby the side wall oxide film (3) is formed on the side wall of the Al wiring layer (32).
5) is formed. That is, in this process, plasma TE
Utilizing the fact that the OS and plasma Si3N4 have different etching rates, etching is stopped when the surface of the plasma Si3N4 film (33) is exposed, and the Al wiring layer (32)
The surface of the is prevented from being exposed. Therefore, it is desired to make the selection ratio of the plasma TEOS to the plasma Si3N4 as large as possible.

【0010】本願発明者の実験によれば、RIE装置を
使用した場合では、エッチングガスとしてC4F8, CF4, A
r, CO を用い、それぞれの流量を、3sccm,5sccm,
600sccm,150sccmとし、圧力100mT,パワー1
000Wの条件でエッチングすると、選択比として1
0:1程度が得られた。さらに、選択比を高めるには、
RIE装置では困難であり、例えば誘導結合型エッチン
グ装置を使用する必要がある。本装置を使用した場合、
エッチングガスとしてC2F6(流量25sccm)を用い、圧
力2.5mT、ソースパワー2500W、バイアスパワー
725Wの条件でエッチングしたところ、選択比として
40:1という高い値が得られた。
According to the experiments conducted by the inventor of the present application, when the RIE apparatus is used, C4F8, CF4, A is used as an etching gas.
Using r and CO, each flow rate is 3sccm, 5sccm,
600sccm, 150sccm, pressure 100mT, power 1
When etching under the condition of 000W, the selection ratio is 1
About 0: 1 was obtained. Furthermore, to increase the selection ratio,
This is difficult with an RIE apparatus, and it is necessary to use an inductively coupled etching apparatus, for example. When using this device,
When C2F6 (flow rate 25 sccm) was used as etching gas and etching was performed under the conditions of pressure 2.5 mT, source power 2500 W and bias power 725 W, a high selection ratio of 40: 1 was obtained.

【0011】次に、図3に示すように、基板上の全面に
第2のプラズマTEOS膜(36)を形成する。本工程
では、プラズマCVD装置を使用し、O2, TEOSのガス系
を反応させて、5000Åから7000ÅのTEOS膜
を形成している。このとき、側壁酸化膜(35)を設け
たことにより下地に傾斜がついているので第2のプラズ
マTEOS膜(36)はオーバーハングした形状とはな
らない。
Next, as shown in FIG. 3, a second plasma TEOS film (36) is formed on the entire surface of the substrate. In this step, a plasma CVD apparatus is used to react a gas system of O2 and TEOS to form a TEOS film of 5000 Å to 7000 Å. At this time, the second plasma TEOS film (36) does not have an overhanging shape because the base is inclined due to the provision of the sidewall oxide film (35).

【0012】次いで、図4に示すように、その第2のプ
ラズマTEOS膜(36)上の全面にSOGを回転塗布
し、第2のプラズマTEOS膜(36)の凹部を充填す
るようにSOG膜(37)を形成する。そして、図5に
示すように、全面エッチバックにより平坦化した層間絶
縁膜(38)を形成する。この後、さらに全面にプラズ
マTEOS膜を形成し、層間絶縁膜(38)の膜厚を十
分確保してもよい。
Then, as shown in FIG. 4, SOG is spin-coated on the entire surface of the second plasma TEOS film (36) to fill the recesses of the second plasma TEOS film (36). (37) is formed. Then, as shown in FIG. 5, an interlayer insulating film (38) is formed by planarizing the entire surface by etching back. After that, a plasma TEOS film may be further formed on the entire surface to secure a sufficient film thickness of the interlayer insulating film (38).

【0013】このように、本実施例の製造方法によれ
ば、Al配線層(32)をプラズマSi3N4膜(33)で被
覆しているので、側壁酸化膜(35)を形成するときに
Al配線層(32)が露出することがなくなり、Alコロー
ジョンの発生やエッチング装置の重金属汚染等を防止す
ることができる。また、第2のプラズマTEOS膜(3
6)がオーバーハングした形状とならないので、SOG
膜の残りが発生しにくくなり、層間絶縁膜(38)にク
ラックが入ることが防止されるとともに、プラズマTE
OSとSOGに対するエッチングレートを等しくできる
ので、より平坦化した層間絶縁膜を形成することが可能
となる。
As described above, according to the manufacturing method of this embodiment, since the Al wiring layer (32) is covered with the plasma Si3N4 film (33), when the side wall oxide film (35) is formed.
The Al wiring layer (32) is not exposed, and the occurrence of Al corrosion and the heavy metal contamination of the etching apparatus can be prevented. In addition, the second plasma TEOS film (3
6) does not have overhanging shape, so SOG
Remaining of the film is less likely to occur, cracks are prevented from entering the interlayer insulating film (38), and plasma TE
Since the etching rates for OS and SOG can be made equal, it is possible to form a flatter interlayer insulating film.

【0014】[0014]

【発明の効果】以上説明したように、本発明の半導体装
置の製造方法によれば、Al配線層をシリコン窒化膜で被
覆しているので、側壁酸化膜を形成するときにAl配線層
が露出することがなくなり、Alコロージョンの発生やエ
ッチング装置の重金属汚染等を防止することができる。
As described above, according to the method of manufacturing a semiconductor device of the present invention, since the Al wiring layer is covered with the silicon nitride film, the Al wiring layer is exposed when the sidewall oxide film is formed. As a result, it is possible to prevent Al corrosion and heavy metal contamination of the etching apparatus.

【0015】また、本発明によれば、Al配線層の側壁酸
化膜を形成しているので、プラズマTEOS膜をオーバ
ーハングした形状とならず、この結果SOG膜の残りが
発生しにくくなり、層間絶縁膜にクラックが入ることが
防止されるとともに、プラズマTEOSとSOGに対す
るエッチングレートを等しくできるので、より平坦化し
た層間絶縁膜を形成することが可能となる。
Further, according to the present invention, since the sidewall oxide film of the Al wiring layer is formed, the plasma TEOS film does not have an overhanging shape, and as a result, the SOG film is less likely to remain, and the interlayer is not formed. Since cracks can be prevented from occurring in the insulating film and the etching rates for the plasma TEOS and SOG can be made equal, it is possible to form a flatter interlayer insulating film.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の一実施例に係る半導体装置の製造方法
を説明する第1の断面図である。
FIG. 1 is a first sectional view illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention.

【図2】本発明の一実施例に係る半導体装置の製造方法
を説明する第2の断面図である。
FIG. 2 is a second cross-sectional view illustrating the method for manufacturing the semiconductor device according to the embodiment of the present invention.

【図3】本発明の一実施例に係る半導体装置の製造方法
を説明する第3の断面図である。
FIG. 3 is a third cross-sectional view illustrating the method for manufacturing the semiconductor device according to the embodiment of the present invention.

【図4】本発明の一実施例に係る半導体装置の製造方法
を説明する第4の断面図である。
FIG. 4 is a fourth sectional view illustrating the method for manufacturing the semiconductor device according to the embodiment of the present invention.

【図5】本発明の一実施例に係る半導体装置の製造方法
を説明する第5の断面図である。
FIG. 5 is a fifth cross-sectional view illustrating the method for manufacturing the semiconductor device according to the embodiment of the present invention.

【図6】従来例に係る半導体装置の製造方法を説明する
断面図である。
FIG. 6 is a cross-sectional view illustrating a method of manufacturing a semiconductor device according to a conventional example.

【図7】従来例に係る半導体装置の製造方法を説明する
断面図である。
FIG. 7 is a cross-sectional view illustrating a method of manufacturing a semiconductor device according to a conventional example.

【図8】従来例に係る半導体装置の製造方法を説明する
断面図である。
FIG. 8 is a cross-sectional view illustrating a method of manufacturing a semiconductor device according to a conventional example.

【図9】従来例に係る半導体装置の製造方法を説明する
断面図である。
FIG. 9 is a cross-sectional view illustrating a method of manufacturing a semiconductor device according to a conventional example.

【図10】従来例に係る半導体装置の製造方法を説明す
る断面図である。
FIG. 10 is a cross-sectional view illustrating a method of manufacturing a semiconductor device according to a conventional example.

【図11】従来例に係る半導体装置の製造方法を説明す
る断面図である。
FIG. 11 is a cross-sectional view illustrating a method of manufacturing a semiconductor device according to a conventional example.

【図12】従来例に係る半導体装置の製造方法を説明す
る断面図である。
FIG. 12 is a cross-sectional view illustrating the method for manufacturing the semiconductor device according to the conventional example.

【図13】従来例に係る半導体装置の製造方法を説明す
る断面図である。
FIG. 13 is a cross-sectional view illustrating the method for manufacturing the semiconductor device according to the conventional example.

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】 半導体基板上に形成した絶縁膜上にAl配
線層を形成する工程と、前記絶縁膜およびAl配線層の表
面を被覆するようにシリコン窒化膜を形成する工程と、
全面に第1のシリコン酸化膜を形成する工程と、前記シ
リコン窒化膜の表面をエッチングの終点検出面として前
記第1のシリコン酸化膜を全面エッチングすることによ
り前記Al配線層の側壁酸化膜を形成する工程と、全面に
第2のシリコン酸化膜を形成する工程と、全面にSOG
膜を塗布する工程と、前記第2のシリコン酸化膜および
前記SOG膜をエッチバックする工程とを有することを
特徴とする半導体装置の製造方法。
1. A step of forming an Al wiring layer on an insulating film formed on a semiconductor substrate, and a step of forming a silicon nitride film so as to cover the surfaces of the insulating film and the Al wiring layer,
A step of forming a first silicon oxide film on the entire surface, and a sidewall oxide film of the Al wiring layer is formed by completely etching the first silicon oxide film using the surface of the silicon nitride film as an etching end point detection surface. And a step of forming a second silicon oxide film on the entire surface, and SOG on the entire surface
A method of manufacturing a semiconductor device, comprising: a step of applying a film; and a step of etching back the second silicon oxide film and the SOG film.
【請求項2】 シリコン基板上に形成した上にBPSG
膜上にAl配線層を形成する工程と、前記BPSG膜およ
びAl配線層の表面を被覆するようにプラズマSi3N4膜を
形成する工程と、全面に第1のプラズマTEOS膜を形
成する工程と、前記プラズマSi3N4膜の表面をエッチン
グの終点検出面として前記第1のプラズマTEOS膜を
全面エッチングすることにより前記Al配線層の側壁にプ
ラズマTEOSからなる側壁酸化膜を形成する工程と、
全面に第2のプラズマTEOS膜を形成する工程と、全
面にSOG膜を塗布する工程と、前記第2のプラズマT
EOS膜および前記SOG膜をエッチバックする工程と
を有することを特徴とする半導体装置の製造方法。
2. A BPSG formed on a silicon substrate
Forming an Al wiring layer on the film, forming a plasma Si3N4 film so as to cover the surfaces of the BPSG film and the Al wiring layer, forming a first plasma TEOS film on the entire surface, Forming a sidewall oxide film made of plasma TEOS on the sidewall of the Al wiring layer by completely etching the first plasma TEOS film using the surface of the plasma Si3N4 film as an end point detection surface for etching;
A step of forming a second plasma TEOS film on the entire surface, a step of applying an SOG film on the entire surface, and the second plasma T
And a step of etching back the EOS film and the SOG film.
【請求項3】 前記第1のプラズマTEOS膜を全面エ
ッチングして側壁酸化膜を形成する工程で、誘導結合型
エッチング装置を使用することを特徴とする請求項2記
載の半導体装置の製造方法。
3. The method of manufacturing a semiconductor device according to claim 2, wherein an inductively coupled etching apparatus is used in the step of forming a sidewall oxide film by etching the entire surface of the first plasma TEOS film.
JP28664094A 1994-11-21 1994-11-21 Manufacture of semiconductor device Pending JPH08148470A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP28664094A JPH08148470A (en) 1994-11-21 1994-11-21 Manufacture of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP28664094A JPH08148470A (en) 1994-11-21 1994-11-21 Manufacture of semiconductor device

Publications (1)

Publication Number Publication Date
JPH08148470A true JPH08148470A (en) 1996-06-07

Family

ID=17707042

Family Applications (1)

Application Number Title Priority Date Filing Date
JP28664094A Pending JPH08148470A (en) 1994-11-21 1994-11-21 Manufacture of semiconductor device

Country Status (1)

Country Link
JP (1) JPH08148470A (en)

Cited By (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006216978A (en) * 1996-06-26 2006-08-17 Micron Technology Inc Capacitor
JP2009152550A (en) * 2007-10-22 2009-07-09 Applied Materials Inc Method and system for forming at least one dielectric layer
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations

Cited By (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006216978A (en) * 1996-06-26 2006-08-17 Micron Technology Inc Capacitor
JP2009152550A (en) * 2007-10-22 2009-07-09 Applied Materials Inc Method and system for forming at least one dielectric layer
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch

Similar Documents

Publication Publication Date Title
JPH08148470A (en) Manufacture of semiconductor device
US6048775A (en) Method to make shallow trench isolation structure by HDP-CVD and chemical mechanical polish processes
US6228760B1 (en) Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
JP3019021B2 (en) Semiconductor device and manufacturing method thereof
US6235633B1 (en) Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
EP0340524A1 (en) Planarization process for wide trench isolation
US6635576B1 (en) Method of fabricating borderless contact using graded-stair etch stop layers
JP2001057386A (en) Polycrystal silicon contact plug forming method by use of etch-back and manufacture for semiconductor device using the same
JP2003045969A (en) Wiring forming method utilizing dual damascene
US6268287B1 (en) Polymerless metal hard mask etching
US6043152A (en) Method to reduce metal damage in the HDP-CVD process by using a sacrificial dielectric film
US5681425A (en) Teos plasma protection technology
US7172964B2 (en) Method of preventing photoresist poisoning of a low-dielectric-constant insulator
US6255211B1 (en) Silicon carbide stop layer in chemical mechanical polishing over metallization layers
US6319817B1 (en) Method of forming viahole
US6103455A (en) Method to form a recess free deep contact
US6686286B2 (en) Method for forming a borderless contact of a semiconductor device
US5968851A (en) Controlled isotropic etch process and method of forming an opening in a dielectric layer
US6037251A (en) Process for intermetal SOG/SOP dielectric planarization
KR20020096678A (en) Method for forming the dual damascene line
JP2001118928A (en) Method for manufacturing integrated circuit
US5880005A (en) Method for forming a tapered profile insulator shape
US5854124A (en) Method for opening contacts of different depths in a semiconductor wafer
US6780771B1 (en) Forming a substantially planar upper surface at the outer edge of a semiconductor topography
US7001692B2 (en) Method of forming a mask having nitride film