TWI830277B - 製造熱穩定之低介電常數鰭式場效電晶體間隔物之方法 - Google Patents

製造熱穩定之低介電常數鰭式場效電晶體間隔物之方法 Download PDF

Info

Publication number
TWI830277B
TWI830277B TW111125494A TW111125494A TWI830277B TW I830277 B TWI830277 B TW I830277B TW 111125494 A TW111125494 A TW 111125494A TW 111125494 A TW111125494 A TW 111125494A TW I830277 B TWI830277 B TW I830277B
Authority
TW
Taiwan
Prior art keywords
film
substrate
silicon
hydrogen
gas
Prior art date
Application number
TW111125494A
Other languages
English (en)
Other versions
TW202247471A (zh
Inventor
米海拉 巴賽諾
史林尼法斯D 奈馬尼
美儀 石
怡利 葉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202247471A publication Critical patent/TW202247471A/zh
Application granted granted Critical
Publication of TWI830277B publication Critical patent/TWI830277B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

揭露用於形成熱穩定間隔物層的方法。該方法包含以下步驟:首先,在處理腔室的內部容積中設置基板。該基板具有在該基板上形成的薄膜,該薄膜包含矽、碳、氮、及氫。接著,將高壓蒸氣導入該處理腔室。曝露該薄膜至該高壓蒸氣以將該薄膜轉換成經反應薄膜,該經反應薄膜包含矽、碳、氧、及氫。

Description

製造熱穩定之低介電常數鰭式場效電晶體間隔物之方法
本揭示案的實施例一般係關於用於在FinFET裝置中形成間隔物層的方法及設備。
回應於針對具有較密電路的較小電子裝置之增加的需求,已發展具有三維(3D)結構的裝置。該等裝置的範例包含鰭式場效電晶體(FinFET),具有在水平延伸的基板上方垂直升高的傳導性的鰭狀結構。有鑑於針對不斷更小裝置的持續要求,針對用於該等FinFET裝置中的改良品質的薄膜層(例如間隔物層)的要求持續增加。使用該等間隔物層以藉由減低寄生電容來改良裝置效能。隨著針對FinFET裝置的改良的要求的增長,針對用於製造該等FinFET裝置的方法的需求亦增長。
產生FinFET結構中的一個操作包含在下方基板上沉積薄膜。然而,所沉積的薄膜可能為不可接受的品質,具有錯誤的介電或電氣屬性,例如太高或太低的介電常數。此外,使用傳統方法之後薄膜層的蝕刻率較所需明顯更高。使用傳統技術也自薄膜層釋出熱穩定元素,例如碳。
因此,技術領域中具有針對用於改良FinFET結構中的薄膜品質之方法的需求。
在一個實施例中,提供形成間隔物層的方法,包含以下步驟:在處理腔室的內部容積中設置基板,該基板具有在該基板上形成的薄膜,該薄膜包含矽、碳、氮、及氫;將處理氣體導入該處理腔室,其中該處理氣體包括高壓蒸氣;曝露該薄膜至該處理氣體以形成經反應薄膜,使得該經反應薄膜包含矽、碳、氧、及氫;及使用惰性氣體沖洗該內部容積。
在另一實施例中,提供形成間隔物層的方法,包含以下步驟:在處理腔室的內部容積中設置基板,該基板具有在該基板上形成的薄膜,該薄膜包含矽、碳、氮、及氫;將處理氣體導入該處理腔室,其中該處理氣體包括高壓蒸氣;曝露該薄膜至該處理氣體以形成經反應薄膜,使得該經反應薄膜包含矽、碳、氧、及氫;使用惰性氣體沖洗該內部容積;及使用氫退火來處置該經反應薄膜。
在另一實施例中,提供形成間隔物層的方法,包含以下步驟:在處理腔室的內部容積中設置基板,該基板具有在該基板上形成的薄膜,該基板包含矽及鍺,該薄膜包含矽、碳、氮、及氫;將處理氣體導入該處理腔室,其中該處理氣體包含高壓蒸氣;曝露該薄膜至該處理氣體以形成經反應薄膜,使得該經反應薄膜包括矽、碳、氧、及氫;使用惰性氣體沖洗該內部容積;及使用氫退火來處置該經反應薄膜。
所提供方法中的高壓蒸氣移除了薄膜併入的氮,且將更熱動態穩定的氧併入薄膜。並未自經反應薄膜移除碳,且碳有助於經反應薄膜的介電常數。
此處所提供的揭示案之實施例包含用於形成熱穩定間隔物層的方法。該方法包含以下步驟:首先,在處理腔室的內部容積中設置基板。該基板具有在該基板上形成的薄膜。接著,將高壓蒸氣導入該處理腔室。曝露具有該薄膜設置於其上的基板至該高壓蒸氣以將該薄膜轉換成經反應薄膜。可移除薄膜中的氮,且經反應薄膜含有氧。此處所提供的揭示案之實施例可特別有用於(但不限定於)將矽碳胺(SiCNH)薄膜轉換成碳氫氧化矽(SiCOH)經反應薄膜。
如此處所使用,用語「約」指與標稱值+/-10%的變化度。應理解該變化度可包含於此處所提供的任何數值中。
圖1根據一個實施例圖示批次處理腔室100的示意橫截面正視圖。批次處理腔室100具有主體110,主體110具有外表面112及內表面113而封閉內部容積115。在一些實施例中,例如圖1中,主體110具有環狀橫截面,儘管在其他實施例中,主體的橫截面可為矩形或任何封閉形狀。主體110的外表面112可由抗腐蝕鋼(CRS)製成,例如但不限於不鏽鋼。主體110的內表面113可由對腐蝕展現高阻抗的鎳基鋼合金製成,例如但不限於HASTELLOY ®、INCONEL ®、或MONEL ®等。
批次處理腔室100具有門120,經配置以選擇性地封閉主體110內的內部容積115。使用密封122以密封門120至主體110,以便密封內部容積115以用於處理。密封122可由聚合物製成,例如但不限於全氟彈性體。冷卻通道124設置於門120上相鄰於密封122,其中流動冷卻劑以便維持密封小於密封的最大安全操作溫度。藉由控制器180經由自溫度感測器116或流動感測器(未展示)所接收的回饋來控制冷卻通道124內冷卻劑的流動。
批次處理腔室100具有穿過主體的埠117。埠117具有穿過埠117的管118,並且該管耦合至加熱器119。管118的一個末端連接至內部容積115。管118的另一末端分岔成入口管道157及出口管道161。入口管道157經由隔絕閥155流體地連接至氣體面板150且進一步耦合至加熱器158。出口管道161經由隔絕閥165流體地連接至凝結器160且耦合至加熱器162。加熱器119、158、及162經配置以分別維持流經管118、入口管道157、及出口管道161的處理氣體於大於處理氣體的凝結點的溫度。由功率來源145來對加熱器119、158、及162供電。
氣體面板150經配置以提供壓力下的處理氣體進入入口管道157以用於穿過管118傳輸進入內部容積115。藉由耦合至主體110的壓力感測器114來監測導入內部容積115的處理氣體的壓力。凝結器160流體地耦合至冷卻流體,且經配置以在自內部容積115穿過管118移除之後凝結流經出口管道161的氣體產物。凝結器160將氣體產物自氣相轉換成液相。幫浦170流體地連接至凝結器160且抽出來自凝結器160的液化產物。藉由控制器180來控制氣體面板150、凝結器160、及幫浦170的操作。
一或更多個加熱器140設置於主體110上且經配置以加熱批次處理腔室100內的內部容積115。在一些實施例中,加熱器140設置於主體110的外表面112上,如圖1中所展示,然而在其他實施例中,加熱器可設置於主體的內表面113上。由功率來源145來對加熱器140供電。藉由控制器180經由自溫度感測器116所接收的回饋來控制至加熱器140的功率。溫度感測器116耦合至主體110且監測內部容積115的溫度。
移動耦合至致動器(未展示)的匣130進出內部容積115。匣130具有頂部表面132、底部表面134、及壁136。匣130的壁136具有複數個基板儲存插槽138。每一基板儲存插槽138沿著匣130的壁136均勻地間隔。每一基板儲存插槽138經配置以固持其中的基板135。匣130可具有最多50個基板儲存插槽138以用於固持基板135。匣130提供有效的載具以用於傳送複數個基板135進入及離開批次處理腔室100及用於處理內部容積115中的複數個基板。
控制器180控制批次處理腔室100的操作。控制器180控制氣體面板150、凝結器160、幫浦170、隔絕閥155及165、以及功率來源145的操作。控制器180也通訊地連接至溫度感測器116、壓力感測器114、及冷卻通道124。控制器180包含中央處理單元(CPU)182、記憶體184、及支援電路186。CPU 182可為可使用於工業設定的任何形式的一般用途電腦處理器。記憶體184可為隨機存取記憶體、唯讀記憶體、軟碟、或硬碟驅動、或其他形式的數位儲存。支援電路186傳統上耦合至CPU 182且可包含快取記憶體、時脈電路、輸入/輸出系統、功率供應等。
圖2為根據一個實施例的單一基板處理腔室200的示意橫截面正視圖。單一基板處理腔室200具有主體210,主體210具有外表面212及內表面213而封閉內部容積215。在一些實施例中,例如圖2中,主體210具有環狀橫截面,儘管在其他實施例中,主體的橫截面可為矩形或任何封閉形狀。主體210的外表面212可由抗腐蝕鋼(CRS)製成,例如但不限於不鏽鋼。主體210的內表面213可由對腐蝕展現高阻抗的鎳基鋼合金製成,例如但不限於HASTELLOY ®、INCONEL ®、及MONEL ®
基板支撐件230設置於內部容積215內。基板支撐件230具有主幹234及由主幹固持的基板支撐主體232。致動基板支撐主體232以在單一基板處理腔室200的內部容積215內升高或降低以用於在其上設置基板235。基板支撐主體232可選地具有嵌入其中的電阻性加熱元件231。
單一基板處理腔室200具有穿過主體210的開口211,經由開口211,基板235可裝載至或卸載自設置於內部容積215中的基板支撐件230。開口211具有門220,經配置以選擇性地密封內部容積215。使用密封222以密封門220以便密封內部容積215以用於處理。密封222可由例如聚合物如含氟聚合物製成,例如但不限於全氟彈性體及聚四氟乙烯(PTFE)。冷卻通道224設置相鄰於門220,其中流動冷卻劑以便維持密封222小於密封的最大安全操作溫度。藉由控制器280經由自溫度感測器216或流動感測器(未展示)所接收的回饋來控制冷卻劑的流動。
單一基板處理腔室200具有穿過主體210的埠217。埠217具有穿過埠217的管218,該管耦合至加熱器219。管218的一個末端連接至內部容積215。管218的另一末端分岔成入口管道257及出口管道261。入口管道257經由隔絕閥255流體地連接至氣體面板250且進一步耦合至加熱器258。出口管道261經由隔絕閥265流體地連接至凝結器260且耦合至加熱器262。加熱器219、258、及262經配置以分別維持流經管218、入口管道257、及出口管道261的處理氣體於大於處理氣體的凝結點的溫度。由功率來源245來對加熱器219、258、及262供電。
氣體面板250及壓力感測器214在本質及功能上與圖1的氣體面板150及壓力感測器114實質上相似。凝結器260在本質及功能上與圖1的凝結器160實質上相似。幫浦270在本質及功能上與圖1的幫浦170實質上相似。一或更多個加熱器240設置於主體210上且經配置以加熱單一基板處理腔室200內的內部容積215。加熱器240也在本質及功能上與使用於批次處理腔室100中的加熱器140實質上相似。進一步地,控制器280與圖1的控制器180相似,且中央處理單元(CPU)282、記憶體284、及支援電路286分別與圖1的CPU 182、記憶體184、及支援電路186相似。
圖3為根據一個實施例的電子裝置300的區段。電子裝置300包含基板302,在基板302上形成間隔物層304。在一些實施例中,基板302為裸矽、III-V、或鍺晶圓。根據一個實施例,基板包含矽(Si)及鍺(Ge)。在另一實施例中,基板302進一步包含設置於基板302上的薄膜。基板302可為光罩、半導體晶圓、或其他工件。根據一個實施例,基板包含鰭式場效電晶體(FinFET)結構。根據一些實施例,基板302包含任何材料以製造任何積體電路、被動(例如,電容器、電感器)及主動(例如,電晶體、光偵測器、雷射、二極體)微電子裝置。根據一個實施例,基板302包含絕緣及/或介電材料以分開該等主動及被動微電子裝置與形成於其上的一或多個傳導性層。在一個實施例中,基板302為半導體基板,包含一或更多個介電層,例如,二氧化矽、氮化矽、藍寶石、及其他介電材料。在一個實施例中,基板302為包含一或更多個層的晶圓堆疊。基板302的一或更多個層可包含傳導性、半傳導性、絕緣性、或上述之任何組合的層。
根據一個實施例,間隔物層304由碳氫氧化矽(SiCOH)薄膜形成。根據一個實施例,藉由使用原子層沉積(ALD)處理沉積矽碳胺(SiCNH)薄膜來形成間隔物層304。然而,可使用其他方法,例如但不限於化學氣相沉積(CVD)、物理氣相沉積(PVD)、電漿增強原子層沉積(PEALD)、及電漿增強化學氣相沉積(PECVD)。在使用高壓蒸氣處置之後(如參考圖4所述),SiCNH薄膜轉換成熱穩定SiCOH薄膜。
圖4為根據一個實施例用於形成間隔物層(例如圖3中所展示的間隔物層304)的方法400操作之流程圖。儘管方法400的操作與圖4一併描述,發明所屬領域具有通常知識者將理解,經配置以任何順序執行方法操作的任何系統落於此處所述的實施例的範圍內。可與上述批次處理腔室100或單一基板處理腔室200一起使用圖4的方法400。然而,可針對方法400使用其他相似腔室。
方法400始於操作402,其中基板設置於處理腔室的內部容積內,且基板上形成薄膜。根據一個實施例,薄膜包含矽(Si)。根據另一實施例,薄膜包含Si、碳(C)、氮(N)、及氫(H)。而根據又一實施例,薄膜為矽碳胺(SiCNH)薄膜。可使用以下處理來沉積薄膜層,例如:原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、電漿增強原子層沉積(PEALD)、及電漿增強化學氣相沉積(PECVD)等。根據一個實施例,以最小化使薄膜層熱不穩定的末端甲基(CH 3)基團的方案來沉積薄膜層。
在操作404處,薄膜層曝露至處理氣體(處理氣體包含高壓蒸氣)以在處理腔室的內部容積中退火薄膜層。基板可傳送至新的腔室,或基板可在與使用以沉積薄膜層相同的腔室中曝露至處理氣體。將高壓蒸氣定義成以高於2 bar的壓力提供的蒸氣。高壓蒸氣為處於例如約2 bar及約50 bar之間的範圍中的壓力,例如約20 bar。蒸氣例如為乾蒸氣或過熱蒸氣。在薄膜層曝露至處理氣體期間,支撐基板的台座維持於例如約攝氏200度至約攝氏500度之間的溫度,例如約攝氏300度。根據一些實施例,處理氣體進一步包含載送氣體,例如惰性氣體,如氬或氮氣(N 2)等。蒸氣處於處理氣體約5%至約100%的濃度。薄膜層曝露於處理氣體大於2分鐘的處理時間,例如約2分鐘及約120分鐘之間,例如約10分鐘。處理期間,處理腔室主體維持於約攝氏200度或更高以便防止蒸氣凝結至處理腔室主體上。曝露至處理氣體將薄膜化學轉換成經反應薄膜。根據一個實施例,經反應薄膜包含Si。根據另一實施例,經反應薄膜包含Si、C、氧(O)、及H。而根據又一實施例,經反應薄膜為碳氫氧化矽(SiCOH)薄膜。而根據可與其他實施例組合的又一實施例,經反應薄膜包含化學計量上較氮更多的氧。根據一個實施例,方法400將熱不穩定SiCNH薄膜轉換成具有低介電常數的更熱動態穩定的SiCOH薄膜。
在所需處理時間之後,自內部容積排出處理氣體。在內部容積中產生亞大氣壓力環境,同時將惰性氣體(例如氮或其他惰氣)導入內部容積。惰性氣體沖洗處理腔室以移除過度的濕度。
在操作406處,可選地使用氫退火來處置薄膜層,其中含氫氣體流經處理腔室。根據一個實施例,含氫氣體包含氫氣(H 2)。在約攝氏600度至約攝氏800度的範圍中的一溫度下進行氫退火。也在亞大氣壓力條件下進行氫退火,例如約5 Torr至約500 Torr之間。氫退火進一步降低介電常數且大幅減低形成的層的洩漏電流。
SiCOH為常見的使用於FinFET領域中的低介電常數(low-k)介電材料。本領域中常見的程序包含在基板上沉積SiCNH薄膜,且將SiCNH薄膜退火以形成SiCOH。然而,傳統退火方法(例如,爐退火)通常無法適當地將SiCNH薄膜轉換成SiCOH薄膜。例如,使用傳統方法之後,薄膜層的蝕刻率較所需明顯更高。使用傳統技術也將自薄膜層釋放熱穩定碳。使用傳統技術也將不期望地氧化基板。進一步地,在爐退火處理中的處理期間,不能夠維持蒸氣濃度。
提供方法400以用於將包含矽、碳、氮、及氫的薄膜轉換成包含矽、碳、氧、及氫的經反應薄膜。使用處理氣體來處置下方基板上所沉積的薄膜,其中處理氣體包含高壓蒸氣。與高壓蒸氣的相互作用自薄膜移除氮且將氧併入薄膜。
使用此處所述的方式允許熱穩定的低介電常數薄膜層。高壓蒸氣大幅增加可用於將SiCNH薄膜轉換成SiCOH薄膜的蒸氣濃度,且能夠在短時間及低溫度下達成。基板不會被氧化且穩定碳不會自薄膜移除。因此,所得的SiCOH薄膜層提供了熱穩定且展現所需的低蝕刻率(小於每分鐘約1 Å)的約4或更低的低介電常數k值。
儘管前述涉及本揭示案的實施例,可設計本揭示案的其他及進一步的實施例而不脫離其基本範圍,且其範圍由隨後的申請專利範圍所決定。
100:批次處理腔室 110:主體 112:外表面 113:內表面 114:壓力感測器 115:內部容積 116:溫度感測器 117:埠 118:管 119:加熱器 120:門 122:密封 124:冷卻通道 130:匣 132:頂部表面 134:底部表面 135:基板 136:壁 138:基板儲存插槽 140:加熱器 145:功率來源 150:氣體面板 155:隔絕閥 157:入口管道 158:加熱器 160:凝結器 161:出口管道 162:加熱器 165:隔絕閥 170:幫浦 180:控制器 182:中央處理單元(CPU) 184:記憶體 186:支援電路 200:單一基板處理腔室 210:主體 212:外表面 213:內表面 214:壓力感測器 215:內部容積 216:溫度感測器 217:埠 218:管 219:加熱器 220:門 222:密封 224:冷卻通道 230:基板支撐件 231:電阻性加熱元件 232:基板支撐主體 234:主幹 235:基板 240:加熱器 245:功率來源 250:氣體面板 255:隔絕閥 257:入口管道 258:加熱器 260:凝結器 261:出口管道 262:加熱器 265:隔絕閥 270:幫浦 280:控制器 282:中央處理單元(CPU) 284:記憶體 286:支援電路 300:電子裝置 302:基板 304:間隔物層 400:方法 402:操作 404:操作 406:操作
為了可以詳細理解本揭示案上述特徵中的方式,可藉由參考實施例而具有本揭示案的更特定描述(簡短總結如上),其中一些圖示於所附圖式中。然而,注意所附圖式僅圖示示範性的實施例,因此不考慮限制其範圍,因為本揭示案可允許其他等效實施例。
圖1根據一個實施例圖示批次處理腔室的示意橫截面正視圖。
圖2根據一個實施例圖示單一基板處理腔室的示意橫截面正視圖。
圖3根據一個實施例圖示電子裝置的區段。
圖4為根據一個實施例用於形成間隔物層的方法操作之流程圖。
為了便於理解,儘可能使用相同元件符號,以標示圖式中共用的相同元件。思量一個實施例的元件及特徵可有利地併入其他實施例,而無須進一步敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
400:方法
402:操作
404:操作
406:操作

Claims (20)

  1. 一種形成一間隔物層的方法,該方法包含: 在一處理腔室的一內部容積中設置一基板,該基板具有在該基板上形成的一薄膜,該薄膜包括矽、碳、氮及氫;將一處理氣體導入該處理腔室,其中該處理氣體包含高壓乾或過熱蒸氣;以及曝露該薄膜至該處理氣體以形成一所得的碳氫氧化矽薄膜。
  2. 如請求項1所述之方法,進一步包含: 使用一含氫氣體來處置該所得的碳氫氧化矽薄膜,以形成一經處理的碳氫氧化矽薄膜,該經處理的碳氫氧化矽薄膜的一介電常數小於該所得的碳氫氧化矽薄膜。
  3. 如請求項1所述之方法,其中該高壓乾或過熱蒸氣處於約2 bar及約50 bar之間的一壓力。
  4. 如請求項3所述之方法,其中該高壓乾或過熱蒸氣處於該處理氣體的約5%至約100%的一濃度。
  5. 如請求項1所述之方法,其中在導入該處理氣體之前沉積在該基板上的包含矽、碳、氮及氫的該薄膜為矽碳胺(SiCNH)薄膜。
  6. 如請求項5所述之方法,其中藉由一原子層沉積(ALD)處理或一電漿增強原子層沉積(PEALD) 處理來形成該矽碳胺(SiCNH)薄膜。
  7. 如請求項1所述之方法,其中該所得的碳氫氧化矽薄膜包含化學計量上較氮更多的氧。
  8. 如請求項1所述之方法,進一步包含: 在介於約攝氏600度至約攝氏800度的一溫度與一亞大氣壓力下,於一含氫氣體中退火該所得的碳氫氧化矽薄膜。
  9. 如請求項1所述之方法,其中該基板包括一鰭式場效電晶體結構。
  10. 一種形成一間隔物層的方法,該方法包含: 在一處理腔室的一內部容積中設置一基板,該基板具有在該基板上形成的矽碳胺(SiCNH)薄膜;在介於約攝氏300度至約攝氏500度的一溫度下,曝露該矽碳胺(SiCNH)薄膜至一處理氣體以形成碳氫氧化矽薄膜,該處理氣體包括高壓乾或過熱蒸氣;使用一惰性氣體沖洗該內部容積;以及使用一氫退火來處置該碳氫氧化矽薄膜,其中該氫退火包含將該碳氫氧化矽薄膜曝露至一含氫氣體,該含氫氣體包含氫氣。
  11. 如請求項10所述之方法,其中該高壓乾或過熱蒸氣處於約2 bar及約50 bar之間的一壓力。
  12. 如請求項10所述之方法,其中該處理氣體進一步包括一載送氣體。
  13. 如請求項12所述之方法,其中該高壓乾或過熱蒸氣處於該處理氣體的約5%至約100%的一濃度。
  14. 如請求項10所述之方法,其中將該矽碳胺(SiCNH)曝露至該處理氣體達介於約2分鐘至約120分鐘之間的一時間。
  15. 如請求項10所述之方法,其中該基板包括一鰭式場效電晶體結構。
  16. 如請求項10所述之方法,其中藉由一原子層沉積(ALD)處理或一電漿增強原子層沉積(PEALD) 處理來形成該矽碳胺(SiCNH)薄膜,且其中該碳氫氧化矽薄膜包含化學計量上較氮更多的氧。
  17. 如請求項16所述之方法,其中在介於約攝氏600度至約攝氏800度的一溫度下執行該氫退火,且其中在一亞大氣壓力下執行該氫退火。
  18. 一種形成用於一鰭式場效電晶體結構的一間隔物層的方法,該方法包含: 在一處理腔室的一內部容積中設置一基板,該基板具有在該基板上形成的矽碳胺(SiCNH)薄膜,配置該矽碳胺(SiCNH)薄膜以形成用於一鰭式場效電晶體結構的一間隔物層,該基板包含矽與鍺;將一處理氣體導入該處理腔室,其中該處理氣體包含高壓乾或過熱蒸氣;在介於約攝氏300度至約攝氏500度的一溫度下,曝露該矽碳胺(SiCNH)薄膜至該處理氣體以形成碳氫氧化矽薄膜,該碳氫氧化矽薄膜包含化學計量上較氮更多的氧;使用一惰性氣體沖洗該內部容積;以及使用一氫退火來處置該經碳氫氧化矽薄膜,其中該氫退火包含將該碳氫氧化矽薄膜曝露至一含氫氣體,該含氫氣體包含氫氣。
  19. 如請求項18所述之方法,其中該高壓乾或過熱蒸氣處於約2 bar及約50 bar之間的一壓力;以及其中該高壓乾或過熱蒸氣處於該處理氣體的約5%至約100%的一濃度。
  20. 如請求項19所述之方法,其中藉由一原子層沉積(ALD)處理或一電漿增強原子層沉積(PEALD) 處理來形成該矽碳胺(SiCNH)薄膜。
TW111125494A 2018-04-04 2019-04-01 製造熱穩定之低介電常數鰭式場效電晶體間隔物之方法 TWI830277B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862652447P 2018-04-04 2018-04-04
US62/652,447 2018-04-04

Publications (2)

Publication Number Publication Date
TW202247471A TW202247471A (zh) 2022-12-01
TWI830277B true TWI830277B (zh) 2024-01-21

Family

ID=68096123

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111125494A TWI830277B (zh) 2018-04-04 2019-04-01 製造熱穩定之低介電常數鰭式場效電晶體間隔物之方法
TW108111501A TWI772634B (zh) 2018-04-04 2019-04-01 製造熱穩定之低介電常數鰭式場效電晶體間隔物之方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108111501A TWI772634B (zh) 2018-04-04 2019-04-01 製造熱穩定之低介電常數鰭式場效電晶體間隔物之方法

Country Status (3)

Country Link
US (1) US10714331B2 (zh)
TW (2) TWI830277B (zh)
WO (1) WO2019194983A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR102387088B1 (ko) * 2019-10-31 2022-04-15 세메스 주식회사 기판 처리 장치
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130181263A1 (en) * 2012-01-16 2013-07-18 Globalfoundries Inc. Methods of Forming a Dielectric Cap Layer on a Metal Gate Structure
US9431485B2 (en) * 2014-12-23 2016-08-30 GlobalFoundries, Inc. Formation of finFET junction
US9935011B2 (en) * 2013-01-14 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs

Family Cites Families (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
ATE418158T1 (de) 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
WO2004070796A2 (en) 2003-02-04 2004-08-19 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
WO2005007283A2 (en) 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
CN101128622B (zh) 2005-02-22 2010-08-25 埃克提斯公司 具有副腔的蚀刻腔
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
CN101198903B (zh) 2005-06-10 2011-09-07 奥贝达克特公司 利用中间印模的图案复制
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
JP5117856B2 (ja) 2005-08-05 2013-01-16 株式会社日立国際電気 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
CN102109626A (zh) 2005-10-07 2011-06-29 株式会社尼康 微小光学元件
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
KR101468606B1 (ko) 2007-05-25 2014-12-04 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들을 조립하고 작동시키는 방법들 및 장치
WO2008156687A1 (en) 2007-06-15 2008-12-24 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
WO2009055750A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN103151266B (zh) 2009-11-20 2016-08-03 株式会社半导体能源研究所 用于制造半导体器件的方法
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
EP2526339A4 (en) 2010-01-21 2015-03-11 Powerdyne Inc PRODUCTION OF STEAM FROM A CARBON SUBSTANCE
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
CN103502853A (zh) 2011-03-25 2014-01-08 李谞荣 光波电路及其制造方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
EP2727137B1 (en) 2011-06-28 2022-04-20 Brooks Automation (Germany) GmbH Semiconductor stocker systems and methods.
WO2013065771A1 (ja) 2011-11-01 2013-05-10 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
WO2014011954A1 (en) 2012-07-13 2014-01-16 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
JP5499225B1 (ja) 2012-08-24 2014-05-21 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
WO2014130304A1 (en) 2013-02-19 2014-08-28 Applied Materials, Inc. Hdd patterning using flowable cvd film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9414445B2 (en) 2013-04-26 2016-08-09 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
KR101801113B1 (ko) 2013-05-31 2017-11-24 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 제조 장치의 제조 방법 및 노구 개체
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
WO2015023404A1 (en) 2013-08-16 2015-02-19 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (wf6) etchback
CN109390214B (zh) 2013-08-21 2023-03-07 应用材料公司 半导体薄膜制造中的变频微波(vfm)工艺及应用
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
WO2015195081A1 (en) 2014-06-16 2015-12-23 Intel Corporation Seam healing of metal interconnects
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US20170160012A1 (en) 2014-09-08 2017-06-08 Mitsubishi Electric Corporation Semiconductor annealing apparatus
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
WO2016126911A2 (en) * 2015-02-06 2016-08-11 Air Products And Chemicals, Inc. Compositions and methods using same for carbon doped silicon containing films
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
TWI826223B (zh) 2015-05-11 2023-12-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102577628B1 (ko) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
CN109791913A (zh) 2016-09-30 2019-05-21 应用材料公司 形成自对准通孔的方法
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10460933B2 (en) 2017-03-31 2019-10-29 Applied Materials, Inc. Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
CN116504679A (zh) 2017-05-01 2023-07-28 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
JP6918146B2 (ja) 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR20190137967A (ko) 2017-06-02 2019-12-11 어플라이드 머티어리얼스, 인코포레이티드 기판 상에 증착된 막들의 품질 개선
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
KR102539390B1 (ko) 2018-02-22 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 더 양호한 막 품질을 가능하게 하기 위해 마스크 기판을 처리하기 위한 방법
US11114333B2 (en) * 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130181263A1 (en) * 2012-01-16 2013-07-18 Globalfoundries Inc. Methods of Forming a Dielectric Cap Layer on a Metal Gate Structure
US9935011B2 (en) * 2013-01-14 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US9431485B2 (en) * 2014-12-23 2016-08-30 GlobalFoundries, Inc. Formation of finFET junction

Also Published As

Publication number Publication date
US10714331B2 (en) 2020-07-14
TWI772634B (zh) 2022-08-01
TW201943075A (zh) 2019-11-01
US20190311896A1 (en) 2019-10-10
TW202247471A (zh) 2022-12-01
WO2019194983A1 (en) 2019-10-10

Similar Documents

Publication Publication Date Title
TWI830277B (zh) 製造熱穩定之低介電常數鰭式場效電晶體間隔物之方法
US10096516B1 (en) Method of forming a barrier layer for through via applications
TW202117931A (zh) 間隙填充沉積製程
JP5219815B2 (ja) 引張応力を有するシリコン酸窒化膜を形成する方法
US20180350563A1 (en) Quality improvement of films deposited on a substrate
TWI815898B (zh) 蝕刻方法及蝕刻裝置
JP5959307B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US7524769B2 (en) Method and system for removing an oxide from a substrate
JP2009515366A (ja) バッチ式フォトレジスト乾式剥離・アッシングシステム及び方法
JPWO2014021220A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
WO2020016914A1 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
US11205589B2 (en) Methods and apparatuses for forming interconnection structures
KR20190141256A (ko) 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착
US20070039924A1 (en) Low-temperature oxide removal using fluorine
JP6164775B2 (ja) 半導体デバイスの製造方法、基板処理装置およびプログラム
US10818490B2 (en) Controlled growth of thin silicon oxide film at low temperature
JP5438266B2 (ja) 半導体装置の製造方法、クリーニング方法および基板処理装置
JP5571157B2 (ja) 半導体装置の製造方法、クリーニング方法および基板処理装置
KR20210130247A (ko) 저온의 열 산화물 품질에서 두꺼운 산화물 막들을 성장시키는 방법
JP4661812B2 (ja) 成膜方法及び記憶媒体
KR20220086610A (ko) 드라이 에칭 방법, 반도체 디바이스의 제조 방법 및 에칭 장치
WO2007111126A1 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、および記録媒体
TW202226334A (zh) 用於鍺之擴散屏障
JP2006108595A (ja) 半導体装置の製造方法
TW201903195A (zh) 使用沉積-處理-蝕刻製程之矽的選擇性沉積