TWI826073B - 使用於半導體處理中之靜電夾頭 - Google Patents

使用於半導體處理中之靜電夾頭 Download PDF

Info

Publication number
TWI826073B
TWI826073B TW111140610A TW111140610A TWI826073B TW I826073 B TWI826073 B TW I826073B TW 111140610 A TW111140610 A TW 111140610A TW 111140610 A TW111140610 A TW 111140610A TW I826073 B TWI826073 B TW I826073B
Authority
TW
Taiwan
Prior art keywords
electrode
shaped
electrostatic clamping
plate
electrodes
Prior art date
Application number
TW111140610A
Other languages
English (en)
Other versions
TW202312376A (zh
Inventor
特洛伊 亞倫 戈姆
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202312376A publication Critical patent/TW202312376A/zh
Application granted granted Critical
Publication of TWI826073B publication Critical patent/TWI826073B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Gripping On Spindles (AREA)
  • Jigs For Machine Tools (AREA)
  • Inorganic Insulating Materials (AREA)

Abstract

一種半導體基板處理設備,包含:一真空室,具有一處理區域,一半導體板可在該處理區域中受到處理;一處理氣體源,係與該真空室流體交流以將一處理氣體供給至該真空室中;一噴淋頭模組,來自該處理氣體源的處理氣體係經由該噴淋頭模組而被供給至該真空室之該處理區域;及一基板平臺模組。該基板平臺模組包含:一板,係由陶瓷材料所製成且具有用以在處理期間支撐該半導體基板於其上的一上表面;一主幹,係由陶瓷材料所製成;及複數共平面電極,係嵌於該板中並包含一外RF電極與複數內靜電夾持電極,該外RF電極包含一環形電極與自該環形電極延伸至該板之一中央部的一徑向延伸導線,其中該板的該陶瓷材料與該複數電極包含在一單一燒結步驟中所製成的一單一體。

Description

使用於半導體處理中之靜電夾頭
本發明係關於處理半導體基板用之半導體基板處理設備,更具體而言,本發明係關於可操作用以沉積薄膜之電漿增強化學汽相沉積處理設備。
半導體基板處理設備係用以藉由各種技術包含蝕刻、物理汽相沉積(PVD)、化學汽相沉積(CVD)、電漿增強化學汽相沉積(PECVD)、原子層沉積(ALD)、電漿增強原子層沉積(PEALD)、脈動沉積層(PDL)、電漿增強脈動沉積層(PEPDL)及光阻移除,處理半導體基板。半導體基板處理設備中的一種類型為包含具有上下電極之反應室的電漿處理設備,其中射頻(RF)功率係施加於電極之間以將處理氣體激發為用以在反應室中處理半導體基板之電漿。
文中揭露一種處理半導體基板用之半導體基板處理設備,包含:一真空室,具有一處理區域,一半導體基板可在該處理區域中受到處理;一處理氣體源,係與該真空室流體交流以將一處理氣體供給至該真空室中;一噴淋頭模組,來自該處理氣體源的處理氣體係經由該噴淋頭模組而被供給至該真空室之該處理區域;及一基板平臺模組,包含一板,該板係由陶瓷材料所製成且具有用以在處理期間支撐該半導體基板於其上的一上表面;一主幹,係由陶瓷材料所製成且具有支撐該板之一上主幹凸緣;及複數共平面電極,係嵌於該板中並包含一外RF電極與複數內靜電夾持電極,該外RF電極包含一環形電極與自該環形電極延伸至該板之一中央部的至少一徑向延伸導線,其中該板的該陶瓷材料與該複數電極包含在一單一燒結步驟中所製成的一單一體。
根據一實施例,該板包含該環形電極內之第一與第二D形靜電夾持電極,該徑向延伸導線沿對角線延伸橫跨該板並在兩個呈180 o角分離的位置處連接至該環形電極且該第一與第二D形電極係位於該徑向延伸導線的相對側。該板可包含位於該板之一中央處的一第一終端、徑向偏離該第一終端的一第二終端、及徑向偏離該第一終端的一第三終端,該第一終端係電連接至該環形電極的該徑向延伸導線,該第二終端係電連接至該第一D形電極而該第三終端係電連接至該第二D形電極。該第一、第二與第三終端可軸向延伸通過該板中的複數開口且該第二與第三終端可沿著通過該第一終端之該位置之一對角線對準。
在另一配置中,該板可包含位於該環形電極內的第一、第二、第三與第四靜電夾持電極,該至少一徑向延伸饋送條包含沿對角線延伸橫跨該板的兩饋送條,該兩饋送條分別在兩個呈180 o角分離的位置處連接至該環形電極且該兩饋送條在該板的中央處相交,該第一、第二、第三與第四靜電夾持電極位於該對角向延伸饋送條之間。
該板可由任何適合的陶瓷材料所製成且該複數電極可由任何適合的導電材料所製成。例如,該板可由氮化鋁所製成而該複數電極可由鎢所製成。該板可包含用以容納舉升銷的三個貫孔且該板可具有至少300 mm的一直徑。
在複數靜電夾持電極為D形電極的實施例中,該環形電極可藉由繞著該第一D形電極延伸之一第一陶瓷材料連續壁及繞著該第二D形電極延伸之一第二陶瓷材料連續壁與該等D形電極分隔。該第一與第二陶瓷材料連續壁可具有相同的一寬度,該第一與第二陶瓷材料連續壁的該寬度係小於該徑向延伸導線的一寬度。
文中亦揭露一種靜電夾頭,用於在一真空室中處理半導體基板,該真空室包含可於其中處理半導體基板之處理區域。該靜電夾頭包含一板及嵌於該板中的複數共平面電極,該板係由陶瓷材料所製成且具有用以在處理期間支撐該半導體基板於其上的一上表面。該複數共平面電極包含一外RF電極與複數內靜電夾持電極,該外RF電極包含一環形電極與自該環形電極延伸至該板之一中央部的至少一徑向延伸導線,其中該板的該陶瓷材料與該複數電極包含在一單一燒結步驟中所製成的一單一體。
在下面的詳細敘述中將列舉許多特定的實施例以提供對文中所揭露之設備及方法的全面瞭解。然而,熟知此項技藝者當瞭解,本發明之實施例可在缺乏此些特定細節的情況實施或可利用替代的元件或處理實施。在其他情況中,不詳細說明已知的處理、程序、及/或元件以免不必要地模糊文中所揭露之實施例的態樣。文中所用之「約」一詞係指±10%。
如所示,本發明之實施例提供在半導體基板處理設備如化學汽相沉積設備或電漿增強化學汽相沉積設備中處理半導體基板用之設備及相關方法。設備及方法尤其適合與半導體基板之高溫處理如高溫沉積處理一起使用,在高此類溫度處理中受到處理之半導體基板係加熱至高於約500 oC如約550 oC至約650 oC或更高的溫度。
文中所揭露的實施例係較佳地於電漿增強化學汽相沉積設備(即PECVD設備、PEALD設備、或PEPDL設備)中施行但不限於此。
圖1提供用以施行文中所揭露之實施例之各種半導體基板電漿處理設備的元件的簡單方塊圖。如所示,半導體基板電漿處理設備100包含用以將電漿限制於處理區域中的真空室102,電漿係由包含噴淋頭模組104及基板平臺模組106的電容式系統所產生,噴淋頭模組104中具有上RF電極(未顯示)並與其中具有下RF電極(未顯示)的的基板平臺模組106一起作用。至少一RF產生器可操作將RF能量供給至真空室102中之半導體基板108的上表面上方的處理區域中以能量化被供給至真空室102之處理區域中的處理氣體並將其轉變為電漿,俾以在真空室102中進行電漿沉積處理。例如,高頻RF產生器110與低頻RF產生器112皆可連接至匹配網路114,匹配網路114可連接至噴淋頭模組104的上RF電極俾使RF能量被供給至真空室102中之半導體基板108上方的處理區域。
匹配網路114供給至真空室102之內部之RF能量的功率與頻率係足以自處理氣體產生電漿。在一實施例中使用高頻RF產生器110與低頻RF產生器112兩者,在一替代性實施例中僅使用高頻RF產生器110。在處理中,高頻RF產生器110可在約2-100 MHz的頻率下操作;在一較佳的實施例中高頻RF產生器110係於13.56 MHz或27 MHz的頻率下操作。低頻RF產生器112可在約50kHz-2 MHz的頻率下操作;在一較佳的實施例中低頻RF產生器112係於350 kHz至600 kHz的頻率下操作。可基於腔室體積、基板尺寸及其他因素縮放處理參數。類似地,處理氣體的流率可取決於真空室或處理區域的自由體積。
基板平臺模組106的上表面在處理期間於真空室102內支撐半導 基板108。基板平臺模組106可包含用以支撐半導體基板的夾頭及/或在沉積及/或電漿處理之前、期間及/或之後用以舉升與下降半導體基板的舉升銷。夾頭可為工業及/或研究領域中可用的靜電夾頭、機械夾頭、或各種其他類型的夾頭。包含靜電夾頭之基板平臺模組用的舉升銷組件的細節可在共讓之美國專利US 8,840,754中找到,將其所有內容包含於此作為參考。基板平臺模組用之載環的細節可在共讓之美國專利US 6,860,965中找到,將其所有內容包含於此作為參考。背側氣體供給件116可操作在處理期間將熱傳氣體或吹淨氣體經由基板平臺模組106而供給至半導體基板之下表面下方的區域。基板平臺模組106中包含下RF電極,其中下RF電極在處理期間係較佳地接地,然而在一替代性實施例中,下RF電極可在處理期間受到RF能量供給。
為了處理半導體基板電漿處理設備100之真空室102中的半導體基板,藉由入口120與噴淋頭模組104自處理氣體源118將處理氣體導入真空室102中,其中利用RF能量使處理氣體形成電漿俾以將薄膜沉積至半導體基板的上表面上。在一實施例中,複數源氣體線122可連接至經加熱的歧管124。多種氣體可預先混合或分開供給至腔室。使用適合的閥件與質量流量控制機構以確保在半導體基板處理期間經由噴淋頭模組104輸送正確的氣體。在處理期間,將背側熱傳氣體或吹淨氣體供給至受到基板平臺模組106支撐於其上之半導體基板的下表面的下方區域。較佳地,處理為下列的至少一者:化學汽相沉積處理、電漿增強化學汽相沉積處理、原子層沉積處理、電漿增強原子層沉積處理、脈動沉積層處理、及電漿強增脈動沉積層處理。
在某些實施例中,使用系統控制器126控制沉積期間的處理條件、沉積後之處理及/或其他處理操作。控制器126通常包含一或多個記憶體裝置及一或多個處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
在某些實施例中,控制器126控制設備的所有活動。系統控制器126執行系統控制軟體,系統控制軟體包含控制處理操作之時序、低頻RF產生器112與高頻RF產生器110之頻率與功率操作、前驅物與惰性氣體之流率與溫度及其相關的混合、被支撐於基板平臺模組106之上表面上之半導體基板108以及噴淋頭模組104之電漿暴露表面的溫度、真空室102的壓力、及特定處理之其他參數的一系列指令。在某些實施例中可使用被儲存於記憶體裝置上之與控制器相關的其他電腦程式。
高溫夾頭通常包含陶瓷板與和板之下側相接的較小直徑陶瓷主幹。見例如共讓渡之美國專利申請案US 2016/0340781、US 2016/0336213、及2016/0333475,將其所有內容包含於此作為參考。
圖2顯示具有嵌於陶瓷體(未顯示)中之三個共平面電極202、204、206的板200。電極202為圍繞D形靜電夾持電極204與206的外環形電極。為了將功率供給至外環形電極202,功率分配電路208(見圖3)係嵌於電極202、204、206下方之陶瓷體中,且垂直延伸導電通孔210將外環形電極202連接至功率分配電路208。功率分配電路208包含外環形電極202下方的外環212及沿對角線橫跨外環212的臂214。功率分配電路208使功率能自位於板下側之中央附近的功率饋送終端(未顯示)饋送。靜電夾持電極204、206係連接至位於板之下側中央附近且位於功率分配電路208之臂214之間之空間中的功率饋送終端(未顯示)。
圖4顯示板200的下側,其中可見電極202、204、206係沿著位於附接至陶瓷體224之中空陶瓷主幹222內的終端216、218、220配置。終端216係附接至靜電夾持電極204、終端220係附接至靜電夾持電極206、而終端218係附接至功率分配電路208之臂214的相交處。是以,為了製造板200,必須進行複數燒結步驟以將導電功率分配電路嵌於電極202、204、206下方的陶瓷體224中,得到臂214與環212可具有電感功能並在晶圓處理期間產生非所欲的感應效應的結果。陶瓷體224包含三個貫孔226,其尺寸係經決定以供用以將晶圓舉升及下降至板200之支撐表面上之舉升銷(未顯示)通過。
圖5顯示包含板300之靜電夾頭,板300具有圍繞靜電夾持電極304、306之外環形電極302。外環形電極302之設計方式俾以消除功率分配電路的需要。如所示,外環形電極302包含以對角線方式延伸橫跨環形電極302的徑向延伸導線(功率饋送條)302a。導線302a使板300之下側之中央處的終端(未顯示)能電連接至外環形電極302。靜電夾頭係較佳地為具有一或多對之具有相對極性之夾持電極的雙極性夾頭。例如,靜電夾頭可包含四個夾持電極,四個夾持電極係藉由以對角線方式延伸橫跨外環形電極302的饋送條所分離。在此情況中,饋送條為垂直的而夾持電極係位於外環形電極302與對角延伸之饋送條所形成的四個象限空間內。
圖6顯示板300的下側,其中中空陶瓷主幹322係附接至陶瓷體324。終端316係附接至靜電夾持電極304、終端320係附接至靜電夾持電極306、終端318係附接至環形外電極302的導線302a。陶瓷體324包含三個貫孔326,,其尺寸係經決定以供用以將晶圓舉升及下降至板300之支撐表面上之舉升銷(未顯示)通過。
板300可用以作為各別半導體晶圓之依序處理用之基板支撐模組的高溫靜電夾頭,其中板300為在單一燒結步驟中所製成的單一體以提供共平面之靜電夾持、RF電極、及共平面電極下方之一或多個加熱器。如上所述,在先前的板設計中,在RF與靜電夾持電極下方之嵌入式功率分配電路包含功率分配電極臂,功率分配電極臂會在晶圓處理期間產生非所欲的電感效應。藉著消除功率分配電極臂,可消除平面外的電感並藉由單一燒結步驟簡化製造處理。此外,藉著提供以對角線方式延伸橫跨外環形電極302的饋送條302a,可最小化對受到處理之晶圓上方之RF場的不利干擾效應。
板300與主幹322係較佳地由陶瓷材料所構成且板300的底表面可藉由硬焊、摩擦焊接、擴散接合、或其他適合的技術而與主幹322之上端處的凸緣接合。主幹322的內部可包含供電導線、一或多個熱耦導線、及一或多個供給惰性氣體如氬氣(Ar)或熱傳氣體如氦氣(He)的氣體供給管,惰性氣體或熱傳氣體係藉由適合的流體通道而輸送至位於板300之支撐表面上的半導體基板的下側。
供電導線可為將射頻(RF)、直流(DC)及/或交流(AC)功率供給至嵌於板300中之電極的一或多個饋送棒。板300較佳地為經燒結之陶瓷材料的單一體,陶瓷材料例如是氧化鋁、氧化釔、氮化鋁、氮化硼、氧化矽、碳化矽、氮化矽、氧化鈦、氧化鋯、或其他適合的材料或材料的組合。每一電極較佳地具有一平面配置且較佳地係由導電金屬材料(如鎢、鉬、鉭、鈮、鈷)或導電非金屬材料(如氧化鋁-碳化鉭、氧化鋁-碳化矽、氮化鋁-鎢、氮化鋁-鉭、氧化釔-鉬)所製成。電極可自與板之陶瓷材料共燒的粉末材料所形成。例如,電極可自與形成板主體之陶瓷材料之膜層共燒的導電膏所形成。例如,導電膏可包含鎳(Ni)、鎢(W)、鉬(Mo)、鈦(Ti)、錳(Mn)、銅(Cu)、銀(Ag)、鈀(Pd)、鉑(Pt)、銠(Rh)的導電粉末。或者,電極可自具有期望的電極圖案之沉積材料或受到蝕刻而形成期望電極圖案之沉積薄膜所形成。又,電極可包含經加工的格柵、板、線網、或其他適合的電極材料及/或配置。在一實施例中,電極包含受到DC電源供電以提供DC夾持電壓(如約200至約2000伏特)之至少一靜電夾持電極、受到RF電源供電以提供RF偏壓(如在約50至約3000瓦之功率位準下具有約400 KHz至約60 MHz的一或多個頻率)之至少一RF電極、及/或以適合的電路藉由DC與RF電源供電之至少一電極。
板可藉由在陶瓷材料中配置共平面電極及進行一單一燒結步驟以將電極嵌入經燒結的陶瓷材料中而製成。製造陶瓷夾頭的技術實例可在共讓渡之美國專利US 5,880,922、US 6,483,690、及US 8,637,194中找到,將其內容包含於此作為參考。例如,可將整合有徑向延伸導線與ESC電極的外環形電極網印於氮化鋁的生坯片材上、可將氮化鋁或其他適合之介電材料的生坯片材置於複數已網印的電極上方、可熱壓並燒結所得的層壓物而形成板。延伸進入經燒結之陶瓷材料之下側中之孔洞中的終端可接合至每一電極且主幹可接合至板的下側。
圖7例示板300以及中空陶瓷支撐主幹322,板300包含導電電極304、306(如導電格柵)與電連接至嵌於其中之外環形電極302(未顯示)的饋送條電極302a。板300及主幹322係較佳地由陶瓷材料如氮化鋁所形成,且板300的底表面係藉由例如硬焊、摩擦焊接、擴散接合、或其他適合的技術而接合至主幹322的上端。位於中央的導電管330係位於主幹322的內部且管330的上端係電連接至內嵌的饋送條電極302a。管330的出口係與板300之上表面中的氣體通道342(見圖9)流體交流。管330可受到惰性氣體如氬氣(Ar)或氮氣(N 2)或熱傳氣體如氦氣(He)的供給,上述氣體係藉由氣體通道342而輸送至被支撐於板300上之半導體基板(未顯示)的下側。管330的外表面可藉由密封件而密封至板300。主幹322的內部亦容納其他元件如將功率輸送至其他電極(如電阻式加熱器340a、340b)的電饋送棒338及將功率輸送至板300中之靜電夾持電極304、306的額外饋送棒336。饋送棒336可為中空的以經由出口將氣體輸送至在板300上受到支撐之晶圓的下側。
在半導體基板的處理(如被支撐於板300上之矽晶圓上的薄膜沉積)期間,板300可在自約20 oC至500 oC及更高之溫度範圍間循環。為了處理300 mm晶圓,板300可具有上至約1英吋的厚度及約15英吋的直徑,主幹322可具有約3英吋的直徑,且主幹322之底部與板300之上表面之間的距離可約為5英吋。管330、336可具有約為4 mm的直徑及約7至8英吋的長度。主幹322的內部容納元件如導電饋件如塗覆有鈀/銠(Pd/Rh)的不銹鋼或鎳(Ni)棒。
饋送棒338可為配置於主幹322之內表面之內之圓周分離位置處的實心金屬棒如鎳(Ni)棒,且兩個外導電饋送棒336(其可選擇性地為中空棒以將氣體輸送至板300的上表面)係電連接至靜電夾持電極304、306。實心饋送棒338可將功率供給至嵌於板300中位於靜電夾持電極304、306下方的電阻式加熱器340a、340b。中央管330與饋送棒302a之間、饋送棒336與電極304、306之間、及饋送棒338與加熱器340a、340b之間的電連接件可包含如共讓渡之美國專利US 9,088,085中所揭露的實心終端/柱/插槽,將其內容包含於此作為參考。在製造板300期間,管330與饋送棒336、338可藉由適合的燒結及/或硬焊技術而接合至板300及電極302、304、306。
圖8顯示基板平臺模組106之下透視圖。如所示,中央管330、饋送棒338及外管336自主幹332的下端向外延伸。
圖9為基板平臺模組106之橫剖面圖。如所示,中央管330係電連接至饋送條電極302a,兩個饋送棒338係電連接至嵌於板300中位於電極302、304、306之下方位置處一或多個電阻式加熱器340a、340b。例如,一對饋送棒338可連接至內加熱器,另一對饋送棒338可連接至外加熱器。若期望,可以任何期望的地理配置將單一的加熱器或兩個以上的加熱器嵌於板300中。中央管330將氣體供給至板300之上表面中的出口342。
雖然已參考特定實施例詳細說明半導體基板處理設備之基板平臺模組,但熟知此項技藝者當明白,在不脫離隨附之申請專利範圍的範疇的情況下可進行各種變化及修改並使用等效物。
100:半導體基板電漿處理設備 102:真空室 104:噴淋頭模組 106:基板平臺模組 108:半導體基板 110:高頻RF產生器 112:低頻RF產生器 114:匹配網路 116:背側氣體供給件 118:處理氣體源 120:入口 122:源氣體線 124:歧管 126:系統控制器 200:板 202:共平面電極 204:共平面電極 206:共平面電極 208:功率分配電路 210:垂直延伸導電通孔 212:外環 214:臂 216:終端 218:終端 220:終端 222:中空陶瓷主幹 224:陶瓷體 226:貫孔 300:板 302:外環形電極 302a:徑向延伸導線 304:靜電夾持電極 306:靜電夾持電極 316:終端 318:終端 320:終端 322:中空陶瓷主幹 324:陶瓷體 326:貫孔 330:導電管 336:饋送棒 338:饋送棒 340a:電阻式加熱器 340b:電阻式加熱器 342:氣體通道/出口
圖1之概圖例示根據文中所揭露之實施例之化學沉積設備的概觀。
圖2顯示陶瓷高溫夾頭之上視圖,其中功率分配電路係位於三個共平面電極之下方。
圖3為圖2中所示之共平面電極的分解圖,功率分配電路係位於電極下方。
圖4為圖3中所示之夾頭的下視圖。
圖5為包含板之陶瓷高溫靜電夾頭的上透視圖,其中外環形電極包含徑向延伸導線,徑向延伸導線可電連接至板之下側上之位於中央的終端。
圖6為圖5中所示之板的下透視圖。
圖7之挖空圖顯示圖5中所示之板的電連接。
圖8為圖5中所示之板之下側的透視圖。
圖9為圖5中所示之板的橫剖面。
200:板
202:共平面電極
204:共平面電極
206:共平面電極
208:功率分配電路
210:垂直延伸導電通孔
212:外環
214:臂

Claims (23)

  1. 一種靜電夾頭,包含: 一板,係由陶瓷材料所製成且具有用以在處理期間支撐基板於其上的一上表面; 二靜電夾持電極,彼此相對而嵌於該板中,該二靜電夾持電極包含第一D形靜電夾持電極及第二D形靜電夾持電極;以及 一外環形RF電極,係嵌於該板中且圍繞該二靜電夾持電極,其中該外環形RF電極及該二靜電夾持電極是共平面的,其中該外環形RF電極包含饋送條,該饋送條在該第一D形靜電夾持電極與該第二D形靜電夾持電極之間以對角線方式延伸橫跨該板,該饋送條連接至該外環形RF電極。
  2. 如請求項1之靜電夾頭,更包含: 一第一終端,位於該板的一中央處,且電連接至該外環形RF電極的該饋送條; 一第二終端,徑向偏離該第一終端,且電連接至該第一D形靜電夾持電極;及 一第三終端,徑向偏離該第一終端,且電連接至該第二D形靜電夾持電極。
  3. 如請求項2之靜電夾頭,更包含: 一主幹,係由陶瓷材料所製成且附接至該板,其中該主幹的一內部容納複數電饋送棒,該第一終端、該第二終端及該第三終端分別透過該複數電饋送棒而從該主幹延伸至該饋送條的一下側、該第一D形靜電夾持電極的一下側及該第二D形靜電夾持電極的一下側。
  4. 如請求項1之靜電夾頭,更包含: 複數電阻式加熱器,係嵌於該板中位於該二靜電夾持電極下方的位置處,該複數電阻式加熱器係電連接至複數第四終端,且該複數第四終端是與該第一終端、該第二終端及該第三終端分隔開。
  5. 如請求項1之靜電夾頭,其中該板包含用以接收舉升銷的三或更多孔洞。
  6. 如請求項1之靜電夾頭,其中該第一D形靜電夾持電極及該第二D形靜電夾持電極具有相對極性。
  7. 如請求項1之靜電夾頭,其中該二靜電夾持電極是被供給約200 V至約2000 V之間的DC夾持電壓以靜電夾持該基板,且其中該外環形RF電極係由一RF電源所供電,該RF電源係用以提供在介於約50 W與約3000 W之間的功率下具有頻率介於約400 kHz與約60 MHz之間的RF偏壓。
  8. 一種靜電夾頭,包含: 一陶瓷板,具有用以在處理期間支撐基板於其上的一上表面; 一陶瓷主幹,附接至該陶瓷板; 至少三共平面電極,係嵌於該陶瓷板中,其中該至少三共平面電極包含至少二內靜電夾持電極,以及將該至少二內靜電夾持電極圍繞的至少一外環形RF電極,該至少一外環形RF電極具有一饋送條,該饋送條在該至少二內靜電夾持電極之間以對角線方式延伸橫跨該陶瓷板,該饋送條連接至該外環形RF電極;以及 至少三終端,位於該陶瓷主幹的內側,其中該至少三終端包含與該至少二內靜電夾持電極的下側連接的至少二第一終端,以及在該饋送條處與該外環形RF電極的下側連接的至少一第二終端。
  9. 如請求項8之靜電夾頭,更包含: 至少三電饋送棒,位於該陶瓷主幹中,其中該至少三電饋送棒係用以經由該至少三終端而將功率供給至該至少三共平面電極。
  10. 如請求項8之靜電夾頭,更包含: 至少二電阻式加熱器,係嵌於該陶瓷板中位於該至少三共平面電極下方的位置處。
  11. 如請求項8之靜電夾頭,其中該至少二內靜電夾持電極是被供給約200 V至約2000 V之間的DC夾持電壓以靜電夾持該基板,且其中該外環形RF電極係由一RF電源所供電,該RF電源係用以提供在介於約50 W與約3000 W之間的功率下具有頻率介於約400 kHz與約60 MHz之間的RF偏壓。
  12. 如請求項8之靜電夾頭,其中該至少二內靜電夾持電極包含一或更多對具有相對極性的靜電夾持電極。
  13. 如請求項8之靜電夾頭,其中該陶瓷板包含用以接收舉升銷的三或更多孔洞。
  14. 如請求項8之靜電夾頭,其中該至少二內靜電夾持電極包含彼此相對的第一D形靜電夾持電極及第二D形靜電夾持電極,其中該第一D形靜電夾持電極及該第二D形靜電夾持電極係位於該至少一外環形RF電極之內部。
  15. 如請求項14之靜電夾頭,其中該饋送條在該第一D形靜電夾持電極與該第二D形靜電夾持電極之間以對角線方式延伸橫跨該板,以在兩個呈180 o角分離的位置處連接至該外環形RF電極。
  16. 一種靜電夾頭,包含: 一板,係由陶瓷材料所製成且具有用以在處理期間支撐基板於其上的一上表面; 複數共平面電極,係嵌於該板中,該等共平面電極包含一外RF電極及複數內靜電夾持電極,該外RF電極包含一環形電極且具有至少一徑向延伸饋送條,該至少一徑向延伸饋送條係從該環形電極延伸至該板的一中央部分,以及 複數中空饋送棒,係用以將功率供給至該等共平面電極。
  17. 如請求項16之靜電夾頭,其中該板包含該等內靜電夾持電極,該等內靜電夾持電極包含該環形電極內之第一D形靜電夾持電極與第二D形靜電夾持電極,該至少一徑向延伸饋送條包含沿對角線橫跨該板而延伸的單一饋送條,並在兩個呈180 o角分離的位置處連接至該環形電極且該第一D形電極與該第二D形電極係位於該單一饋送條的相對側。
  18. 如請求項16之靜電夾頭,其中該板包含位於該板之中央處的一第一終端、徑向偏離該第一終端的一第二終端,及徑向偏離該第一終端的一第三終端,該第一終端係電連接至該單一饋送條,該第二終端係電連接至該第一D形電極,而該第三終端係電連接至該第二D形電極。
  19. 如請求項16之靜電夾頭,更包含: 一或更多電阻式加熱器,係嵌於該板中位於該等共平面電極下方的位置處,該一或更多電阻式加熱器係連接至軸向延伸通過該板中之一或更多開口的一或更多終端。
  20. 一種靜電夾頭,包含: 一板,係由陶瓷材料所製成且具有用以支撐基板的一上表面; 一第一D形靜電夾持電極,係嵌於該板中; 一第二D形靜電夾持電極,係嵌於該板中;以及 一外環形RF電極,係嵌於該板中,該外環形RF電極圍繞該第一D形靜電夾持電極與該第二D形靜電夾持電極且包含饋送條,該饋送條在該第一D形靜電夾持電極與該第二D形靜電夾持電極之間徑向延伸橫跨該板,其中該外環形RF電極與該第一D形靜電夾持電極及該第二D形靜電夾持電極是共平面的,其中該第一D形靜電夾持電極及該第二D形靜電夾持電極具有相對極性。
  21. 如請求項20之靜電夾頭,其中該第一D形靜電夾持電極及該第二D形靜電夾持電極是被供給約200 V至約2000 V之間的DC夾持電壓以靜電夾持該基板,且其中該外環形RF電極係由一RF電源所供電,該RF電源係用以提供在介於約50 W與約3000 W之間的功率下具有頻率介於約400 kHz與約60 MHz之間的RF偏壓。
  22. 如請求項20之靜電夾頭,更包含: 一第一終端,位於該板的一中央處,且電連接至該饋送條; 一第二終端,徑向偏離該第一終端,且電連接至該第一D形靜電夾持電極;及 一第三終端,徑向偏離該第一終端,且電連接至該第二D形靜電夾持電極。
  23. 如請求項20之靜電夾頭,更包含: 複數電阻式加熱器,係嵌於該板中位於該第一D形靜電夾持電極及該第二D形靜電夾持電極下方的位置處。
TW111140610A 2017-06-02 2018-06-01 使用於半導體處理中之靜電夾頭 TWI826073B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/612,423 US11289355B2 (en) 2017-06-02 2017-06-02 Electrostatic chuck for use in semiconductor processing
US15/612,423 2017-06-02

Publications (2)

Publication Number Publication Date
TW202312376A TW202312376A (zh) 2023-03-16
TWI826073B true TWI826073B (zh) 2023-12-11

Family

ID=64456121

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111140610A TWI826073B (zh) 2017-06-02 2018-06-01 使用於半導體處理中之靜電夾頭
TW107118835A TWI785055B (zh) 2017-06-02 2018-06-01 使用於半導體處理中之靜電夾頭

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107118835A TWI785055B (zh) 2017-06-02 2018-06-01 使用於半導體處理中之靜電夾頭

Country Status (7)

Country Link
US (3) US11289355B2 (zh)
EP (2) EP3631846B1 (zh)
JP (2) JP7271443B2 (zh)
KR (6) KR20210129733A (zh)
CN (2) CN117832147A (zh)
TW (2) TWI826073B (zh)
WO (1) WO2018222635A1 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11664206B2 (en) * 2017-11-08 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Arcing protection method and processing tool
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7145042B2 (ja) * 2018-11-08 2022-09-30 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR102628919B1 (ko) * 2019-05-29 2024-01-24 주식회사 원익아이피에스 기판처리장치 및 이를 이용한 기판처리방법
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US20230369091A1 (en) * 2020-10-01 2023-11-16 Lam Research Corporation High temperature pedestal with extended electrostatic chuck electrode
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
WO2023146864A1 (en) * 2022-01-31 2023-08-03 Lam Research Corporation Method and apparatus for radio frequency grid design in an esc to reduce film asymmetry
KR20230123876A (ko) 2022-02-17 2023-08-24 주식회사 테스 기판 지지 장치
US20230282506A1 (en) * 2022-03-02 2023-09-07 Applied Materials, Inc. Biasable rotating pedestal
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090059462A1 (en) * 2002-06-18 2009-03-05 Anelva Corporation Electrostatic chuck device
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US20160035610A1 (en) * 2014-07-30 2016-02-04 Myoung Soo Park Electrostatic chuck assemblies having recessed support surfaces, semiconductor fabricating apparatuses having the same, and plasma treatment methods using the same

Family Cites Families (218)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384918A (en) 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
US4692836A (en) * 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
JPS63278322A (ja) 1987-05-11 1988-11-16 Fujitsu Ltd 気相成長装置
JPH06260430A (ja) 1993-03-08 1994-09-16 Eiko:Kk プレートヒータ及びその製法
JPH06326175A (ja) 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
JP3165938B2 (ja) 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
JPH07201496A (ja) 1993-12-29 1995-08-04 Matsushita Electric Ind Co Ltd プラズマ発生方法及びプラズマ発生装置
US5463526A (en) 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
US5507874A (en) 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
JP3249696B2 (ja) 1994-11-28 2002-01-21 日新電機株式会社 静電チャックおよびその使用方法
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
DE19530386A1 (de) 1995-08-18 1997-02-20 Philips Patentverwaltung Positionssensor
JP3949186B2 (ja) 1995-12-25 2007-07-25 富士通株式会社 基板載置台、プラズマ処理装置及び半導体装置の製造方法
JP3847363B2 (ja) 1996-02-02 2006-11-22 富士通株式会社 半導体ウェハ処理装置及び半導体ウェハ処理方法
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
TW334609B (en) 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US5829791A (en) 1996-09-20 1998-11-03 Bruker Instruments, Inc. Insulated double bayonet coupler for fluid recirculation apparatus
US6066836A (en) 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US5737178A (en) 1997-03-06 1998-04-07 Applied Materials, Inc. Monocrystalline ceramic coating having integral bonding interconnects for electrostatic chucks
TW422892B (en) 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
US6303879B1 (en) 1997-04-01 2001-10-16 Applied Materials, Inc. Laminated ceramic with multilayer electrodes and method of fabrication
JP3624628B2 (ja) 1997-05-20 2005-03-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JPH10326788A (ja) 1997-05-26 1998-12-08 Kokusai Electric Co Ltd ヒータユニット及び基板処理装置
US5841624A (en) 1997-06-09 1998-11-24 Applied Materials, Inc. Cover layer for a substrate support chuck and method of fabricating same
US6936153B1 (en) 1997-09-30 2005-08-30 Semitool, Inc. Semiconductor plating system workpiece support having workpiece-engaging electrode with pre-conditioned contact face
JP3983362B2 (ja) 1997-12-10 2007-09-26 日本碍子株式会社 多孔質複合セラミックス、及びその製造方法
US6104596A (en) 1998-04-21 2000-08-15 Applied Materials, Inc. Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6072685A (en) 1998-05-22 2000-06-06 Applied Materials, Inc. Electrostatic chuck having an electrical connector with housing
JP3983387B2 (ja) 1998-09-29 2007-09-26 日本碍子株式会社 静電チャック
US6221221B1 (en) 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6151203A (en) 1998-12-14 2000-11-21 Applied Materials, Inc. Connectors for an electrostatic chuck and combination thereof
US6213478B1 (en) 1999-03-11 2001-04-10 Moore Epitaxial, Inc. Holding mechanism for a susceptor in a substrate processing reactor
US6466881B1 (en) 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
US6900149B1 (en) 1999-09-06 2005-05-31 Ibiden Co., Ltd. Carbon-containing aluminum nitride sintered compact and ceramic substrate for use in equipment for manufacturing or inspecting semiconductor
US6261977B1 (en) 1999-09-08 2001-07-17 United Microelectronics Corp. Method for preventing an electrostatic chuck from being corroded during a cleaning process
JP4028149B2 (ja) * 2000-02-03 2007-12-26 日本碍子株式会社 加熱装置
JP4637316B2 (ja) 2000-02-24 2011-02-23 京セラ株式会社 筒状体を有するセラミックヒーター及びこれを用いた加熱装置
US6592709B1 (en) 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6483690B1 (en) 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
CN1473452A (zh) 2001-07-09 2004-02-04 IBIDEN�ɷ����޹�˾ 陶瓷加热器与陶瓷接合体
JP3870824B2 (ja) 2001-09-11 2007-01-24 住友電気工業株式会社 被処理物保持体、半導体製造装置用サセプタおよび処理装置
JP2003124296A (ja) 2001-10-17 2003-04-25 Sumitomo Osaka Cement Co Ltd サセプタ及びその製造方法
US6879051B1 (en) 2002-01-16 2005-04-12 Advanced Micro Devices, Inc. Systems and methods to determine seed layer thickness of trench sidewalls
JP4034145B2 (ja) 2002-08-09 2008-01-16 住友大阪セメント株式会社 サセプタ装置
JP3962661B2 (ja) 2002-08-30 2007-08-22 三菱重工業株式会社 静電チャック支持機構及び支持台装置及びプラズマ処理装置
EP1408140A1 (en) 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
CN100388434C (zh) 2003-03-12 2008-05-14 东京毅力科创株式会社 半导体处理用的基板保持结构和等离子体处理装置
KR20050115940A (ko) 2003-04-18 2005-12-08 가부시키가이샤 히다치 고쿠사이 덴키 반도체 제조 장치 및 반도체 장치의 제조 방법
JP4238772B2 (ja) 2003-05-07 2009-03-18 東京エレクトロン株式会社 載置台構造及び熱処理装置
CN1310285C (zh) 2003-05-12 2007-04-11 东京毅力科创株式会社 处理装置
EP1635388A4 (en) 2003-06-17 2009-10-21 Creative Tech Corp DIPOLAR ELECTROSTATIC CLAMPING DEVICE
JP2005018992A (ja) 2003-06-23 2005-01-20 Ibiden Co Ltd プラズマ発生装置用電極埋設部材
JP2005063991A (ja) 2003-08-08 2005-03-10 Sumitomo Electric Ind Ltd 半導体製造装置
JP2005072286A (ja) 2003-08-25 2005-03-17 Kyocera Corp 静電チャック
TWI236055B (en) 2003-09-05 2005-07-11 United Microelectronics Corp Plasma apparatus and method capable of adaptive impedance matching
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
JP4684222B2 (ja) * 2004-03-19 2011-05-18 株式会社クリエイティブ テクノロジー 双極型静電チャック
JP2005285825A (ja) * 2004-03-26 2005-10-13 Advantest Corp 静電チャック及び静電チャックによる基板固定方法
JP2005281046A (ja) 2004-03-29 2005-10-13 Ngk Insulators Ltd 窒化アルミニウム基板及びその製造方法
JP4761723B2 (ja) 2004-04-12 2011-08-31 日本碍子株式会社 基板加熱装置
US20050274324A1 (en) 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
JP2005347620A (ja) 2004-06-04 2005-12-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置の載置台ユニット
TW200612512A (en) * 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
US7375946B2 (en) 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
JP4386360B2 (ja) 2004-12-06 2009-12-16 信越化学工業株式会社 静電チャック
JP4588653B2 (ja) 2005-03-16 2010-12-01 東京エレクトロン株式会社 基板加熱機能を有する基板載置機構および基板処理装置
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
JP5062959B2 (ja) 2005-03-25 2012-10-31 日本碍子株式会社 セラミックス部材及びその製造方法
JP2006302887A (ja) 2005-04-20 2006-11-02 Ngk Insulators Ltd 給電部材及び加熱装置
JP4672597B2 (ja) 2005-06-02 2011-04-20 日本碍子株式会社 基板処理装置
US9520276B2 (en) 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
JP4796354B2 (ja) 2005-08-19 2011-10-19 日本碍子株式会社 静電チャック及びイットリア焼結体の製造方法
JP2007141895A (ja) 2005-11-14 2007-06-07 Tokyo Electron Ltd 載置台構造及び成膜装置
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
JP4381393B2 (ja) 2006-04-28 2009-12-09 信越化学工業株式会社 静電チャック
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080035306A1 (en) 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
US7619870B2 (en) 2006-08-10 2009-11-17 Tokyo Electron Limited Electrostatic chuck
US20080062609A1 (en) * 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
JP4394667B2 (ja) 2006-08-22 2010-01-06 日本碍子株式会社 ヒータ付き静電チャックの製造方法
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
JP4768699B2 (ja) 2006-11-30 2011-09-07 キヤノンアネルバ株式会社 電力導入装置及び成膜方法
US20080167720A1 (en) 2007-01-08 2008-07-10 Warsaw Orthopedic, Inc. Expandable vertebral body replacement device
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
US8294069B2 (en) 2007-03-28 2012-10-23 Ngk Insulators, Ltd. Heating device for heating a wafer
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US7625227B1 (en) 2007-07-31 2009-12-01 Agilent Technologies, Inc. High performance blind-mate connector
JP2009123929A (ja) 2007-11-15 2009-06-04 Tokyo Electron Ltd プラズマ処理装置
KR101415551B1 (ko) * 2008-01-25 2014-07-04 (주)소슬 정전척, 이의 제조 방법 및 이를 포함하는 기판 처리 장치
JP4450106B1 (ja) 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
US8540819B2 (en) 2008-03-21 2013-09-24 Ngk Insulators, Ltd. Ceramic heater
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090314208A1 (en) 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US8637194B2 (en) 2008-09-02 2014-01-28 Bio-Nano Power, Llc Bio-nano power cells and their uses
US20100104852A1 (en) 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
KR101336363B1 (ko) 2009-01-29 2013-12-04 도쿄엘렉트론가부시키가이샤 성막 장치 및 가스 토출 부재
JP5657262B2 (ja) 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
CN102449754B (zh) 2009-05-15 2015-10-21 恩特格林斯公司 具有聚合物突出物的静电吸盘
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
EP2454513A4 (en) 2009-07-16 2014-02-26 Waters Technologies Corp AUTOMATIC UNLOCKING FLUID CONNECTION
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5460184B2 (ja) 2009-08-28 2014-04-02 株式会社日本セラテック 支持装置
JP2011061040A (ja) 2009-09-10 2011-03-24 Tokyo Electron Ltd 載置台構造及び処理装置
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
JP5496630B2 (ja) 2009-12-10 2014-05-21 東京エレクトロン株式会社 静電チャック装置
JP2011165891A (ja) 2010-02-09 2011-08-25 Tokyo Electron Ltd 載置台構造及び処理装置
JP5218865B2 (ja) * 2010-03-26 2013-06-26 Toto株式会社 静電チャック
US9025305B2 (en) 2010-05-28 2015-05-05 Entegris, Inc. High surface resistivity electrostatic chuck
CN103222043B (zh) 2010-09-08 2016-10-12 恩特格林斯公司 一种高传导静电夹盘
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
JP5896595B2 (ja) 2010-10-20 2016-03-30 ラム リサーチ コーポレーションLam Research Corporation 2層rf構造のウエハ保持体
WO2012056807A1 (ja) 2010-10-25 2012-05-03 日本碍子株式会社 セラミックス材料、積層体、半導体製造装置用部材及びスパッタリングターゲット部材
US9117867B2 (en) 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
JP5505667B2 (ja) 2011-09-30 2014-05-28 Toto株式会社 交流駆動静電チャック
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US8884524B2 (en) 2011-11-22 2014-11-11 Applied Materials, Inc. Apparatus and methods for improving reliability of RF grounding
US9948214B2 (en) 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
JP5351316B1 (ja) 2012-08-15 2013-11-27 株式会社アドバンテスト 試料保持具及びこれを用いた電子ビーム露光方法
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
WO2014057771A1 (ja) 2012-10-12 2014-04-17 株式会社Neomaxマテリアル 金属基板
JP6078450B2 (ja) 2012-10-26 2017-02-08 日本碍子株式会社 半導体製造装置用部材及びその製法
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
KR101413898B1 (ko) 2012-11-06 2014-06-30 엔지케이 인슐레이터 엘티디 서셉터
JP6001675B2 (ja) 2012-11-28 2016-10-05 京セラ株式会社 載置用部材およびその製造方法
CN104822971B (zh) 2012-11-30 2017-06-23 黑田精工株式会社 轴终端用转接器和滚珠丝杠组装体
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
KR101333631B1 (ko) 2012-12-21 2013-11-27 (주)보부하이테크 퀄츠 히터
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
JP6088346B2 (ja) 2013-05-09 2017-03-01 新光電気工業株式会社 静電チャック及び半導体製造装置
US8934826B2 (en) 2013-05-30 2015-01-13 Xerox Corporation Surface tension interference coating process for precise feature control
JP6119430B2 (ja) 2013-05-31 2017-04-26 住友大阪セメント株式会社 静電チャック装置
CN105453234B (zh) 2013-08-10 2018-11-02 应用材料公司 抛光新的或翻新的静电夹盘的方法
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
EP3084804B1 (en) 2013-12-20 2018-03-14 Nicholas R. White A ribbon beam ion source of arbitrary length
CN104752143B (zh) 2013-12-31 2017-05-03 中微半导体设备(上海)有限公司 一种等离子体处理装置
US20150194326A1 (en) 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
CN105917459A (zh) 2014-02-07 2016-08-31 应用材料公司 用于dsa上弯曲晶片的夹持能力
US10497598B2 (en) 2014-02-07 2019-12-03 Entegris, Inc. Electrostatic chuck and method of making same
US20150243483A1 (en) 2014-02-21 2015-08-27 Lam Research Corporation Tunable rf feed structure for plasma processing
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
WO2015155940A1 (ja) 2014-04-08 2015-10-15 パナソニックIpマネジメント株式会社 熱伝導シートおよびその製造方法
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
JP6424024B2 (ja) 2014-06-24 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
TWI654332B (zh) * 2014-07-02 2019-03-21 美商應用材料股份有限公司 用於電漿處理的多區域基座
KR101465640B1 (ko) 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
KR102430454B1 (ko) 2014-08-15 2022-08-05 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학 기상 증착 시스템에서 높은 온도들로 압축 또는 인장 응력을 갖는 웨이퍼들을 프로세싱하는 방법 및 장치
US10325800B2 (en) 2014-08-26 2019-06-18 Applied Materials, Inc. High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US9666467B2 (en) 2014-11-21 2017-05-30 Varian Semiconductor Equipment Associates, Inc. Detachable high-temperature electrostatic chuck assembly
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10153136B2 (en) 2015-08-04 2018-12-11 Lam Research Corporation Hollow RF feed with coaxial DC power feed
US10607870B2 (en) 2015-10-12 2020-03-31 Applied Materials, Inc. Substrate carrier for active/passive bonding and de-bonding of a substrate
US9954508B2 (en) 2015-10-26 2018-04-24 Lam Research Corporation Multiple-output radiofrequency matching module and associated methods
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
WO2017122716A1 (ja) 2016-01-12 2017-07-20 住友大阪セメント株式会社 静電チャック装置および静電チャック装置の製造方法
US10249526B2 (en) 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10283330B2 (en) 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US20180061684A1 (en) 2016-08-26 2018-03-01 Applied Materials, Inc. Optical heating of light absorbing objects in substrate support
JP6626419B2 (ja) 2016-09-30 2019-12-25 新光電気工業株式会社 静電チャック、基板固定装置
JP6869034B2 (ja) 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
KR102225236B1 (ko) * 2017-03-06 2021-03-10 엔지케이 인슐레이터 엘티디 웨이퍼 지지대
US10079154B1 (en) 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
KR102545967B1 (ko) * 2017-03-28 2023-06-20 스미토모덴키고교가부시키가이샤 웨이퍼 유지체
JP6948822B2 (ja) 2017-04-25 2021-10-13 東京エレクトロン株式会社 基板処理装置及び基板取り外し方法
KR102658739B1 (ko) 2017-05-03 2024-04-17 램 리써치 코포레이션 컨디셔닝 챔버 컴포넌트
JP6811144B2 (ja) * 2017-05-30 2021-01-13 東京エレクトロン株式会社 プラズマ処理装置の静電チャックを運用する方法
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
EP3450809A1 (de) 2017-08-31 2019-03-06 VAT Holding AG Verstellvorrichtung mit spannzangenkupplung für den vakuumbereich
US11469084B2 (en) 2017-09-05 2022-10-11 Lam Research Corporation High temperature RF connection with integral thermal choke
US20190115241A1 (en) 2017-10-12 2019-04-18 Applied Materials, Inc. Hydrophobic electrostatic chuck
US11532459B2 (en) 2017-11-09 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus with cleaning gas flow guiding member
US10410845B2 (en) 2017-11-22 2019-09-10 Applied Materials, Inc. Using bias RF pulsing to effectively clean electrostatic chuck (ESC)
JP6799550B2 (ja) 2018-01-16 2020-12-16 東京エレクトロン株式会社 プラズマ処理装置の部品をクリーニングする方法
JP6799549B2 (ja) 2018-01-16 2020-12-16 東京エレクトロン株式会社 プラズマ処理装置の部品をクリーニングする方法
KR102604345B1 (ko) 2018-01-30 2023-11-20 램 리써치 코포레이션 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11232966B2 (en) 2018-02-01 2022-01-25 Lam Research Corporation Electrostatic chucking pedestal with substrate backside purging and thermal sinking
US10636686B2 (en) 2018-02-27 2020-04-28 Lam Research Corporation Method monitoring chamber drift
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10957572B2 (en) 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
US20200013590A1 (en) 2018-07-06 2020-01-09 Tokyo Electron Limited Protective layer for chucks during plasma processing to reduce particle formation
US11183368B2 (en) 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
KR20210048568A (ko) 2018-09-21 2021-05-03 램 리써치 코포레이션 플라즈마 프로세싱 챔버를 컨디셔닝하기 위한 방법
WO2020227408A1 (en) 2019-05-07 2020-11-12 Lam Research Corporation Electrostatic chuck system
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
JP2021132148A (ja) * 2020-02-20 2021-09-09 東京エレクトロン株式会社 ステージ、プラズマ処理装置及びプラズマ処理方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090059462A1 (en) * 2002-06-18 2009-03-05 Anelva Corporation Electrostatic chuck device
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US20160035610A1 (en) * 2014-07-30 2016-02-04 Myoung Soo Park Electrostatic chuck assemblies having recessed support surfaces, semiconductor fabricating apparatuses having the same, and plasma treatment methods using the same

Also Published As

Publication number Publication date
KR20210129733A (ko) 2021-10-28
CN110692131A (zh) 2020-01-14
KR20210124539A (ko) 2021-10-14
US20220181184A1 (en) 2022-06-09
CN110692131B (zh) 2023-12-15
JP2023071766A (ja) 2023-05-23
EP4258332A2 (en) 2023-10-11
US20240038568A1 (en) 2024-02-01
WO2018222635A1 (en) 2018-12-06
KR20230144115A (ko) 2023-10-13
TW201907049A (zh) 2019-02-16
US11817341B2 (en) 2023-11-14
US20180350649A1 (en) 2018-12-06
US11289355B2 (en) 2022-03-29
EP4258332A3 (en) 2024-01-03
CN117832147A (zh) 2024-04-05
KR20230065376A (ko) 2023-05-11
KR20210129732A (ko) 2021-10-28
TW202312376A (zh) 2023-03-16
JP7271443B2 (ja) 2023-05-11
TWI785055B (zh) 2022-12-01
EP3631846A1 (en) 2020-04-08
JP2020522890A (ja) 2020-07-30
KR20200014881A (ko) 2020-02-11
EP3631846B1 (en) 2023-07-05
EP3631846A4 (en) 2021-03-10

Similar Documents

Publication Publication Date Title
TWI826073B (zh) 使用於半導體處理中之靜電夾頭
CN108987229B (zh) 高温衬底基座模块及其组件
US11634817B2 (en) Substrate pedestal including backside gas-delivery tube
KR20200024364A (ko) 모놀리식 (monolithic) 세라믹 가스 분배 플레이트
CN110226222A (zh) 具有射频隔离式加热器的静电吸盘
TW202136573A (zh) 具有整合式rf濾波器的基板支撐件