KR102430454B1 - 플라즈마 강화 화학 기상 증착 시스템에서 높은 온도들로 압축 또는 인장 응력을 갖는 웨이퍼들을 프로세싱하는 방법 및 장치 - Google Patents

플라즈마 강화 화학 기상 증착 시스템에서 높은 온도들로 압축 또는 인장 응력을 갖는 웨이퍼들을 프로세싱하는 방법 및 장치 Download PDF

Info

Publication number
KR102430454B1
KR102430454B1 KR1020177007210A KR20177007210A KR102430454B1 KR 102430454 B1 KR102430454 B1 KR 102430454B1 KR 1020177007210 A KR1020177007210 A KR 1020177007210A KR 20177007210 A KR20177007210 A KR 20177007210A KR 102430454 B1 KR102430454 B1 KR 102430454B1
Authority
KR
South Korea
Prior art keywords
ppm
substrate
electrostatic
chuck body
esc
Prior art date
Application number
KR1020177007210A
Other languages
English (en)
Other versions
KR20170042359A (ko
Inventor
청 존 예
제이 디. 2세 핀슨
히로지 하나와
지안후아 조우
싱 린
렌-관 두안
광덕 더글라스 리
복 헌 김
스와얌브후 피. 베헤라
성원 하
가네쉬 발라수브라마니안
주안 카를로스 로차-알바레즈
프라산트 쿠마르 쿨쉬레쉬타
제이슨 케이. 포스터
무쿤드 스리니바산
우베 피. 할러
하리 케이. 폰네칸티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170042359A publication Critical patent/KR20170042359A/ko
Application granted granted Critical
Publication of KR102430454B1 publication Critical patent/KR102430454B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 개시내용의 실시예들은 높은 온도들로 플라즈마 반응기에서 프로세싱되고 있는 기판의 평탄도를 유지하기 위한 정전 척을 제공한다. 일 실시예에서, 정전 척은, 지지 스템에 커플링된 척 바디 ― 척 바디는 기판 지지 표면을 갖고, 척 바디는 약 250 ℃ 내지 약 700 ℃의 온도에서 약 1x107 옴-cm 내지 약 1x1015 옴-cm의 체적 저항률 값을 가짐 ―, 및 바디에 임베딩된 전극을 포함하며, 전극은 전력 공급부에 커플링된다. 일 예에서, 척 바디는, 증착 또는 에칭 프로세스, 또는 높은 동작 온도 및 기판 클램핑 피처들 양자 모두를 채용하는 임의의 다른 프로세스 동안에, 600 ℃ 또는 그 초과 주위에서 척킹 성능을 최적화할 수 있는 것으로 관찰된 질화 알루미늄 재료로 구성된다.

Description

플라즈마 강화 화학 기상 증착 시스템에서 높은 온도들로 압축 또는 인장 응력을 갖는 웨이퍼들을 프로세싱하는 방법 및 장치{METHOD AND APPARATUS OF PROCESSING WAFERS WITH COMPRESSIVE OR TENSILE STRESS AT ELEVATED TEMPERATURES IN A PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION SYSTEM}
[0001] 본 개시내용의 실시예들은 일반적으로, 반도체 기판들을 프로세싱하기 위한 장치 및 방법에 관한 것이다. 더 상세하게는, 본 개시내용의 실시예들은 플라즈마 챔버에서 사용되는 정전 척에 관한 것이다.
[0002] 플라즈마 강화 프로세스들, 예컨대 플라즈마 강화 화학 기상 증착(PECVD) 프로세스, 고밀도 플라즈마 화학 기상 증착(HDPCVD) 프로세스, 플라즈마 침지 이온 주입 프로세스(P3I), 및 플라즈마 에칭 프로세스는 반도체 프로세싱에서 필수적이게 되었다. 플라즈마는 반도체 디바이스들을 제조하는 것에서 다수의 이점들을 제공한다. 예컨대, 플라즈마를 사용하는 것은 낮아진 프로세싱 온도로 인해 광범위한 애플리케이션들을 가능하게 하고, 플라즈마 강화 증착은 고 종횡비 갭들에 대한 우수한 갭-충전 및 높은 증착 레이트들을 갖는다.
[0003] 플라즈마 프로세싱 동안에 발생하는 하나의 문제는, 높은 온도들에서의, 프로세싱되고 있는 기판, 특히 디바이스 기판, 즉, 패터닝된 기판의 변형이다. 반도체 디바이스들은 반도체 기판 상에 특정한 패턴으로 재료들의 층들을 적층시킴으로써 형성된다. 패터닝된 기판은, 특히, 기판이 가열되고 있는 경우에, 상이한 재료들의 층들 사이의 열 팽창에서의 차이들로 인해, 프로세스들 동안에 "휠" 수 있다. 기판의 휨은 프로세스 표면의 불-균일성을 초래할 수 있다. 휘어진 기판의 측면들 및 배면이 프로세싱될 수 있고, 이는, 플라즈마 프로세싱을 위한 전구체들이 통상적으로 매우 고가이기 때문에 프로세싱 재료를 낭비할 뿐만 아니라, 후속 프로세싱 단계들에 대해 오염들 및 다른 문제들을 야기한다.
[0004] 정전 척(ESC)과 같은 외부 수단이, 웨이퍼가 이동하지 않게 하도록, 그리고 ESC에 대하여 일관된 열적 및 전기적 접촉을 유지하게 하도록, 반도체 프로세싱 동안에, ESC 표면에 대하여 기판을 홀딩하기 위해 사용된다. 가장 중요하게는, ESC는 반도체 프로세싱 동안에 기판을 평탄하게 유지한다. PECVD 애플리케이션들에 대해, 프로세스 동안에, 그리고 기판마다, 기판 온도 및 전압이 일관되는 것이 중요하다. 인입 기판이 ESC에 클램핑되기 전에 특정한 정도의 압축 휨 또는 인장 휨을 나타내고, 높은 동작 온도가 추가로 휨이 변화되게 할 것이고, 즉, 높은 온도들에서의 기판 표면 응력 변화들로 인해 인입 휨보다 더 안좋게 될 수 있는 경우가 특히 관심사가 된다. 그러한 경우에서, 척킹된 기판은 높은 동작 온도로 인해 플라즈마 프로세스 동안에 여전히 변형될 수 있다.
[0005] 따라서, 높은 동작 온도 동안에 기판의 평탄도를 유지하면서, 기판을 클램핑하기 위한 장치 및 방법에 대한 필요성이 존재한다.
[0006] 본 개시내용의 실시예들은 일반적으로, 유전체 ESC 표면에 대하여 기판을 클램핑하고, 후속하여, 유전체 ESC 표면으로부터 동일한 기판을 해제하기 위한 방법 및 정전 척(ESC)을 제공하고, 여기에서, ESC에 의해 클램핑되기 전에, 기판이 압축 휨 또는 인장 휨의 다양한 정도들을 나타낼 수 있던지 또는 기판이 평탄한지와 무관하게, 기판이 실질적으로 평탄하게 되고, ESC 표면에 대하여 실질적으로 평행하게 유지된다.
[0007] 일 실시예에서, 정전 척은, 지지 스템에 커플링된 척 바디 ― 척 바디는 약 250 ℃ 내지 약 700 ℃의 온도에서 약 1x107 옴-cm 내지 약 1x1015 옴-cm의 체적 저항률 값을 가짐 ―, 및 바디에 임베딩된 전극을 포함하고, 전극은 전력 공급부에 커플링된다.
[0008] 다른 실시예에서, 정전 척은 지지 스템에 커플링된 척 바디를 포함하며, 척 바디는 기판을 지지하기 위한 상단 표면을 갖고, 여기에서, 척 바디는 약 250 ℃ 내지 약 700 ℃의 온도에서의 약 1x107 옴-cm 내지 약 1x1015 옴-cm의 체적 저항률 값, 및 약 60 W/m-K 내지 190 W/m-K의 열 전도율 값을 갖고, 여기에서, 척 바디는 본 개시내용의 표 1에서 열거된 불순물 엘리먼트들을 포함하는 질화 알루미늄로 구성된다.
[0009] 또 다른 실시예에서, 정전 척은 기판 지지 표면을 갖는 질화 알루미늄 바디를 포함하고, 여기에서, 질화 알루미늄 바디는 약 450 ℃ 내지 약 650 ℃의 온도에서 약 1x109 옴-cm 내지 약 1x1012 옴-cm의 체적 저항률 값을 갖고, 여기에서, 적어도 기판 지지 표면은 절연성 층으로 코팅된다.
[0010] 대부분의 실시예들에서, 본 개시내용의 ESC는, 박막 증착 애플리케이션들에 대해, 약 100 ℃ 내지 약 700 ℃의 온도 범위에서 동작하는 존센-라벡 정전 척이다. 동작 온도는 임의의 주어진 시간에서의 또는 시간 기간에 걸친 실시간 온도 측정들에 기초하여 폐쇄 루프로 제어될 수 있고, 여기에서, 동작 온도는 실질적으로 일관되거나, 또는 동작 온도는 미리 정의된 코스를 따르도록 변화될 수 있다. ESC의 표면에 걸친 온도 변화는, 예컨대 평균 동작 온도에 대한 10 % 미만과 같이 실질적으로 작다.
[0011] 몇몇 실시예들에서, ESC는, ESC의 상단 표면과 기판의 배면 사이에 반대 전하 극성을 제공하기 위해 폐쇄 루프 전기 회로를 형성하는 하나 또는 그 초과의 임베딩된 전극을 통합할 수 있고, 폐쇄 루프는 ESC 그 자체 뿐만 아니라 다른 지지 부분들을 포함하는 전도성 벽들과 기판 사이에서 지속되는 플라즈마를 포함할 수 있다.
[0012] 몇몇 실시예들에서, ESC는, 우수한 척킹 성능을 제공하기 위해, 적절한 열적, 기계적, 및 전기적 특성들의 벌크 유전체 재료로 구성된다. 벌크 유전체 재료는, 미리 정의된 기하형상의 ESC의 바디를 형성하는, 1000 ℃ 초과의 온도 하에서 신터링된 질화 알루미늄을 주로 포함할 수 있다. ESC 바디는 미리 정의된 기하형상 및 표면 조건들에 따르도록 머시닝 및 폴리싱될 수 있다. 전기 특성들에 대해 특히, 유전체 재료들의 체적 저항률은, 동작 온도에 따라, 약 1x107 옴-cm 내지 약 1x1016 옴-cm의 범위에 속하도록 제어된다. 체적 저항률의 더 낮은 레벨은, 임베딩된 척킹 전극으로부터 ESC의 상단 표면을 향하는 전하 이동을 가능하게 하고, 그에 따라, 그러한 표면 전하가 기판의 배면 상에 동일한 양의 반대 극성 전하를 유도할 수 있다. ESC에 대하여 기판을 클램핑하게 될 연속적인 쿨롬 인력을 생성하기 위해, 반대 극성 전하가 방전에 대항하여 지속될 수 있다.
[0013] 몇몇 실시예들에서, ESC는, ESC 바디 내부의 상이한 존들을 차지하는 수개의 특정한 패턴들 또는 특정한 패턴을 형성하는 임베딩된 가열기 엘리먼트들을 포함할 수 있다. 가열기 엘리먼트들은 하나 또는 다수의 DC 전력 공급부들로 전력공급될 수 있거나, 또는 AC 라인들을 사용하여 직접적으로 전력공급될 수 있다.
[0014] 몇몇 실시예들에서, ESC는, ESC 근처에서 나타날 수 있거나 또는 다른 곳으로부터 ESC에 커플링될 수 있는 무선 주파수 및 더 낮은 주파수 전압 및 전류로 인한 잠재적인 손상에 대항하여 전기 보호 회로의 네트워크를 포함할 수 있다. 보호 회로는, DC, AC 라인 주파수들, RF 주파수들, 최대 VHF 주파수들로부터의 광범위한 주파수 스펙트럼에 걸쳐 확산되거나, 또는 배타적으로 하나의 주파수 내에 분포될 수 있는 임의의 잠재적으로 유해한 전압 및 전류의 충분한 감쇠를 달성하기 위해, 퓨즈들, 스위치들, 접지로의 방전 경로들, 전류 제한 디바이스들, 전압 제한 디바이스들, 및 필터링 디바이스들로 구성될 수 있다.
[0015] 몇몇 실시예들에서, ESC의 상단 표면은 클램핑 시에 균일한 또는 불균일한 패턴을 형성하는 표면 접촉 피처들을 포함할 수 있다. 패턴은, 기판의 배면의 전체 면적의 부분적인 커버리지 또는 완전한 커버리지로서 기판의 배면에 나타날 수 있다. 패턴의 접촉 표면은 머시닝 및 폴리싱의 결과로서 미세한 거칠기를 가질 수 있고, 적절한 두께의 ESC 바디와 실질적으로 동일한 재료 또는 상이한 재료들의 코팅을 포함할 수 있다. 표면 접촉 피처들은, 동일한 또는 상이한 형상들의 아일랜드들을 가지면서, 기판 배면과 접촉하도록 구성된 상단 표면을 갖는 메사 구조들 또는 별개의 아일랜드들의 형태일 수 있고, ESC 표면에 걸쳐 균일한 밀도 또는 불균일한 밀도로 분포될 수 있다. 상단 표면은 또한, 프로세싱 동안에 상단 표면이 기판과 접촉하지 않는 블로킹 피처들을 포함할 수 있고, 웨이퍼 프로세싱 동안에, 또는 기판이 척킹되기 전에, 바람직하지 않은 기판 이동을 방지하기 위해, 기판 레벨에 필적하거나 또는 기판 레벨보다 더 높은 레벨로 상승될 수 있다. 블로킹 피처들은 ESC 바디의 둘레 주위에서 동등하게 이격될 수 있고, ESC에 대해 분리가능할 수 있는 연속적인 링 타입의 구조로 연장될 수 있다.
[0016] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된, 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 본 개시내용의 전형적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0017] 도 1은 본 개시내용에 따른 PECVD 시스템(100)의 단면도를 예시한다.
[0018] 도 2는 본 개시내용의 다양한 실시예들에 따른 정전 척의 개략적인 단면도이다.
[0019] 도 3은 특정한 제조 프로세스 하의 재료들(1-5)로 구성된 바디의 체적 저항률 값들과 온도 사이의 관계를 예시하는 그래프이다.
[0020] 도 4a 내지 도 4d는 본 개시내용의 실시예들에 따른 절연성 층의 상이한 배열들을 갖는 정전 척의 개략적인 단면도들을 예시한다.
[0021] 도 5는 본 개시내용의 실시예들에 따른 표면 접촉들의 예시적인 배열들을 도시한다.
[0022] 이해를 용이하게 하기 위하여, 도면들에 대해 공통인 동일한 엘리먼트들을 지시하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 일 실시예에서 개시된 엘리먼트들이, 구체적인 설명 없이 다른 실시예들에 대해 유익하게 활용될 수 있다는 것이 고려된다.
예시적인 챔버 하드웨어
[0023] 도 1은 본 개시내용에 따른 PECVD 시스템(100)의 단면도를 예시한다. 본원에서 PECVD 시스템이 설명되지만, 본 개시내용의 장치 및 방법이 정전 척을 사용하는 임의의 적합한 플라즈마 프로세스에 대해 적용될 수 있다는 것이 유의되어야 한다. PECVD 시스템(100)은 일반적으로, 힌지에 의해 챔버 바디(102)에 부착될 수 있는 챔버 덮개(104)를 지지하는 챔버 바디(102)를 포함한다. 챔버 바디(102)는 측벽들(112) 및 바닥 벽(116)을 포함하고, 그러한 측벽들(112) 및 바닥 벽(116)은 프로세싱 구역(120)을 정의한다. 챔버 덮개(104)는 프로세싱 구역(120) 내로 반응물 및 세정 가스들을 전달하기 위한, 그러한 챔버 덮개(104)를 통해 배치된 하나 또는 그 초과의 가스 분배 시스템들(108)을 포함할 수 있다. 측벽들(112)에 형성되고 펌핑 시스템(164)에 커플링된 주위 펌핑 채널(125)은 프로세싱 구역(120)으로부터 가스들을 배기하고, 프로세싱 구역(120) 내의 압력을 제어하도록 구성된다. 2개의 통로들(122 및 124)이 바닥 벽(116)에 형성된다. 정전 척의 스템(126)이 통로(122)를 통과한다. 기판 리프트 핀들(161)을 작동시키도록 구성된 로드(130)가 통로(124)를 통과한다.
[0024] 세라믹 등으로 제조된 챔버 라이너(127)가 부식성 프로세싱 환경으로부터 측벽들(112)을 보호하기 위해 프로세싱 구역(120)에 배치된다. 챔버 라이너(127)는 측벽들(112)에 형성된 레지(129)에 의해 지지될 수 있다. 복수의 배기 포트들(131)이 챔버 라이너(127) 상에 형성될 수 있다. 복수의 배기 포트들(131)은 펌핑 채널(125)에 프로세싱 구역(120)을 연결시키도록 구성된다.
[0025] 가스 분배 시스템(108)은 반응물 및 세정 가스들을 전달하도록 구성되고, 프로세싱 구역(120) 내로 가스들을 전달하도록 챔버 덮개(104)를 통해 배치된다. 가스 분배 시스템(108)은 샤워헤드 어셈블리(142) 내로 가스를 전달하는 가스 유입구 통로(140)를 포함한다. 샤워헤드 어셈블리(142)는 페이스플레이트(146)와의 중간에 블로커 플레이트(144)가 배치된 환상 베이스 플레이트(148)로 구성된다.
[0026] 냉각 채널(147)이 동작 동안에 베이스 플레이트(148)를 냉각시키기 위해 가스 분배 시스템(108)의 베이스 플레이트(148)에 형성된다. 냉각 유입구(145)는 냉각 채널(147) 내로 물 등과 같은 냉각제 유체를 전달한다. 냉각제 유체는 냉각제 배출구(149)를 통해 냉각 채널(147)에서 빠져나간다.
[0027] 챔버 덮개(104)는 하나 또는 그 초과의 가스 유입구들(168, 163, 169)로부터, 원격 플라즈마 소스(162)를 통해, 챔버 덮개(104)의 상단 상에 위치된 가스 유입구 매니폴드(167)로 가스들을 전달하기 위한 매칭 통로들을 갖는다. PECVD 시스템(100)은 캐리어 가스 및/또는 전구체 가스를 제공하도록 구성된 하나 또는 그 초과의 가스 소스들(172) 및 하나 또는 그 초과의 액체 전달 소스들(150)을 포함할 수 있다.
[0028] 정전 척(128)은 프로세싱되고 있는 기판을 지지하고 홀딩하도록 구성된다. 일 실시예에서, 정전 척(128)은 그러한 정전 척(128) 상에 기판을 정전기로 고정시키기 위해 전압이 인가되는 적어도 하나의 전극(123)을 포함할 수 있다. 전극(123)은 저역 통과 필터(177)를 통해 전극(123)에 연결된 직류(DC) 전력 공급부(176)에 의해 전력공급된다. 정전 척(128)은 단극성, 양극성, 삼극성, DC, 인터디지테이트형, 구역형 등일 수 있다.
[0029] 일 실시예에서, 정전 척(128)은 스템(126)에 커플링된 구동 시스템(103)에 의해 구동되면서 프로세싱 구역(120)에 이동가능하게 배치된다. 정전 척(128)은 그러한 정전 척(128) 상에 위치된 기판을 원하는 프로세스 온도로 가열하기 위해, 예컨대 저항성 엘리먼트들과 같은 가열 엘리먼트들을 포함할 수 있다. 대안적으로, 정전 척(128)은 램프 어셈블리와 같은 외부 가열 엘리먼트에 의해 가열될 수 있다. 구동 시스템(103)은 프로세싱 구역(120) 내에서 정전 척(128)을 하강시키거나 또는 상승시키기 위해 선형 액추에이터들, 또는 모터 및 감속 기어링 어셈블리를 포함할 수 있다.
[0030] RF 소스(165)가 임피던스 매칭 회로(173)를 통해 샤워헤드 어셈블리(142)에 커플링된다. 캐패시터(178)와 같은 고역 통과 필터를 통해 접지될 수 있는 전극(123) 및 샤워헤드 어셈블리(142)의 페이스플레이트(146)는 용량성 플라즈마 생성기를 형성한다. RF 소스(165)는 정전 척(128)과 샤워헤드 어셈블리(142)의 페이스플레이트(146) 사이의 용량성 플라즈마의 생성을 용이하게 하기 위해 샤워헤드 어셈블리(142)에 RF 에너지를 제공한다. 따라서, 전극(123)은 기판의 정전기 클램핑을 가능하게 하기 위해, DC 소스(176)로부터의 전기 바이어스 및 RF 소스(165)에 대한 접지 경로 양자 모두를 제공한다.
[0031] RF 소스(165)는, 예컨대 13.56 MHz RF 생성기와 같은 고 주파수 무선 주파수(HFRF) 전력 소스, 및 예컨대 300 kHz RF 생성기와 같은 저 주파수 무선 주파수(LFRF) 전력 소스를 포함할 수 있다. LFRF 전력 소스는 저 주파수 생성 및 고정된 매치 엘리먼트들 양자 모두를 제공한다. HFRF 전력 소스는 고정된 매치와 함께 사용하도록 설계되고, 부하에 전달되는 전력을 조절하여, 포워드 및 반사되는 전력에 관한 고려사항들을 제거한다.
[0032] 특정한 실시예들에서, 정전 척(128) 상에 고정되는 기판의 특성들이 플라즈마 프로세스 동안에 모니터링될 수 있다. 특정한 실시예들에서, 정전 척(128) 상에 고정되는 기판의 평탄도가 플라즈마 프로세스 동안에 모니터링될 수 있다. 일 실시예에서, 정전 척(128) 상에 고정되는 기판의 평탄도는 기판이 위에 고정된 정전 척(128)의 특징들을 측정함으로써 모니터링될 수 있다. 정전 척(128)의 특징들은 페이스플레이트(146)와 연결된 센서(174)에 의해 측정될 수 있다. 센서(174)는 임피던스 매칭 회로(173)와 페이스플레이트(146) 사이에 연결된 VI 프로브일 수 있다. 몇몇 실시예들에서, 센서(174)는 전극(123)과 페이스플레이트(146) 사이의 캐패시턴스를 측정하도록 구성될 수 있고, 이는, 전극(123)과 페이스플레이트(146) 사이의 캐패시턴스가 전극(123)과 페이스플레이트(146) 사이에 위치된 기판(121)의 평탄도에 의해 발생되기 때문이다. 정전 척(128)과 같은 정전 척은, 그러한 정전 척 상에 배치된 기판이 덜 평탄하게 되는 경우에, 증가되는 용량성 리액턴스를 가질 수 있다. 기판이 평탄하지 않은 경우에, 예컨대, 플라즈마의 열로부터 변형되는 경우에, 기판과 정전 척(128) 사이에 에어 갭의 불균일한 분포가 존재한다. 따라서, 정전 척에서의 기판의 평탄도에서의 변화는 플라즈마 반응기의 캐패시턴스의 변화를 초래하고, 이는 정전 척의 허수부 임피던스의 변화에 의해 측정될 수 있다. 그러한 경우에서, 센서(174)는 전극(123) 및 페이스플레이트(146)에 의해 형성되는 캐패시터의 전압 및 전류를 측정함으로써 정전 척(128)의 임피던스를 측정하고, 그에 의해, 그러한 정전 척(128) 상에 고정된 기판의 평탄도를 모니터링하도록 구성될 수 있다.
[0033] 플라즈마 프로세스 동안에, 정전 척 상에 위치된 기판은, 가열, 증착된 막의 증가된 두께, 척킹력의 상실, 또는 이들의 조합으로부터의 변형으로 인해, 곡률이 증가될 수 잇다. 기판의 변형은 프로세스의 불-균일성을 증가시킬 수 있다. 일 실시예에서, 프로세싱되고 있는 기판의 평탄도는 기판을 고정시키는 정전 척의 특징들을 측정함으로써 모니터링될 수 있다. 미리 결정된 조건들에 따라, 기판 변형을 보정하기 위해, 정전 척의 척킹 전압이 조정될 수 있다.
[0034] 도 1에서 도시된 바와 같이, 센서(174)는 시스템 제어기(175)에 연결될 수 있다. 시스템 제어기(175)는 PECVD 시스템(100)에서 프로세싱되고 있는 기판(121)의 평탄도를 계산하고 조정하도록 구성될 수 있다. 일 실시예에서, 시스템 제어기(175)는 정전 척(128)의 허수부 임피던스와 같은 특징들을 모니터링함으로써 기판(121)의 평탄도 또는 척킹 상태를 계산할 수 있다. 허수부 임피던스의 측정이 기판(121)의 평탄도가 감소된 것을 표시하는 경우에, 시스템 제어기(175)는 DC 소스(176)를 조정함으로써 척킹력을 증가시킬 수 있다. 일 실시예에서, 기판(121)의 감소된 평탄도는 정전 척(128)의 음으로 증가된 허수부 임피던스에 의해 표시될 수 있다.
예시적인 정전 척
[0035] 도 2는 본 개시내용의 다양한 실시예들에 따른 정전 척(128)의 개략적인 단면도이다. 정전 척(128)은 지지 스템(226)에 커플링된 척 바디(228)를 포함한다. 바디(228)는 프로세싱 동안에 기판(121)의 지지 및 클램핑을 제공하도록 구성된 상단 표면(202)을 갖는다. 정전 척(128)의 바디(228)는 전도성 부재(286)에 커플링된 전극(223)을 포함한다. 전극(223)은 바디(228) 내부의 기판에 대해 필적하는 사이즈의 금속 전극일 수 있고, 바디(228)의 상단 표면(202)에 대하여 홀딩될 기판(121)에 대해 실질적으로 평행하도록 구축될 수 있다. 전극(223)은 전극들이 상단 표면(202)에 걸쳐 균등하게 분배되도록 하는 임의의 구성 또는 패턴으로 배열될 수 있다. 예컨대, 전극(223)은 그리드-형, 픽셀-형, 또는 도트-형 구성으로 배열될 수 있다. 전도성 부재(286)는 로드, 튜브, 와이어들 등일 수 있고, 전도성 재료, 예컨대 몰리브덴(Mo), 텅스텐(W), 또는 바디(228)를 구성하는 다른 재료들과 실질적으로 유사한 팽창 계수를 갖는 다른 재료로 제조될 수 있다.
[0036] 일 실시예에서, 정전 척은 기판(121)과 전극(223) 사이에 실질적으로 균일한 전압을 유지하기 위해 전극(223)의 단일 피스를 사용한다. 대안적으로, 정전 척은 양극성 ESC를 사용할 수 있고, 그러한 양극성 ESC에서, 클램핑 력을 생성하기 위해 상이한 전압들을 갖는 다수의 척킹 전극들이 사용된다. 몇몇 실시예들에서, 정전 척(128)은 기판의 정전 클램핑을 촉진하거나 또는 강화하도록 기판에 전기 바이어스를 제공하기 위해, 바디(228)에 배치되거나 또는 임베딩된 바이어싱 전극을 가질 수 있다. 대안적으로, 전극(223)은 기판의 정전 클램핑을 가능하게 하기 위해, 기판(221)에 대한 전기 바이어스 및 무선 주파수(RF) 전력(예컨대, 도 1에서의 RF 소스(165))에 대한 접지 경로 양자 모두를 제공할 수 있다.
[0037] 기판(121)에 전기 바이어스를 제공하기 위해, 전극(223)은 전극(223)에 바이어싱 전압을 공급하는 전력 공급 시스템(280)과 전자적으로 소통할 수 있다. 전력 공급 시스템(280)은 전극(223)에 DC 신호를 공급하기 위해 직류(DC) 전력 소스일 수 있는 전력 공급부(276)를 포함한다. 일 실시예에서, 전력 공급부(276)는 24 볼트 DC 전력 공급부이고, 전기 신호는 양 또는 음의 바이어스를 제공할 수 있다. 전력 공급부(276)는 전력 공급부(276)로부터의 전기 신호를 증폭시키기 위해 증폭기(279)에 커플링될 수 있다. 증폭된 전기 신호는 커넥터(282)에 의해 전도성 부재(286)로 이동하고, 전력 공급 시스템(280)으로부터의 바이어싱 전압으로부터의 임의의 RF 전류를 제거하고 그리고/또는 노이즈를 제거하도록, 증폭된 신호를 필터링하기 위해, 전기 회로(277)의 네트워크를 통해 이동할 수 있다. 기판(121)의 정전 클램핑을 가능하게 하기 위해, 증폭된 및 필터링된 전기 신호는 기판(121) 및 전극(223)에 제공된다. 전극(223)은 또한, RF 접지로서 기능할 수 있고, 여기에서, RF 전력은 커넥터(281)에 의해 접지에 커플링된다. 캐패시터(278)가 바이어싱 전압이 접지로 가는 것을 방지하기 위해 접지 경로에 커플링될 수 있다. 이러한 방식으로, 전극(223)은 기판 바이어싱 전극 및 RF 리턴 전극으로서 기능한다.
[0038] 몇몇 실시예들에서, 기판(121)은 바디(228)의 상단 표면(202)과 부분적으로 접촉할 수 있고, 그에 따라, 사실상 캐패시터인 접촉 갭(230)이 형성될 수 있다. 요구되는 척킹 력을 효과적으로 생성하는 척킹 전압이 접촉 갭(230)에 인가된다. 동작 시에, 전력 공급부(276)는 전하의 소스의 역할을 하고, 전극(223)으로부터, 저장된 전하는 유한한 전기 전도율의 (비다(228)의) 벌크 재료를 통해 바디(228)의 상단 표면(202)으로 이동할 수 있다. 그 후에, 표면 전하는 동등한 양지만 반대의 극성을 갖는 전하를 기판(121)의 바닥 상에 유도하고, 여기에서, 반대 전하들 사이의 쿨롬 인력들이 상단 표면(202)에 대하여 기판(121)을 효과적으로 홀딩하게 될 것이다. 기판(121)의 바닥 상의 유도된 표면 전하들 중 일부는, 전도성 챔버 벽인 공통 접지 연결을 통해, 전력 공급부(276)의 다른 단부와 기판(221)의 상단 표면(202) 사이의 접촉 연결로부터 유래될 수 있다. 그러한 연결은, 접촉 갭(230)에 척킹 전압 및 전하들을 공급하도록 전류 루프를 폐쇄하기 위해 전도성 매체들로서 행당하는 챔버 측벽들(예컨대, 도 1에서 도시된 측벽들(112))과 기판(121) 사이에 플라즈마를 스트라이킹하고 지속시킴으로써 형성될 수 있다. 정전 척으로부터 기판을 해제하는 것은, 바디(228)에 포함된 전하들과 함께, 전극(223)에 공급되는 척킹 전압을 제거함으로써 달성되고, 기판(121) 상의 전하들이 고갈될 때가지, 얼마 동안은, 플라즈마가 계속 유지된다.
[0039] 바디(228)의 상단 표면(202)에 축적된 표면 전하에 대하여, 이는 반전도성 재료들의 유한한 전도율로 인한 전하 이동의 직접적인 결과이다. 이는 반대 극성의 전하들을 더 근접하게 하여, 접촉 갭을 효과적으로 감소시킨다. 정전 척킹 력이 접촉 갭 전압의 제곱에 비례하고, 접촉 갭 높이의 제곱에 반비례한다는 것이 인식된다. 따라서, 그렇지 않으면 동등하게 될 ESC 설계에 대해, 전하 이동은 주어진 척킹 전압에서 척킹 력을 증가시키는 것을 돕는 것으로 예상된다. 즉, (아래에서 설명될 AlN과 같은) 더 높은 전도율의 재료들은 더 낮은 전도율에서의 척킹 력과 비교하여 더 높은 척킹 력을 나타낼 수 있다. 전하 이동의 이러한 현상은 종종, 존센 및 라벡(J-R) 효과라고 지칭된다. 본 개시내용에 대해 특정한 온도 체제에서, AlN 유전체 재료들은 높은 전도율 또는 낮은 저항률을 나태나고, 그에 따라, 개시된 고온 ESC 구현을 J-R 효과 척의 카테고리에 배치한다. 쿨롬 효과 척은 J-R 카테고리와 반대이고, 그러한 쿨롬 효과 척에서, 유전체 재료들은 훨썬 덜 전도성이거나 또는 심지어 전도성이 아니고, 그에 따라, 동등한 척킹 력에 도달하기 위해 더 높은 척킹 전압을 요구한다.
[0040] 기하형상, 갭 높이, 유효 접촉 면적, 표면 거칠기, 및 기판 및 재료(예컨대, AlN)의 저항률은 모두, 요구되는 척킹 력을 효과적으로 생성하는 ESC 전압의 상당한 부분이 접촉 갭(230)에 인가되도록, 전하의 양 및 접촉 캐패시터 상의 그러한 전하의 분포를 결정하는데 있어서 중요한 역할을 한다는 것이 고려된다. 충분한 척킹 력은, 최소의 또는 수 초 미만의 시간에 기판을 클램핑할 수 있고, 기판 프로세싱 동안에 클램핑 력을 지속시킬 수 있는 것일 수 있다. 대부분의 경우들에서, 충분한 척킹 력은 약 1x10-2 토르 내지 1x102 토르이다. 접촉 갭 캐패시터를 충전 및 방전시키는 시상수는 또한, 기판을 완전히 척킹하기 위한 시간 및 정전 척으로부터의 후속적인 해제를 위한 시간을 결정한다. 필요에 따라, 프로세싱 레시피의 특정한 단계들에서, 또는 전체 기판 프로세싱 동안에, 일정한 척킹 전압을 유지하기 위해, ESC 전력 공급 전류가 요구된다. 대부분의 경우들에서, 접촉 갭 전압의 파형은 유리하게, 최소의 상승 및 하강 시간을 포함하고, 그 사이에 실질적으로 평탄한 부분이 있고, 그 값은 인가되는 ESC 공급 전압의 상당한 부분에 접근한다. 이러한 타입의 파형은, 동일한 등급의 재료들을 사용하는 경우에, 동작 온도의 넓은 범위(예컨대, -20 ℃ 내지 약 850 ℃)에 걸쳐 만족되지 않을 수 있다. 이는, 바디(228)에서 사용될 유전체 재료들의 온도 의존적인 성질로 인한 것이다. 도 3에 대하여 아래에서 더 상세히 논의될 바와 같이, 특정한 제조 프로세스 하의 특정한 등급의 AlN 재료들의 체적 저항률은, 실온으로부터 750 ℃까지, 수 자릿수만큼 변화될 수 있다. 따라서, 예컨대 고온 애플리케이션들에서의 특정한 동작 온도 체제에 대해 특정한 특징들을 갖는 적절한 등급의 재료들을 선택하기 위해, 바디(228)의 최적화가 필요하다.
[0041] 본 개시내용의 다양한 실시예들에서, 바디(228)는, 약 -20 ℃ 내지 약 850 ℃, 예컨대 약 350 ℃ 내지 약 700 ℃, 예를 들어 약 650 ℃의 온도 범위에서 기판에 충분한 척킹 력을 제공할 수 있는 세라믹 재료를 포함하거나 또는 그러한 세라믹 재료로 구성된다. 특히, 바디(228)는 일반적으로 다음의 특징들 중 하나 또는 그 초과를 제공한다: (1) 고온 프로세스 동안의 열 팽창에서의 차이에 의해 야기되는 응력을 견디기 위한 비교적 높은 기계적인 강도 및 강성; (2) 높은 온도들에서의 바디(228)에서의 낮은 누설 전류를 보장하기 위한 약 1x106 옴-cm 내지 약 1x1017 옴-cm의 체적 저항률 값 및 높은 순도(≥ 99 atm%); 및 (3) 훌륭한 전도율을 제공하기 위한 약 60 60 W/m-K의 열 전도율 값. 몇몇 실시예들에서, 바디(228)는 8 내지 10의 비유전율을 가질 수 있다. 적합한 세라믹 재료들은 질화 알루미늄(AlN), 알루미늄 산화물(Al2O3), 실리콘 이산화물(SiO2), 또는 다른 세라믹 재료들을 포함할 수 있다(그러나 이에 제한되지 않는다).
[0042] 대부분의 실시예들에서, 바디(228)는 AlN으로 구성된다. 일 실시예에서, 바디(228)는 위의 특징들 (1) 내지 (3)을 만족시키기 위해, Si, Fe, Ca, Mg, K, Na, Cr, Mn, Ni, Cu, Zn, 및 Y와 같은 하나 또는 그 초과의 불순물 엘리먼트들을 함유하는 특정한 등급의 AlN으로 구성된다. 특정한 등급의 AlN에 함유된 AlN의 양은 일반적으로 90 wt% 초과이다. 몇몇 실시예들에서, 바디(228)는 적어도 Si, Fe, Ca, 및 Y를 함유하는 특정한 등급의 AlN으로 구성된다. 다양한 예들에서, Si의 함유량은, 약 3 ppm 내지 약 48 ppm, 예컨대 약 3 ppm 내지 약 8 ppm, 약 8 ppm 내지 약 13 ppm, 약 13 ppm 내지 약 18 ppm, 약 18 ppm 내지 약 23 ppm, 약 23 ppm 내지 약 28 ppm, 약 28 ppm 내지 약 33 ppm, 약 33 ppm 내지 약 38 ppm, 약 38 ppm 내지 약 43 ppm, 약 43 ppm 내지 약 48 ppm일 수 있다. 일 실시예에서, Si의 함유량은 약 7 ppm 내지 약 39 ppm이다. Fe의 함유량은, 약 2 ppm 내지 약 16 ppm, 예컨대 약 2 ppm 내지 약 4 ppm, 약 4 ppm 내지 약 6 ppm, 약 6 ppm 내지 약 8 ppm, 약 8 ppm 내지 약 10 ppm, 약 10 ppm 내지 약 12 ppm, 약 12 ppm 내지 약 14 ppm, 약 14 ppm 내지 약 16 ppm일 수 있다. 일 예에서, Fe의 함유량은 약 4 ppm 내지 약 10 ppm이다. Ca의 함유량은, 약 5 ppm 내지 약 225 ppm, 예컨대 약 5 ppm 내지 약 25 ppm, 약 25 ppm 내지 약 45 ppm, 약 45 ppm 내지 약 65 ppm, 약 65 ppm 내지 약 85 ppm, 약 85 ppm 내지 약 105 ppm, 약 105 ppm 내지 약 125 ppm, 약 125 ppm 내지 약 145 ppm, 약 145 ppm 내지 약 165 ppm, 약 165 ppm 내지 약 185 ppm, 약 185 ppm 내지 약 205 ppm, 약 205 ppm 내지 약 225 ppm일 수 있다. 대부분의 예들에서, Ca의 함유량은 약 10 ppm 내지 약 180 ppm이다. 일 예에서, Ca의 함유량은 약 15 ppm이다. 다른 예에서, Ca의 함유량은 약 170 ppm이다. Y의 함유량은, 약 0.01 ppm 내지 약 805 ppm, 예컨대 약 0.01 ppm 내지 약 85 ppm, 약 85 ppm 내지 약 165 ppm, 약 165 ppm 내지 약 245 ppm, 약 245 ppm 내지 약 325 ppm, 약 325 ppm 내지 약 405 ppm, 약 405 ppm 내지 약 485 ppm, 약 485 ppm 내지 약 565 ppm, 약 565 ppm 내지 약 645 ppm, 약 645 ppm 내지 약 725 ppm, 약 725 ppm 내지 약 805 ppm일 수 있다. 일 예에서, Y의 함유량은 약 1 ppm 미만이다. 몇몇 예들에서, Y의 함유량은, 약 1 wt% 내지 약 9 wt%, 예를 들어 1 wt% 내지 약 3 wt%, 약 3 wt% 내지 약 6 wt%, 약 6 wt% 내지 약 9 wt%일 수 있다. 일 예에서, Y의 함유량은 약 3.3 wt%이다.
[0043] 몇몇 실시예들에서, 바디(228)는 Na 및 Cr을 더 함유할 수 있다. 그러한 경우에서, Na의 함유량은, 약 0.01 ppm 내지 약 5.4 ppm, 예컨대 약 0.01 ppm 내지 약 0.9 ppm, 약 0.9 ppm 내지 약 1.8 ppm, 약 1.8 ppm 내지 약 2.7 ppm, 약 2.7 ppm 내지 약 3.6 ppm, 약 3.6 ppm 내지 약 4.5 ppm, 약 4.5 ppm 내지 약 5.4 ppm일 수 있다. 일 예에서, Na의 함유량은 약 2 ppm이다. 다른 예에서, Na의 함유량은 약 1 ppm 미만이다. Cr의 함유량은, 약 0.01 ppm 내지 약 3 ppm, 예컨대 약 0.01 ppm 내지 약 0.6 ppm, 약 0.6 ppm 내지 약 1.2 ppm, 약 1.2 ppm 내지 약 1.8 ppm, 약 1.8 ppm 내지 약 2.4 ppm, 약 2.4 ppm 내지 약 3 ppm일 수 있다. 일 예에서, Cr의 함유량은 약 1 ppm이다. 바디(228)는 Mg, K, Mn, Ni, Cu, 및 Zn과 같은 부가적인 불순물 엘리먼트들을 함유할 수 있다. 그러한 경우에서, 각각의 부가적인 불순물 엘리먼트의 함유량은 약 1 ppm 미만일 수 있다.
[0044] 본원에서 설명되는 불순물 엘리먼트 함유량들 및 재료로 구성된 바디(228)는 다음의 기계적인 특성들을 제공하는 것으로 예상된다: (1) 약 99 atm%와 동등한 또는 그 초과의 순도; (2) 약 3.15 g/cc 내지 약 3.45 g/cc, 예를 들어 약 3.33 g/cc의 벌크 밀도; (3) 약 60 W/m-K 내지 190 W/m-K, 예를 들어 약 170 W/m-K의 열 전도율; (4) 약 5.2x10-6/℃ 내지 약 5.9x10-6/℃, 예를 들어 약 5.7x10-6/℃의 라이너 열 팽창 계수(1000 ℃); (5) 약 250 MPa 내지 약 500 MPa, 예를 들어 약 400 MPa의 굽힘 강도; (6) 약 250 GPa 내지 약 350 GPa, 예를 들어 약 300 GPa의 영률; 및 (7) 약 900 Hv 내지 약 1300 Hv, 예를 들어 약 987 Hv의 비커스 경도.
[0045] 바디(228)에 대해 사용될 수 있는 예시적인 특정한 등급의 AlN의 조성들이 아래의 표 1에서 열거된다.
Figure 112017025806193-pct00001
[0046] 도 3은 특정한 제조 프로세스 하의 재료들(1 내지 5)로 구성된 바디(228)의 체적 저항률 값들과 온도 사이의 관계를 예시하는 그래프이다. 보이는 바와 같이, 재료들(1 내지 5)의 체적 저항률 값들은, -10 ℃로부터 약 700 ℃까지, 수 자릿수만큼, 1x106 옴-cm 내지 약 1x1017 옴-cm의 범위에서 변화된다. 구체적으로, 도 3은, 동작 온도가 선형적으로 증가되는 경우에, 재료들(1 내지 5)의 체적 저항률이 거의 지수적으로 내려가는 것을 도시한다. ESC 재료의 체적 저항률 값이 1x1016 옴-cm보다 큰 경우에, 저압 인가 시의 누설 전류는 충분한 척킹 력(예컨대, 1x10-3 토르 내지 1x103 토르)을 획득하기에 너무 작게 될 것인 반면에, ESC 재료의 체적 저항률 값이 1x106 옴-cm 미만인 경우에, 누설 전류는 과도하게 될 것이고, 척킹된 기판 상의 회로들의 파괴를 야기할 것이라는 것이 관찰되었다. 따라서, 충분한 척킹 력을 제공하기 위해, 바디(228)의 체적 저항률 값을 1x106 옴-cm 내지 약 1x1016 옴-cm의 범위에 있도록 하는 것이 유리하다. 정전 척들이 재료들(1 내지 5)로 구성되었고 테스트되었던 경우에, 재료들(1 내지 5)이 약 -5 ℃ 내지 약 700 ℃의 넓은 온도 범위에서의 척킹 력들을 생성하였던 것이 관찰되었다. 특히, 각각, 약 1x107 옴-cm 내지 약 1x1015 옴-cm(약 250 ℃ 내지 약 650 ℃), 및 약 1x108 옴-cm 내지 약 1x1015 옴-cm(약 250 ℃ 내지 약 700 ℃)의 체적 저항률 값을 갖는 재료(1) 및 재료(5)로 구성된 바디(228)는, 약 250 ℃ 내지 약 700 ℃의 높은 온도 범위에서 충분한 척킹 력(예컨대, 1x10-3 토르 내지 1x102 토르)을 제공할 수 있다. 170 W/m-K의 열 전도율 값을 갖는 재료들(1 및 5)로 구성된 정전 척들이 650 ℃ 동작 온도에서 5 ℃ 온도 범위 또는 변화를 달성하는 것을 돕는다는 것이 개발 프로세스를 통해 본 발명자들에 의해 또한 인식되었다. 재료들(1 내지 5)로 구성된 정전 척들만이 예시되지만, PECVD 반도체 제조 프로세스 또는 다른 유사한 반도체 제조 프로세스에서, 약 25 ℃ 내지 약 700 ℃의 넓은 온도 범위, 특히, 약 200 ℃ 내지 약 650 ℃의 더 높은 온도들에서 효과적인 척킹 력을 제공하기 위해, 본 개시내용의 다양한 실시예들에서 설명되는 바와 같은 다른 재료들로 구성된 정전 척들이 또한 사용될 수 있다.
[0047] 도 2를 다시 참조하면, 몇몇 실시예들에서, 바디(228)는 바디(228)에 열을 제공하기 위해 하나 또는 그 초과의 임베딩된 가열기들(288)을 포함할 수 있다. 그 후에, 가열기(288)로부터의 열은 증착 프로세스와 같은 제작 프로세스를 향상시키기 위해 기판(121)에 전달된다. 가열기(288)는 전극(223)에 대해 평행하게 위치될 수 있거나 또는 그렇지 않을 수 있다. 가열기(288)가 전극(223) 아래의 위치에 있는 것으로 도시되지만, 전극은 가열기(288)와 동일한 평면을 따라 또는 가열기(288) 위에 배치될 수 있다. 가열기(288)는 단일의 연속적인 금속 라인일 수 있거나, 또는 별개의 금속 라인들의 형태로 이루어질 수 있다. 가열기(288)는 정전 척에 유도성 또는 저항성 가열을 제공하는데 적합한 임의의 가열 디바이스일 수 있다. 열전대와 같은 온도 센서(미도시)가 바디(228)에 임베딩될 수 있다. 온도 센서는 바디(228)의 온도를 제어하기 위해 전력 공급부(283)에 제어 신호를 제공하는 온도 제어기(미도시)에 연결될 수 있다.
[0048] 가열기(288)는 가열기(288)에 전력을 공급하기 위해 지지 스템(226)을 통해 전력 공급부(283)에 커플링된다. 전력 공급부(283)는 직류(DC) 전력 소스, 교류(AC) 전력 소스, 또는 이들 양자 모두의 조합을 포함할 수 있다. 일 실시예에서, 전력 공급부(283)는 가열기(288)에 AC 신호를 제공하기 위해 교류(AC) 전력 소스이다. 가열기(288)는 저항성 금속, 저항성 금속 합금, 또는 이들 둘의 조합으로 구성될 수 있다. 가열 엘리먼트들을 위한 적합한 재료들은 텅스텐(W), 몰리브덴(Mo), 티타늄(Ti) 등과 같은 높은 열 저항을 갖는 것들을 포함할 수 있다. 가열기(288)는 또한, 미스매칭된 열 팽창에 의해 야기되는 응력을 감소시키기 위해, 바디(228)를 구성하는 재료의 열 특성들과 실질적으로 유사한, 예컨대 열 팽창 계수와 같은 열 특성들을 갖는 재료로 제작될 수 있다.
[0049] 가열기(288)는, 동작 온도, 뿐만 아니라, 기판(121) 및 바디(228)의 상단 표면(202)에 걸친 그러한 가열기(288)의 균일성을 제어하기 위해, 임의의 미리 결정된 패턴들로 배열될 수 있다. 예컨대, 가열기(288)는, 단일 가열 존, 또는 바디(228)의 상단 표면(202)에 걸친 다수의 독립적으로 방위각으로 제어가능한 가열 존들을 제공하도록 배열될 수 있다. 가열기(288)의 위치 및 레이아웃은 동작 온도 및 온도 분포, 또는 척 표면에 걸친 온도 프로파일에 직접적으로 영향을 미친다. 그러한 온도 프로파일은 시간의 기간에 걸쳐 실질적으로 일관될 수 있거나, 또는 가열기 엘리먼트들 각각으로의 전력을 동적으로 조정함으로써 상이하지만 효과적인 온도 프로파일로 변화될 수 있다. 바디(228) 내부에 임베딩된 인 시튜 온도 센서들에 기초한 폐쇄 루프 온도 제어가 기판 표면 및 바디(228)에 걸친 온도 기울기 및 정확한 동작 온도를 유지하기 위해 사용될 수 있다. 프로세스 요건에 따라, 척킹 기능과 조합된 1개, 2개, 3개, 4개, 또는 그 초과의 존 가열기들과 같은 상이한 가열기 존 구성이 가능하다는 것이 고려된다. 막 증착 동안의 동작 온도, 뿐만 아니라, 가열기(228)의 위치 및 레이아웃은, 막의 두께, 균일성, 응력, 유전 상수, 또는 굴절률들 등을 제어하기 위해, 당업자에 의해 조작될 수 있다.
[0050] 몇몇 실시예들에서, 전기 회로(277)의 네트워크는, ESC 유전체 재료들을 통해 가열기(288) 및 척킹 전극에 커플링될 수 있는 AC 및 리액티브 RF 전압 및 전류에 대하여 가열기(288)에 대한 그리고 ESC에 대한 전력 공급부들을 보호하도록 구성될 수 있다. 그러한 커플링은 각각의 AC 및 RF 부하를 핸들링하도록 설계되지 않은 AC 전력 소스들 또는 DC 전력 공급부들에 대해 유해할 수 있다. 가열기들에 대한 AC 전력 라인들 및 ESC 전력 공급부를 보호하기 위해, 전기 회로의 네트워크는, 그러한 전기 회로의 네트워크가 보호하는 부하로 RF 전압 및 전류가 가는 것을 최소화하거나 또는 방지하기 위해, 높은 입력 임피던스를 갖는 RF 필터 회로를 포함할 수 있다. RF 필터 회로는 동작 주파수에 따라 좌우될 수 있다. 예컨대, 13.56 MHz에서, 간단한 LC 병렬 공진 회로가 고 임피던스 회로로서 고 전압 측에 나타나고, 따라서, RF 주파수에 대한 개방 회로로서 작용할 수 있지만, DC 및 다른 주파수들을 통과시키는 것으로 작용할 수 있다. 다수의 RF 주파수들이 수반되는 경우에서, 동작 주파수 각각에서 최소의 RF 임피던스 요건을 만족시키기 위해, 다수의 필터 스테이지들이 사용될 수 있다.
[0051] 온도 체제의 높은 단부(예컨대, 700 ℃) 근처에서 동작하는 ESC에 관련된 특정한 필터 요건이 존재할 수 있다. 이전에 논의된 바와 같이, AlN 재료들의 저항률은 높은 온도에서보다 훨씬 더 낮게 되고, 이는 가열기들(288)과 임베딩된 척킹 전극(223) 사이의 증가된 커플링을 초래할 수 있고, 이는 이들이 물리적으로 근접하기 때문이다. 따라서, 가열기 회로의 AC 라인 측에서 주로 나타나는 더 낮은 주파수 신호들이 AlN 유전체 척킹 재료들을 통해 척킹 전극에 커플링될 수 있고, 척킹 전압에 영향을 미칠 수 있다. 더 낮은 주파수 신호들의 예들은 50 Hz 또는 60 Hz에서의 라인 주파수이다. 몇몇 경우들에서, 라인 전압의 상당한 부분이 척킹 전극에 커플링될 수 있다. 그러한 경우에서, DC ESC 공급부는 노이즈에 대한 부하로서 작용할 것이고, 이는, 상업적으로 입수가능한 대부분의 DC 전력 공급부들이 AC 부하를 취하도록 설계되지 않기 때문에, 바람직하지 않을 수 있다. AlN 재료들의 저항률이 훨씬 더 낮은 더 높은 온도들에서 AC 커플링 문제가 심각할 수 있기 때문에, 몇몇 실시예들에서, 50 Hz 또는 60 Hz AC 라인 주파수들에 대해 설계된 EMI 필터와 같은 부가적인 AC 라인 필터들이 척킹 전극에 대한 저 주파수 노이즈 커플링을 감소시키고, ESC 공급부를 보호하기 위해 사용될 수 있다.
[0052] 몇몇 경우들에서, 다수의 RF 주파수 및 더 낮은 주파수 필터들의 구현은, 필요에 따라, 각각의 회로 브랜치 상에서, 이들이 직렬인지, 병렬인지, 또는 임의의 조합 포맷인지에 따라 필요할 수 있다. 몇몇 실시예들에서, 27 MHz 고 임피던스 필터와 직렬인 단일의 13.56 MHz 고 임피던스 필터가 임베딩된 가열기(288)에 대해 제조된 연결 라인 각각 사이에 삽입될 수 있는 반면에, RF 필터들과 직렬인 하나의 부가적인 저 주파수 EMI 필터가 ESC 전력 공급부(276)와 임베딩된 ESC 전극(223) 사이에 삽입될 수 있다. 임의의 또는 모든 적절한 조합들에서의 입력 임피던스 값들, 대역폭, 컷-오프 주파수들, 주파수 응답 커브들, 및 감쇠도 등과 같은 다양한 필터 토폴로지들이 애플리케이션에 따라 제어될 수 있다. 전기 회로(277)의 네트워크는, 챔버 환경 내부에 있던지 또는 외부에 있던지, 근접하게 있던지, 또는 이들이 보호하도록 설계된 소스들로부터 이들이 멀리 떨어져 있도록 원격으로 있던지와 무관하게, 정전 척에 대하여 임의의 적절한 위치들에 배치될 수 있다. 필터 요건 및 구현이 시스템 요건에 따라 고도로 좌우되고, 위에서 설명된 예들로 제한되지 않을 수 있지만, 예외 없이, 현재 개시되는 방법 및 구현에 기초한 파생물들로서 고려되어야 한다는 것이 더 인식된다.
[0053] 본 개시내용의 몇몇 실시예들이 임의의 주파수들의 RF 전력에 의해 가열기가 능동적으로 구동되지 않는 ESC의 구현을 설명하지만, 그러한 RF 구동 시나리오들이 본 개시내용의 파생물일 수 있다는 것이 고려되고, 이는, 설명되는 높은 온도 하의 척킹의 진정한 원리는, 챔버의 가열기 측으로부터 구동되는 능동적인 RF 전력이 존재하는 것과 무관하게 동일하게 유지되기 때문이다. RF 필터 설계 및 세라믹 재료 선택과 같은 ESC에 관련된 모든 양상들은, 동일한 메시 전극에 동시에 DC 척킹 전압이 인가되면서 RF 메시 상에서 어떤 RF 전압 및 전류가 유지되는지와 무관하게, 또는 챔버의 가열기 측으로부터의 RF 구동이 존재하거나 또는 존재하지 않는지(즉, 메시 측오루?맛? 능동적인 RF 구동을 갖거나 또는 갖지 않는 ESC)와 무관하게, 동일하게 유지된다. 척킹 전극 상에 나타나는 RF 전압 및 전류의 레벨의 경우에서, RF 전압 또는 전류, 또는 양자 모두는, 바닥 및 가열기 측 대신에 상단 전극오루?? RF 구동이 유래하는 경우의 것들과 상이하거나 또는 더 높을 수 있다는 것이 인식된다. 그에 따라, 이전에 설명된 보호 회로에 대한 요건들은 격리의 동일한 레벨에 도달하도록 그에 따라 변화될 수 있다. 즉, 특정한 동작 주파수 또는 주파수들에 대한 입력 임피던스는, 상단 구동 RF 전극들로부터의 것들에 대응하는 누설 RF 전압 또는 전류의 동일한 레벨을 달성하도록 더 높을 수 있다.
[0054] ESC 척킹 누설 전류 경로가 가열기(288)를 통해 접지로, 그리고/또는 바디(228)의 표면/기판을 통해 플라즈마 및 접지로 설정될 수 있다는 것이 관찰되었다. ESC 전류의 모든 또는 상당한 부분이 기판을 통해 접지로 가는 경우에, 이는 기판 상에 있는 디바이스 구조들에 전기적인 손상을 유발하게 될 임계치를 초과하는 것이 가능할 수 있다. 그러한 전기적인 손상은 차징 손상 및/또는 절연 층 파괴를 포함할 수 있다. 높은 동작 온도 하에서 ESC 전류를 최적화하기 위한 하나의 접근법은 더 높은 저항률의 유전체 재료들을 사용하는 것이다. 바디(228)를 제조하기 위한 재료들(1 내지 5)의 컬렉션의 전기 특성들을 포함하여 도 3에 대하여 이전에 논의된 바와 같이, 재료(5)는 1x108 옴-cm에서의 재료(1)의 체적 저항률과 비교하여, 약 600 ℃에서 1x1010 옴-cm의 체적 저항률을 갖는 것으로 나타나고, 그에 따라, 재료(5)는 재료(1)보다 더 낮은 ESC 전류를 나타낼 것이다. 총 ESC 전류가 플라즈마 리턴 경로를 통과하지 않고, 벌크 AlN 재료를 통해 그리고 그 후에 가열기(288)로 직접적으로 접지로 갈 수 있는 경우에서, ESC 전류의 이러한 부분은 더 높은 AlN 저항률에서 감소될 것이고, 플라즈마를 통해 접지로 가는 부분도 마찬가지이다.
[0055] 가열기(288)를 통해 접지로 가는 ESC 척킹 누설 전류를 감소시키는 다른 접근법은 접지 전위에 대하여 가열기(288)를 플로팅하는 것이다. 이러한 방법은, 바디(228)에 대한 벌크 유전체 재료들의 저항률과 무관하게, 접지 전류의 부분을 완전히 제거하는 것으로 생각된다. 그러한 DC 격리를 구현하는 예는, 전력 공급부(283)와 가열기들(288) 사이에 배치된 격리 트랜스포머(246)를 통해 50 Hz 또는 60 Hz의 AC 라인들에 의해 가열기(288)에 전력공급하는 것이다. 격리 트랜스포머(246)는 접지 전류 경로를 컷오프하기 위해 사용된다. 그러한 경우에서, 격리 트랜스포머(246)는 일차 코일 와인딩들(247) 및 이차 코일 와인딩들(249)을 포함할 수 있다. 일차 코일 와인딩들(247)은 전력 공급부(283)에 연결될 수 있는 한편, 이차 코일 와인딩들(249)은 보호되도록 노력되는 전기 부하(즉, 가열기(288))에 연결될 수 있다. 따라서, 가열기(288)는, 누설 전류를 감소시키기 위해, 외부 소스로부터의 임의의 과도 전류(transient) 및 AC 전압 소스로부터 전기적으로 격리될 수 있다. 대부분의 경우들에서, 격리 트랜스포머(246)는 파괴되지 않으면서 최대의 ESC 전압을 견디는 한편, 그러한 격리 트랜스포머(246)의 일차 및 이차 코일 와인딩들에 걸쳐 DC 전류를 허용하지 않도록 설계되어야 한다. 한편으로, 그러나, AC 전류는 격리 트랜스포머(246)의 일차 및 이차 코일 와인딩들 사이에서 자유롭게 통과할 수 있다. 가열기(288)의 구성에 따라, 격리 트랜스포머(246)는 단일-존 또는 다수-존 격리된 트랜스포머일 수 있다. 정전 척이 다수의 가열 존들을 포함하는 경우들에서, 다수의 일차 및/또는 이차 코일 와인딩들을 갖는 단일 트랜스포머 또는 다수의 트랜스포머들이 가열기들과 접지 사이의 DC 격리를 유지하기 위해 사용될 수 있다.
[0056] ESC 척킹 누설 전류를 감소시키는 또 다른 접근법은 바디(208)의 상단 표면(202) 상에 고 저항률 또는 절연성 재료들의 층을 제공하는 것이고, 이는, 플라즈마를 통해 챔버 접지로 누설되는 DC 전류를 상당히 감소시키거나 또는 컷오프하게 될 것이다. 그러한 절연성 층은, 임의의 가능한 열 사이클들을 견딜 뿐만 아니라 동작 온도 하에서 벌크 유전체 재료들에 대한 우수한 접착을 가지면서, 동작 온도에서 바디(208)의 벌크 유전체 재료들과 비교하여 훨씬 더 높은 저항률을 나타낼 필요가 있고, 접지로의 DC 전류 경로가 될 수 있는 보이드들 또는 핀홀들이 없을 필요가 있다. 그러한 절연성 층은, 더 높은 주파수들에서의 전압들, 즉, 단일 또는 다수의 RF 주파수들의 AC 라인 전압 및 RF 전압과의 임의의 가능한 중첩 없이 또는 그러한 중첩을 가지면서, 최대의 DC 척킹 전압을 겪는 경우에, 동일한 또는 충분한 격리 조건들을 지속시킬 필요가 있을 수 있다. 그러한 격리 층은 적격인 코팅 프로세스를 통해 영구적으로 ESC 내에 제조될 수 있거나, 또는 챔버 환경 내부에서, 한번 또는 반복적으로, 증착 프로세스가 시작하기 전에 인 시튜로 생성될 수 있다. DC 절연의 인 시튜 층의 경우에서, 두께, 커버리지의 면적, 및 막 조성은, 그러한 층이 시간에 걸쳐 마모 또는 열화될 수 있는 경우에, 시간의 충분한 기간에 걸쳐 충분한 격리를 달성하도록 제어될 수 있다.
[0057] 절연성 층은 유전체 재료 또는 세라믹 재료와 같은 절연성 재료로 제작될 수 있다. 세라믹 재료들 또는 유전체 재료들의 적합한 예들은, 실리콘 산화물, 예컨대 석영 또는 유리, 알루미늄 산화물(Al2O3), 질화 알루미늄(AlN), 실리콘 질화물(SiN), 이트륨 함유 재료들, 이트륨 산화물(Y2O3), 이트륨-알루미늄-가넷(YAG), 티타늄 산화물(TiO), 티타늄 질화물(TiN), 실리콘 탄화물(SiC), ASMY(알루미늄 산화물 실리콘 마그네슘 이트륨), Y2-xZrxO3(Y2O3-ZrO2 고용체)의 고용체와 Y4Al2O9(YAM)의 화합물로 구성된 고성능 재료(HPM), 마그네슘 산화물(MgO), 지르코니아(ZrO2), 티타늄 탄화물(TiC), 붕소 탄화물(BxCy), 붕소 질화물(BN), 및 동일한 격리 요건을 만족시킬 수 있는 다른 유사한 또는 상이한 특성들을 포함할 수 있다. 타타니아 도핑된 알루미나 또는 칼슘 도핑된 질화 알루미늄 등과 같은 도핑된 세라믹이 또한 사용될 수 있다.
[0058] 도 4a 내지 4d는 개시물의 실시예들에 따른 절연 층(232)의 상이한 배열들을 갖는 정전 척(238)의 개략적인 단면도들을 예시한다. 도 4a는 절연 층(232)이 전체 바디(228)의 외부 표면 상에 코팅되는 것을 보여준다. 도 4b는 절연 층(232)이 바디(228)의 전체 바닥 표면(234) 상에 코팅되는 것을 보여준다. 일 예에서, 절연 층(232)은 바디(228)의 주변 에지(238) 및 쇼울더(shoulder)(236)를 덮도록 바닥 표면(234)으로부터 위쪽으로 연장될 수 있다. 주변 에지(238)는 상단 표면(202)의 표면적의 약 2 % 내지 약 15 %를 덮을 수 있다. 도 4c는 절연 층(232)이 바디(228)의 전체 바닥 표면(234) 및 측면(240) 상에 코팅되는 것을 보여준다. 일 예에서, 바디(228)의 주변 에지(238)는 유전체 링(242)에 의해 덮일 수 있다. 몇몇 실시예들에서, 도 4a 및도 4c의 지지 스템(226)의 노출된 표면은 절연 층(232)으로 선택적으로 코팅될 수 있다. 도 4d는 절연 층(232)이 바디(226)의 전체 바닥 표면(234) 및 지지 스템(226)의 노출된 표면 상에 코팅되는 것을 보여준다. 일 예에서, 절연 층(232)은 바디(228)의 주변의 에지(238) 및 쇼울더(236)를 덮도록 바닥 표면(234)으로부터 위쪽으로 연장될 수 있다. 유전체 링(242)은 상기 논의된 절연 층(232)과 동일한 재료로 제조될 수 있다.
[0059] 몇몇 실시예들에서, 바디(228)의 상단 표면(202)은 척킹력 및 타이밍 성능에 영향을 미치기 위해 기판(121)의 후방 측면 영역에 가깝게 및/또는 접촉하여 배열될 수 있는 다양한 패턴의 표면 접촉부들(290)을 가질 수 있다. 상단 표면(202) 상의 표면 접촉부들(290)은 300mm 직경 기판에 대한 후방 측면 면적과 함께, 약 45% 내지 약 70%(고 접촉 면적), 예컨대 약 65%, 약 15% 내지 약 45%(중간 접촉 면적), 예컨대 약 30%, and 약 0.01% 내지 약 15%(저 접촉 면적)로 치밀한 접촉(dense contact)을 할 수 있다. 다양한 파라미터들, 예컨대 벌크 페디스털 재료 특성들, 표면 접촉 면적, 동일하거나 동일하지 않은 접촉 아일랜드(contact island)들(종종 메사 아일랜드(Mesa island)로 지칭됨)을 포함하는 접촉의 임의의 특정 패턴, 메사 아일랜드 각각의 형상과 높이, 및 ESC 표면의 일부 또는 전부에 대한 균일한 또는 균일하지 않은 개수 밀도(number density) 및 상단 접촉 표면 피니시의 조도(Ra)와 같은, ESC 표면에 걸친 그들의 집단 분포는 임의의 주어진 또는는 모든 애플리케이션들에 대해 바람직한 척킹력을 달성하도록 최적화될 수 있다. ESC 접촉 표면 최적화 프로세스는 작동 온도, ESC 전압, ESC 전류 및 척 또는 방출하기 위한 시간에 따라, 하나의 애플리케이션 요건들에 대한 ESC 설계 또는 광범위한 애플리케이션 요건들에 대한 설계를 산출할 수 있다. 예를 들어, 하나의 최적화 프로세스는 최대 접촉 면적을 사용하여 최소 척킹 전압을 타겟으로 할 수 있는 반면, 또 다른 최적화 프로세스는 ESC 전력 공급 장치의 DC 척킹 전류를 최소화하는 것을 포함할 수 있다. ESC 어셈블리에 쉽게 통합될 수 있는 소형 폼 팩터 전력 ESC 공급 장치를 필요로 할 것이기 때문에, 척킹 전류를 낮추는 요건들은 전원 공급 장치 패키징 관점에서 유리할 수 있다. 낮은 척킹 전류를 유지하는 부가적인 장점은 ESC 벌크 재료들에 부과된 과도한 DC 전력을 최소화하여, 척킹과 관련된 DC 저항성 가열이 고온의 ESC 표면 상의 전체 온도 분포에 영향을 미치는 요인으로서 고려되지 않는 경우에 과도한 저항성 가열을 감소시키는 것이다. 다시 말해, ESC 표면 온도의 평균 및 분포는 적용된 DC 척킹 전류의 유무에 관계없이 변경될 수 있어, 기판 온도의 드리프트를 초래할 수 있다.
[0060] 도 5는 본 발명의 실시예들에 따른 표면 접촉들(290)의 예시적인 배열을 도시한다. 표면 접촉들(290)은 기판의 후면에 걸친 실질적으로 균일한 압력과, 또한 표면 접촉들(290)과 기판(191) 사이의 척킹력의 실질적으로 균일한 분포를 허용하기 위해 도시된 바와 같이 도트형 구성으로 배열될 수 있다. 선택적으로, 표면 접점 (290)은 그리드형, 픽셀형 구성, 동심의 다중 링 구성, 또는 이들의 임의의 조합(도트형 구성을 포함함)과 같은 다른 적절한 구성들로 배열될 수 있다. 표면 접촉들(290)은 또한 직사각형 어레이, 육각형 어레이 또는 벌집형 어레이로 배열될 수 있다. 표면 접촉들(290)은 프로세스 체제에 따라 대칭 또는 비대칭 방식으로 배열될 수 있다. 일 실시예에서, 표면 접촉들(290)은 특정 표면 조도를 갖는 정사각형 아일랜드들이다. 표면 접촉들(290)는 기판 지지 표면의 표면적, 즉 바디(228)의 상단 표면(202)의 약 15 % 내지 약 45 %, 예를 들어 약 30 %를 차지한다. 따라서, 표면 접촉들(290)은 균일한 방식으로 기판 후면 영역의 약 15 % 내지 약 45 %, 예를 들어 약 30 %와 접촉한다. 몇몇 일예들에서, 표면 접촉들(290)은 균일한 방식으로 기판 후면 영역의 약 50% 내지 약 85%, 예를 들어 약 65 %와 조밀하게 접촉한다. 몇몇 일예들에서, 표면 접촉들(290)은 기판 후면 영역의 약 0.1% 내지 약 30%, 예를 들어 약 0.3 %와 희소하게(sparse) 접촉한다.
[0061] 표면 접촉들(290)은 직사각형, 마름모, 정사각형, 반구형, 육각형, 삼각형 돌출부 또는 상이한 형상의 돌출부의 혼합물과 같은 임의의 적합한 형상의 범프들 또는 돌출부들일 수 있다는 것이 고려된다. 대부분의 경우에, 표면 접촉들(290)은 각각 약 0.1 mm 내지 약 1 mm, 예를 들어 약 0.5 mm의 높이 및 약 0.05 mm 내지 약 5 mm, 예를 들어 약 1.5 mm 내지 약 3.5 mm의 길이 "D1", 및 약 0.05 mm 내지 약 5 mm, 예를 들어 약 1.5 mm 내지 약 3.5 mm의 폭 "D2"를 가질 수 있다. 이웃한 두 개의 표면 접촉들(290) 사이의 거리 "D3"는 약 0.1 mm 내지 약 0.6 mm, 예를 들어 약 0.5 mm일 수 있다. 총 척킹력은 주어진 클램핑 압력에 대한 유효 접촉 면적에 비례하므로, 요구되는 온도 균일성 사양을 달성하기 위해 고온 ESC의 열적 특성들에 대한 고려가 있어야 한다.
[0062] 몇몇 실시예들에서, 바디(228)는 헬륨과 같은 냉각 가스를 기판의 후면으로 분배하기 위하여 기판 (228)의 상단 표면 (202)에 걸쳐 또는 바디(228)의 주변을 향해 위치된 가스 유입구들, 가스 채널들 등을 포함할 수 있다. 가스 유입구들/채널들의 사이즈, 위치 및 패턴은 접촉 갭(230) 내에 가스를 분배하고, 압력 그래디언트들을 최소화하며, 기판(121)으로부터 바디(228) 로의 열 전달을 용이하게 한다. 가스 유입구들/채널들에 의해 제공되는 가스 압력은 약 1 Torr 내지 약 20 Torr, 또는 기판을 유지하는 클램핑력이 심각하게 감소되지 않도록 충분히 낮은 압력일 수 있다.
[0063] 몇몇 실시예들에서, 바디(228)는 척킹 이전에 기판 이동이 있는 경우, 객체들 또는 탭들(292) 내에 기판을 수용하기 위해 기판 에지 외부에 위치된 직립된 객체들 또는 탭들(292)의 그룹을 포함할 수 있다. ESC 표면에 대한 이러한 기판 이동은 열 쇼크, 또는 상이하거나 또는 훨씬 높은 온도에서 ESC 표면과의 접촉시 기판의 순각적인 열팽창으로 인해 가능할 수 있다. 기판 치수의 순간적 및 부분적 기계적 팽창은 실질적인 기판 변형을 초래하여, ESC 페디스털에 대한 기판 변위를 초래할 수 있다. 이러한 기판 변위는 기판이 부합하지 않는 프로세스 결과들 또는 최악의 경우의 기판 파손을 초래할 수 있기 때문에, 증착 프로세스 동안 기판이 변위된 채 남아있는 경우에 바람직하지 않다. 더 많거나 더 적은 객체들 또는 탭들(292)의 수도 고려되나, 객체들 또는 탭들(292)의 수는 약 3 내지 6, 또는 예를 들어 약 4일 수 있다. 상기 객체들 또는 탭들(292)은 바디(228)의 원주 둘레로 등간격으로 이격될 수 있다.
[0064] 상기 논의된 바와 같이, 기판은 고온에서 ESC 표면과 접촉시 기판의 열 쇼크 또는 열 팽창으로 인해 ESC 표면(즉, 상단 표면(202))에 대해 이동할 수 있다. 본 발명자들은 ESC 표면 온도와 동일하거나 실질적으로 가까운 온도로 기판을 예열하는 것이 열 쇼크를 최소화할 수 있음을 관찰하였다. 일 실시예에서, 기판을 예열하는 방법은 기판을 프로세스 챔버 내로 이송하기 전에 또는 증착 프로세스 이전에, 프로세스 챔버를 가열하는 단계를 포함할 수 있다. 프로세스 챔버는 열 전달의 소스로서 플라즈마 폭격(bombardment)들을 사용하여 인 시튜로(in situ) 예열하는 프로세스에 의해 가열될 수 있다. 인 시츄 예열 프로세스를 구현하는 일 예는 불활성 가스를 프로세스 챔버 내로 도입하고 낮은 RF 전력을 사용하여 불활성 가스로부터 플라즈마를 형성하는 것이다. 프로세스 챔버의 압력은 약 1 Torr 내지 약 15 Torr, 예를 들어 약 2 Torr 내지 약 10 Torr로 유지될 수 있다. 예열 프로세스는 약 1 초 내지 약 180 초 동안 수행될 수 있다. 그 후, 척킹 전압이 정전 척의 전극에 인가되고, PECVD 프로세스가 수행될 수 있다. 약 0.5 와트 내지 약 950 와트의 RF 전력 레벨은 불활성 가스의 저밀도 플라즈마를 점화 및 유지하는데 사용될 수 있다. 적합한 불활성 가스는 He, Ar, Xe, Ne, Kr, N2 또는 이들의 혼합물을 포함할 수 있다. 불활성 가스는 약 100sccm 내지 약 8000sccm, 예를 들어 약 250sccm 내지 약 6000sccm의 유량으로 흐를 수 있다. 일 실시예에서, 예열 프로세스는 프로세스 챔버를 가열하기 위해 저밀도 헬륨 플라즈마를 사용한다. 볼록 또는 오목 기판과 같은 비평면 기판은 PECVD 프로세스 이전에 예열 프로세스가 수행되는 경우, 2 초 내지 3 초 내에 확실하게 척킹되고 평탄해질 수 있다는 것이 관찰되었다. 기판의 중심 및 에지가 모두 척킹됨에 따라, 기판은 평탄화되고, 정전 척과의 보다 균일한 소통 상태에 있게 되며, 이는 증착된 재료들의 전체적인 두께 균일성을 증가시킨다. 예열 프로세스 후의 기판 온도가 ESC 표면 온도에 도달하거나 무시할만한 온도차를 갖도록 가스 종, RF 전력, 및 예열 시간이 최적화되어, 열 쇼크를 제거하거나 최소화할 수 있는 것으로 고려된다.
[0065] 대안적으로, 기판을 ESC 작동 온도로 예열하고 동일한 효과를 초래하기 위해, 접촉 열 전달 또는 복사 열 전달을 통한 적절한 가열 방법들이 이용될 수 있는 별도의 예열 챔버가 이용될 수 있다. 그러한 예열 챔버는 기판 이송을 위한 기존의 로드 록 챔버일 수 있는 반면, 가열 메커니즘이 구현된다.
[0066] 온도, ESC 전압, 전류 등과 같은 ESC 동작 파라미터들, 가스 화학적 성질(chemistry), 유량들, 압력, RF 전력 등과 같은 프로세스 파라미터들, 및 특히 각각의 파라미터들에 대한 타이밍 제어가 원하는 막 특성들 및 쓰루풋 요건들을 얻기 위해 선택될 수 있음이 인식되어야 한다. 상기 논의된 바와 같이 타이밍 제어의 일예는 기판이 열 쇼크를 최소화하기 위하여 ESC 표면 온도와 매칭되는 고온으로 (헬륨 플라즈마 폭격에 의해) 가열되어 척킹이 발생하기 전에 감소된 표면 응력을 초래하도록, ESC 전압을 턴온시키기 전에 RF 전력으로 프로세스 챔버 내의 헬륨 플라즈마를 타격 및 유지하는 것이다. 예시적인 척킹 시퀀스는최적의 막 증착 결과들을 위한 미리 결정된 레시피에 따라 상이한 ESC 전압들을 제공하는 것을 포함할 수 있으며, 여기서 휜 기판을 빠르게 척킹하고 평탄화하기 위하여 척킹 단계의 시작시에 스파이크 ESC 전압이 사용될 수 있는 한편, 클램핑력을 유지시키고 낮은 척킹 전압으로부터의 기판 해제를 위해 준비되도록 더 낮은 ESC 전압이 추후의 프로세스 단계들에 사용된다. 예를 들어, 약 800V의 스파이크 ESC 전압이 예비 척킹 단계에 대해 약 1 초 내지 약 45 초 동안 척킹 단계의 시작 시에 사용될 수 있고, 뒤이어 PECVD 프로세스에 대해 약 200V 내지 약 700V의 보다 낮은 ESC 전압이 약 35 초 내지 약 60 초 동안 사용될 수 있다. 몇몇 예들에서, 척킹 시퀀스는 3-단계 척킹 시퀀스일 수 있으며, 여기서 첫번째 두개의 척킹 단계들은 각각 약 800V의 ESC 전압을 약 1 초 내지 약 45 초 동안 사용하고, 이어서 세 번째 척킹 단계는 약 200V 내지 약 700V의 ESC 전압을 약 35 초 내지 약 65 초 동안 사용한다. 더 낮은 ESC 전압 또는 제 3 척킹 단계의 프로세싱 시간은 PECVD 프로세스에 따라 더 짧거나 더 길 수도 있다.
[0067] 본 개시물에서 설명된 바와 같은 장치는 반도체 제조 프로세스에서 리소그래피 애플리케이션들을 위한 유전체 재료들의 하드 마스크들에 대해 사용되는 진보된 패터닝 막(통상적으로 APF(Advanced Patterning Film)로 지칭됨)를 생성하는데 사용될 수 있다. 장치는 또한 메모리 디바이스용 유전체 재료들의 게이트 스택에 대해 사용되는, 통상적으로 계단 막(taircase film)들로 지칭되는 막 증착물들의 다중 층들을 생성하는데 사용될 수 있다. 하나의 예시적인 게이트 스택 막은 산화물 및 폴리실리콘 막들의 복수의 교번하는(alternative) 층들을 갖는다. 다른 예시적인 게이트 스택 막들은 산화물 및 질화물 막들의 복수의 교번하는 층들을 갖는다. 축적된 응력으로 인해 각각의 층이 이전 층 또는 층들 상에 증착되기 때문에, 실리콘 기판은 프로세스 중에 또는 프로세스 종료시에 휘어지게 되어, 필요한 보우(bow) 사양을 충족시키는데 실패하는 것을 초래할 수 있음을 인식할 것이다. 게이트 스택의 이상적인 보우 사양은 고온에서 복수의 교번하는 층들이 증착된 후의 중립적 보우 또는 중립적 응력이다. 예를 들어, 60개 층 게이트 스택 프로세스가 중립적 응력에 도달할 수 있다면, 더 많은 개수의 층들에서 특정 프로세스 조건들에 따라 악화된 웨이퍼 휨을 초래할 수 있다는 것이 일반적이다. 이로써, 이 개시물에 개시된 바와 같이 정전 척을 이용하는 증착 장치는 프로세스의 끝에 제어 된 웨이퍼 보우(wafer bow) 또는 응력으로 프로세싱할 수 있는 층의 수를 늘리는 것을 돕는다.
[0068] 본 개시물의 실시예들은 고온에서 플라즈마 반응기에서 프로세싱되는 기판의 평탄도를 유지하기 위한 향상된 정전 척(ESC)을 제공한다. 향상된 정전 척은 증착 또는 에칭 프로세스 동안 600 °C 또는 그 초과에서 최적화된 척킹 성능을 제공하는 특정 등급의 질화 알루미늄 재료, 또는 높은 작동 온도와 기판 클램핑 피처들을 모두 이용하는 임의의 다른 프로세스로 구성된다. 상기 향상된 정전 척은 상기 기판이 평평한지 또는 프로세싱 이전에 다양한 정도의 휨(bowing)을 보이는지 여부에 관계없이, 기판이 실질적으로 평평해지고 상기 ESC 표면에 대해 실질적으로 평행하게 유지되도록, 기판에 작용하는 충분한 클램핑력을 생성할 수 있는 것으로 밝혀졌다.
[0069] 전술한 내용은 본 개시물의 실시예들에 관한 것이지만, 개시물의 다른 실시예들 및 추가 실시예들은 발명의 기본 범위를 벗어나지 않고 고안될 수 있으며, 그 범위는 다음의 청구 범위에 의해 결정된다.

Claims (15)

  1. 정전 척(electrostatic chuck)으로서,
    지지 스템(stem)에 커플링된 척 바디 ― 상기 척 바디는 질화 알루미늄, 8 ppm의 실리콘(Si), 4 ppm의 철(Fe), 16 ppm의 칼슘(Ca), 1 ppm 미만의 이트륨(Y), 0.6 wt%의 마그네슘(Mg), 및 부가적인 불순물 원소들을 포함하며, 각각의 부가적인 불순물 원소의 함유량은 1 ppm이거나 그 미만이고, 상기 척 바디는 450 ℃ 내지 650 ℃의 온도에서 1x109 옴-cm 내지 1x1012 옴-cm의 체적 저항률 값을 가지며 3.15 g/cc 내지 3.45 g/cc 범위의 벌크(bulk) 밀도를 가짐 ―;
    기판 지지 표면을 형성하기 위해 상기 척 바디 상에 증착된 절연 층; 및
    상기 척 바디에 임베딩된(embedded) 전극
    을 포함하는,
    정전 척.
  2. 제 1 항에 있어서,
    상기 척 바디는 60 W/m-K 및 190 W/m-K의 열 전도율 값을 갖는,
    정전 척.
  3. 제 1 항에 있어서,
    상기 척 바디의 상기 기판 지지 표면은,
    0.05 mm 내지 5 mm의 길이 및 0.05 mm 내지 5 mm의 폭을 각각 갖는 복수의 정사각형-형상 돌출부들을 더 포함하는,
    정전 척.
  4. 제 1 항에 있어서,
    상기 척 바디는 8 내지 10의 비유전율을 갖는 질화 알루미늄(AlN)을 포함하는,
    정전 척.
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 제 1 항에 있어서,
    상기 기판 지지 표면은 이트륨 산화물, 이트륨-알루미늄-가넷, 또는 알루미늄 산화물 실리콘 마그네슘 이트륨으로 코팅되는,
    정전 척.
  10. 제 1 항에 있어서,
    상기 척 바디의 주변 에지 및 바닥 표면은 유전체 재료 또는 세라믹 재료로 제조된 절연성 층으로 코팅되는,
    정전 척.
  11. 제 1 항에 있어서,
    상기 척 바디의 주변 에지는 유전체 재료 또는 세라믹 재료로 제조된 유전체 링에 의해 덮이는,
    정전 척.
  12. 정전 척으로서,
    기판 지지 표면을 갖는 척 바디 ― 상기 척 바디는 450 ℃ 내지 650 ℃의 온도에서 1x109 옴-cm 내지 1x1012 옴-cm의 체적 저항률 값과, 3.15 g/cc 내지 3.45 g/cc 범위의 벌크 밀도와, 60 W/m-K 및 190 W/m-K의 열 전도율 값을 갖고, 상기 척 바디는 질화 알루미늄으로 구성되며 Si, Fe, Ca, Y, Mg, 및 부가적인 불순물 원소들을 더 포함하고, Si의 함유량은 8 ppm이며, Fe의 함유량의 4 ppm이고, Ca의 함유량은 16 ppm이며, Y의 함유량은 1 ppm 미만이고, Mg의 함유량은 0.6 wt%이며, 각각의 부가적인 불순물 원소의 함유량은 1 ppm이거나 그 미만임 ―;
    상기 기판 지지 표면 반대측의 상기 척 바디로부터 연장되는 지지 스템; 및
    상기 척 바디에 임베딩되고 상기 척 바디에 의해 둘러싸인 전극
    을 포함하는,
    정전 척.
  13. 정전 척으로서,
    기판 지지 표면을 갖는 질화 알루미늄 바디 ― 상기 질화 알루미늄 바디는 8 ppm의 실리콘(Si), 4 ppm의 철(Fe), 16 ppm의 칼슘(Ca), 1 ppm 미만의 이트륨(Y), 0.6 wt%의 마그네슘(Mg), 및 부가적인 불순물 원소들을 포함하며, 각각의 부가적인 불순물 원소의 함유량은 1 ppm이거나 그 미만이고, 상기 질화 알루미늄 바디는 450 ℃ 내지 650 ℃의 온도에서 1x109 옴-cm 내지 1x1012 옴-cm의 체적 저항률 값을 가지며 3.15 g/cc 내지 3.45 g/cc 범위의 벌크 밀도를 가짐 ―
    적어도 상기 기판 지지 표면의 주변 에지 위로 증착된 절연 층; 및
    상기 질화 알루미늄 바디에 임베딩되고 상기 질화 알루미늄 바디에 의해 둘러싸인 전극
    을 포함하는,
    정전 척.
  14. 제 13 항에 있어서,
    상기 질화 알루미늄 바디는 170 W/m-K의 열 전도율 값을 갖는,
    정전 척.
  15. 삭제
KR1020177007210A 2014-08-15 2015-08-12 플라즈마 강화 화학 기상 증착 시스템에서 높은 온도들로 압축 또는 인장 응력을 갖는 웨이퍼들을 프로세싱하는 방법 및 장치 KR102430454B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462038085P 2014-08-15 2014-08-15
US62/038,085 2014-08-15
PCT/US2015/044810 WO2016025573A1 (en) 2014-08-15 2015-08-12 Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system

Publications (2)

Publication Number Publication Date
KR20170042359A KR20170042359A (ko) 2017-04-18
KR102430454B1 true KR102430454B1 (ko) 2022-08-05

Family

ID=55302688

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177007210A KR102430454B1 (ko) 2014-08-15 2015-08-12 플라즈마 강화 화학 기상 증착 시스템에서 높은 온도들로 압축 또는 인장 응력을 갖는 웨이퍼들을 프로세싱하는 방법 및 장치

Country Status (5)

Country Link
US (1) US10403535B2 (ko)
JP (1) JP6868553B2 (ko)
KR (1) KR102430454B1 (ko)
CN (2) CN106575634A (ko)
WO (1) WO2016025573A1 (ko)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10236202B2 (en) * 2013-11-11 2019-03-19 Diablo Capital, Inc. System and method for adhering a semiconductive wafer to a mobile electrostatic carrier through a vacuum
WO2017057273A1 (ja) * 2015-09-30 2017-04-06 日本碍子株式会社 静電チャック
EP3414774B1 (en) * 2016-02-10 2022-03-30 Entegris, Inc. Wafer contact surface protrusion profile with improved particle performance
KR102632725B1 (ko) * 2016-03-17 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 지지 플레이트 및 이를 포함하는 박막 증착 장치 및 박막 증착 방법
KR20170138052A (ko) * 2016-06-06 2017-12-14 어플라이드 머티어리얼스, 인코포레이티드 최적의 박막 증착 또는 에칭 프로세스들을 위한 특성들을 갖는 정전 척
US10312137B2 (en) * 2016-06-07 2019-06-04 Applied Materials, Inc. Hardmask layer for 3D NAND staircase structure in semiconductor applications
US10832936B2 (en) * 2016-07-27 2020-11-10 Lam Research Corporation Substrate support with increasing areal density and corresponding method of fabricating
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
US10435789B2 (en) * 2016-12-06 2019-10-08 Asm Ip Holding B.V. Substrate treatment apparatus
US10629416B2 (en) * 2017-01-23 2020-04-21 Infineon Technologies Ag Wafer chuck and processing arrangement
CN110249410B (zh) 2017-02-01 2023-07-04 应用材料公司 用于硬掩模应用的硼掺杂碳化钨
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US20210025056A1 (en) * 2017-10-09 2021-01-28 Applied Materials, Inc. Electrostatic chuck for damage-free substrate processing
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
JP2019125603A (ja) * 2018-01-11 2019-07-25 株式会社アルバック 吸着方法
TWI713961B (zh) 2018-01-15 2020-12-21 美商應用材料股份有限公司 針對碳化鎢膜改善附著及缺陷之技術
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
KR102450476B1 (ko) * 2018-02-28 2022-10-05 주식회사 미코세라믹스 정전척 히터 및 그 제조 방법
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
JP7059064B2 (ja) * 2018-03-26 2022-04-25 株式会社日立ハイテク プラズマ処理装置
US11133212B2 (en) * 2018-05-16 2021-09-28 Applied Materials, Inc. High temperature electrostatic chuck
JP2021527962A (ja) * 2018-06-22 2021-10-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体ウェハ処理におけるウェハ裏面損傷を最小化する方法
US20200058539A1 (en) * 2018-08-17 2020-02-20 Applied Materials, Inc. Coating material for processing chambers
KR102235765B1 (ko) * 2018-08-24 2021-04-01 어플라이드 머티어리얼스, 인코포레이티드 정전척 조립체 및 정전척 제조방법
US11448955B2 (en) * 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for lithography process and method for manufacturing the same
JP7176361B2 (ja) * 2018-11-07 2022-11-22 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11094508B2 (en) * 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
CN113557597A (zh) * 2019-03-08 2021-10-26 应用材料公司 用于基板处理腔室的吸附工艺和系统
US11756819B2 (en) * 2019-05-16 2023-09-12 Applied Materials, Inc. Methods and apparatus for minimizing substrate backside damage
WO2021025849A1 (en) * 2019-08-05 2021-02-11 Applied Materials, Inc. Coating for chamber particle reduction
JP2021044336A (ja) 2019-09-10 2021-03-18 キオクシア株式会社 半導体製造装置
KR20220075420A (ko) * 2019-10-08 2022-06-08 램 리써치 코포레이션 기판 프로세싱 시스템들의 기판 지지부들의 히터 엘리먼트들을 위한 전력 소스 격리 회로들
CN110707028A (zh) * 2019-10-18 2020-01-17 长江存储科技有限责任公司 晶圆热处理装置及晶圆热处理方法
US20210175108A1 (en) * 2019-12-05 2021-06-10 Tokyo Electron Limited Multi-polar chuck for processing of microelectronic workpieces
CN113820531A (zh) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种射频系统状态受控的半导体设备
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11699571B2 (en) * 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) * 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11776835B2 (en) * 2020-09-29 2023-10-03 Applied Materials, Inc. Power supply signal conditioning for an electrostatic chuck
WO2022195947A1 (ja) * 2021-03-18 2022-09-22 日本碍子株式会社 AlNセラミック基体及び半導体製造装置用ヒータ
CN115606318A (zh) * 2021-03-18 2023-01-13 日本碍子株式会社(Jp) AlN陶瓷基体及半导体制造装置用加热器
CN115247257B (zh) * 2021-04-25 2024-01-23 广东聚华印刷显示技术有限公司 成膜装置及膜层的制备方法
US20230317411A1 (en) * 2022-03-13 2023-10-05 Applied Materials, Inc. Radio frequency source for inductively coupled and capacitively coupled plasmas in substrate processing chambers

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000091247A (ja) * 1998-09-14 2000-03-31 Tokyo Electron Ltd プラズマ処理装置
JP2001163672A (ja) * 1999-09-30 2001-06-19 Ngk Insulators Ltd 窒化アルミニウム焼結体および半導体製造用部材
JP2003221279A (ja) * 2001-11-26 2003-08-05 Ngk Insulators Ltd 窒化アルミニウム質セラミックス、半導体製造用部材および耐蝕性部材

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60127267A (ja) 1983-12-12 1985-07-06 株式会社東芝 高熱伝導性窒化アルミニウム焼結体の製造方法
JPH0547906A (ja) 1991-08-08 1993-02-26 Hitachi Ltd 板状物保持手段およびそれを用いた装置
US5413360A (en) 1992-12-01 1995-05-09 Kyocera Corporation Electrostatic chuck
US5668524A (en) 1994-02-09 1997-09-16 Kyocera Corporation Ceramic resistor and electrostatic chuck having an aluminum nitride crystal phase
JP3180998B2 (ja) 1994-02-09 2001-07-03 京セラ株式会社 静電チャック
JPH0870036A (ja) * 1994-08-29 1996-03-12 Souzou Kagaku:Kk 静電チャック
JP3670416B2 (ja) * 1995-11-01 2005-07-13 日本碍子株式会社 金属包含材および静電チャック
JP3457495B2 (ja) 1996-03-29 2003-10-20 日本碍子株式会社 窒化アルミニウム焼結体、金属埋設品、電子機能材料および静電チャック
JPH09312570A (ja) 1996-05-20 1997-12-02 Mitsubishi Electric Corp チャネルコンパレータ装置
US5835334A (en) 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US6094334A (en) * 1999-03-02 2000-07-25 Applied Materials, Inc. Polymer chuck with heater and method of manufacture
JP2000332090A (ja) 1999-05-18 2000-11-30 Sumitomo Metal Ind Ltd 静電チャックおよびその製造方法
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP2001181050A (ja) 1999-12-28 2001-07-03 Ibiden Co Ltd カーボン含有窒化アルミニウム焼結体
TWI254403B (en) 2000-05-19 2006-05-01 Ngk Insulators Ltd Electrostatic clamper, and electrostatic attracting structures
JP4514379B2 (ja) 2000-12-21 2010-07-28 日本碍子株式会社 窒化アルミニウム焼結体及び半導体製造装置用部材
TWI243158B (en) 2000-12-21 2005-11-11 Ngk Insulators Ltd Aluminum nitride sintered bodies
JP2003012376A (ja) 2001-06-27 2003-01-15 Kyocera Corp 抵抗体及びその製造方法並びに保持装置
JP2003313078A (ja) 2002-04-18 2003-11-06 Taiheiyo Cement Corp 窒化アルミニウム焼結体およびそれを用いた静電チャック
US20030215962A1 (en) * 2002-05-14 2003-11-20 Applied Materials, Inc. Integration of multiple processes within a single chamber
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP4744855B2 (ja) * 2003-12-26 2011-08-10 日本碍子株式会社 静電チャック
US7586734B2 (en) * 2004-06-28 2009-09-08 Kyocera Corporation Electrostatic chuck
JP2006287210A (ja) 2005-03-07 2006-10-19 Ngk Insulators Ltd 静電チャック及びその製造方法
TW200735254A (en) 2006-03-03 2007-09-16 Ngk Insulators Ltd Electrostatic chuck and producing method thereof
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
JP5056029B2 (ja) 2007-01-26 2012-10-24 住友電気工業株式会社 半導体製造装置用ウェハ保持体、その製造方法およびそれを搭載した半導体製造装置
JP5236927B2 (ja) 2007-10-26 2013-07-17 信越化学工業株式会社 耐腐食性積層セラミックス部材
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
JP2011061049A (ja) 2009-09-11 2011-03-24 Ngk Spark Plug Co Ltd 静電チャック
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000091247A (ja) * 1998-09-14 2000-03-31 Tokyo Electron Ltd プラズマ処理装置
JP2001163672A (ja) * 1999-09-30 2001-06-19 Ngk Insulators Ltd 窒化アルミニウム焼結体および半導体製造用部材
JP2003221279A (ja) * 2001-11-26 2003-08-05 Ngk Insulators Ltd 窒化アルミニウム質セラミックス、半導体製造用部材および耐蝕性部材

Also Published As

Publication number Publication date
US10403535B2 (en) 2019-09-03
JP2017527115A (ja) 2017-09-14
KR20170042359A (ko) 2017-04-18
CN106575634A (zh) 2017-04-19
WO2016025573A1 (en) 2016-02-18
JP6868553B2 (ja) 2021-05-12
CN113972162A (zh) 2022-01-25
US20160049323A1 (en) 2016-02-18

Similar Documents

Publication Publication Date Title
KR102430454B1 (ko) 플라즈마 강화 화학 기상 증착 시스템에서 높은 온도들로 압축 또는 인장 응력을 갖는 웨이퍼들을 프로세싱하는 방법 및 장치
JP6660658B2 (ja) 単体静電チャック
JP7279222B2 (ja) 静電チャックを使用した基板の固定と開放のための方法及び装置
CN109690736B (zh) 高压缩/拉伸的翘曲晶片上的厚钨硬掩模膜沉积
KR200484428Y1 (ko) 극단 엣지 튜닝성을 위한 연장형 및 독립형의 rf 전력공급형 음극 기판과 함께 사용하기 위한 프로세스 키트 구성요소들
KR20170118011A (ko) 강화된 웨이퍼 에지 성능을 갖는 세라믹 가열기 및 esc
KR20140095430A (ko) 기판 처리 장치 및 탑재대
US11901209B2 (en) High temperature bipolar electrostatic chuck
WO2021021513A1 (en) Semiconductor substrate supports with improved high temperature chucking
CN111095522A (zh) 用于无损衬底处理的静电吸盘
US11610800B2 (en) Capacitive method of detecting wafer chucking and de-chucking
KR20230085179A (ko) 유전체 시즈닝 막들을 사용하여 정전 척들을 시즈닝하는 시스템들 및 방법들

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant