TWI663640B - 選擇性原子層沉積之多臨界電壓功函數金屬 - Google Patents

選擇性原子層沉積之多臨界電壓功函數金屬 Download PDF

Info

Publication number
TWI663640B
TWI663640B TW104105892A TW104105892A TWI663640B TW I663640 B TWI663640 B TW I663640B TW 104105892 A TW104105892 A TW 104105892A TW 104105892 A TW104105892 A TW 104105892A TW I663640 B TWI663640 B TW I663640B
Authority
TW
Taiwan
Prior art keywords
layer
feature
work function
substrate
depositing
Prior art date
Application number
TW104105892A
Other languages
English (en)
Other versions
TW201611106A (zh
Inventor
班德亞當
吉田直美
甘古利沙謝德利
湯普森大衛
張鎂
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201611106A publication Critical patent/TW201611106A/zh
Application granted granted Critical
Publication of TWI663640B publication Critical patent/TWI663640B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66606Lateral single gate silicon transistors with final source and drain contacts formation strictly before final or dummy gate formation, e.g. contact first technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)

Abstract

本發明係提供一種形成多臨界電壓裝置於基板上之方法。於一些具體實施例中,本發明之形成多臨界電壓裝置的方法可包括(a)提供基板,基板上設置有第一層,其中基板包含設置於第一層中之第一特徵以及第二特徵;(b)沉積阻擋層於基板頂部;(c)選擇性地從基板頂部移除阻擋層的一部分以暴露出第一特徵;(d)選擇性地沉積第一功函數層於第一特徵頂部;(e)移除阻擋層的剩餘部分以暴露出第二特徵;以及(f)沉積第二功函數層於第一功函數層與第二特徵頂部。

Description

選擇性原子層沉積之多臨界電壓功函數金屬
本發明一般關於形成半導體裝置的方法。
現今的互補式金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)裝置一般使用金屬閘極材料,透過多層金屬堆疊的有效功函數控制電晶體的臨界電壓。透過改變金屬閘極堆疊的材料及/或層厚度,可在所選擇的CMOS裝置上達成不同的臨界電壓,以達成在每個裝置上選擇臨界電壓的效果。目前用來達到多臨界電壓的方法係選擇性井(selective well)、暈植入(halo implants)及金屬閘極堆疊的空間控制的混合。雖然井及暈植入的光圖案化是有效的,持續的鰭寬縮減降低了井及暈植入的效益,同時因為隨機摻雜變異,製程本質上是維持易變的。金屬閘極堆疊的空間控制可透過光圖案化及蝕刻製程的組合來達成,以區分出n型金屬氧化物半導體(NMOS)裝置與p型金屬氧化物半導體(PMOS)裝置。然而,空間控制製程的選擇性蝕刻使用了額外的蝕刻終止層,而蝕刻終止層會浪費短閘極長度的裝置中的重要空間。更進一步地,未來的CMOS裝置之具有更高深寬 比的金屬閘極結構將採用很高的選擇性,以移除多餘的非期望金屬閘極層,將導致現行的方法變成不切實際的。
因此,本案發明人研發了用來形成半導體裝置的改良方法。
本發明提供一種用來形成多臨界電壓裝置於基板上之方法及設備。於一些具體實施例中,用來形成多臨界電壓裝置的方法包含下列步驟:(a)提供基板,基板上設置有第一層,其中基板包含設置於第一層中之第一特徵及第二特徵;(b)沉積阻擋層於基板頂部;(c)選擇性地從基板頂部移除阻擋層的一部分以暴露出第一特徵;(d)選擇性地沉積第一功函數層於第一特徵頂部;(e)移除阻擋層的剩餘部分以暴露出第二特徵;以及(f)沉積第二功函數層於第一功函數層與第二特徵頂部。
於另一些具體實施例中,用來形成多臨界電壓裝置的方法包含下列步驟:(a)提供基板,基板上設置有第一層,其中基板包含設置於第一層中之第一特徵及第二特徵;(b)以旋轉塗佈製程沉積含碳層於基板頂部,其中含碳層具有大約5至約200奈米的厚度;(c)選擇性地從基板頂部移除含碳層的一部分以暴露出第一特徵;(d)以原子層沉積製程選擇性地沉積第一功函數層於第一特徵頂部,其中第一功函數層具有大約0.5奈米至約4奈米的厚度;(e)移除含碳層的剩餘部分以暴露出第二特徵;以及(f)以原子層沉積製程沉積第二功函數層於第一功函數層與第二特徵頂部,其中第二功函數層具有 大約0.5奈米至約4奈米的厚度。
於另一些具體實施例中,提供了一種電腦可讀取媒介,所述電腦可讀取媒介上儲存有指令,當指令被執行時,使一種用來形成多臨界電壓裝置的方法被實行,此方法可包含本發明所揭露之任何具體實施例的方法。
本發明其他更進一步的具體實施例揭露如下。
10‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
110‧‧‧步驟
112‧‧‧步驟
200‧‧‧裝置
202‧‧‧基板
204‧‧‧第一層
206‧‧‧p型傳導井
208‧‧‧n型傳導井
210‧‧‧源極區域
212‧‧‧汲極區域
214‧‧‧第一裝置
216‧‧‧第二裝置
218‧‧‧介電層
220‧‧‧障壁層
224‧‧‧阻擋層
226‧‧‧第一特徵
228‧‧‧第二特徵
230‧‧‧第一功函數層
232‧‧‧第二功函數層
234‧‧‧功函數覆蓋層
236‧‧‧填充層
380‧‧‧集成設備工具
381‧‧‧微處理控制器
382‧‧‧箭頭
383‧‧‧前端環境
384‧‧‧加載互鎖腔體
385‧‧‧艙加載器
387‧‧‧艙
388‧‧‧移送室
389‧‧‧機器人
390A-D‧‧‧製程腔體
390A-B‧‧‧檢修腔體
402‧‧‧第一特徵
404‧‧‧第二特徵
406‧‧‧第一選擇功函數金屬層
本發明之前述簡單概括的具體實施例以及後述詳細討論的具體實施例,可參考各附圖而得到清楚的瞭解。但須注意的是,各附圖係用來說明本發明最具代表性的實施例,而非將本發明僅限定於附圖之樣態,本發明所揭露之內容容許其他等效的具體實施例。
第1圖繪示根據本發明之一些具體實施例的形成一裝置之方法的流程圖。
第2A圖至第2G圖繪示根據本發明之一些具體實施例之一裝置的製造階段。
第3圖繪示根據本發明之一些具體實施例之適合用來實行本發明部分內容的集成設備工具。
第4圖繪示根據本發明之一些具體實施例之環繞式閘極(gate-all-around)裝置結構。
為了幫助理解,各附圖共有的相同元件盡可能地以相同的參考標號進行標示。各附圖並非按比例製圖,且可能為了清楚起見而簡化。可預期的是一具體實施例中之元件及特徵,不須更進一步地陳述能有益地結合於其他具體實施例 中。
本發明揭露用於在基板上形成半導體裝置的方法。於一些具體實施例中,所述創新性的方法利於用來提供不同裝置結構之多臨界電壓控制,這些裝置結構舉例而言,包含互補式金屬氧化物半導體(CMOS)鰭式場效(FinFet)電晶體裝置、平面CMOS裝置以及環繞式閘極(Gate-All-Around,GAA)CMOS裝置。於一些具體實施例中,所述創新性的方法可藉由減少製程步驟數量(例如排除蝕刻終止層的需求)、降低蝕刻選擇率考量以及較傳統製程更精準的層厚度控制,來幫助一或多個製程產量增加。此外,所述創新性的方法可容許使用更薄的層來更進一步地幫助裝置結構縮小,亦即,縮減尺寸。
第1圖繪示根據本發明之一些具體實施例之形成一半導體裝置的方法100。方法100可根據第2A圖至第2G圖所繪示之半導體裝置(裝置200)的製造階段而詳述於後。
方法100始於步驟102,步驟102可提供如第2A圖所繪示之一基板202,基板202上設置有第一層204。基板202可為任何適合的基板,例如矽基板、III-V族化合物基板、矽鍺(SiGe)基板、磊晶基板、絕緣層上覆矽(SOI)基板、顯示器基板(如液晶螢幕顯示器(LCD)、電漿顯示器、電激發光(EL)燈泡顯示器)、發光二極體(LED)基板、太陽能電池陣列、太陽能面板等。在一些具體實施例中、基板202可為半導體晶圓(如,200奈米、300奈米或類似的矽晶圓),如已摻雜或未摻雜之多晶矽晶圓、已摻雜或未摻雜之矽晶圓、以及已圖案 化或未圖案化之晶圓等。在一些具體實施例中,第一層204可包含氧化物層。在一些具體實施例中,基板202包含p型傳導井206及/或n型傳導井208以及對應的源極/汲極區域210、212。
在例如將在基板202上形成一或多個裝置的一些具體實施例中,多個場隔離區域(未繪示於圖中)可被形成於基板202上,以隔離具有不同傳導形式(如n型或p型)的傳導井,及/或隔離相鄰的電晶體。場絕緣區域可為淺溝槽隔離(shallow trench isolation,STI)結構,舉例而言,可藉由於基板202上蝕刻出溝槽並接著以適當的絕緣體填充溝槽來形成淺溝槽隔離結構,所述絕緣體可如氧化矽(氧化物)、氮化矽(氮化物)或類似的絕緣體。
第一層204包含形成於第一層204中之第一特徵226及第二特徵228,第一特徵226界定出形成在p型傳導井206上的第一裝置214,而第二特徵228則界定出形成在n型傳導井208上的第二裝置216。於一些具體實施例中,第一特徵226及第二特徵228可為溝槽或通孔。於一些具體實施例中,第一特徵226及第二特徵228可具有約100奈米的高度及約5奈米至約20奈米的寬度。於一些具體實施例中,第一裝置214及第二裝置216各包含了介電層218以及設置於介電層218頂部之障壁層220。
於一些具體實施例中,介電層218可由單一沉積層被隨後圖案化而形成,或者同時沉積單一沉積層於圖案化區域中,以界定介電層218。於一些具體實施例中,介電層218 可包含具有約3.9之介電常數的介電材料。於一些具體實施例中,介電層218可包含一或多種介電材料,如二氧化矽(SiO2)、氮氧化矽(SiON)或其他合適的介電材料中之至少一者。於一些具體實施例中,介電層218可包含高介電值材料,如具有高於3.9之介電常數的材料。舉例而言,高介電值介電材料可為二氧化鉿(HfO2)、氧化鉿矽(HfSiOx)、氮氧化鉿矽(HfSiNOx)等材料。
於一些具體實施例中,障壁層220可包含,例如,氮化鉭(TaN)或氮化鈦(TiN),氮化鉭(TaN)或氮化鈦(TiN)可具有任何適用於裝置200之厚度,例如,可達約40埃之厚度。於一些具體實施例中,第一裝置214及第二裝置216分別包含設置於障壁層220頂部的多晶材料(未繪示於圖中),例如多晶矽。可透過任何適合的蝕刻製程,例如電漿蝕刻,來蝕刻上述多晶材料,以暴露出障壁層220的上表面,如第2B圖所示。多晶材料可被蝕刻以暴露出設置在p型傳導井206頂部之障壁層220的上表面,以及設置在n型傳導井208頂部之障壁層220的上表面。
於一些具體實施例中,基板202可暴露於包含氟(F)或氯(Cl)之製程氣體中,以幫助蝕刻多晶材料。於一些具體實施例中,可從上述製程氣體形成電漿。電漿中的離子可被導向基板202,以蝕刻多晶材料。
於步驟104,以及如第2B圖所示,阻擋層224沉積於基板202之第一及第二特徵226、228頂部。於一些具體實施例中,阻擋層224具有約1奈米至約200奈米的厚度。於 一些具體實施例中,阻擋層224可填入第一及第二特徵226、228。阻擋層224可由適於避免或減緩後續沉積的功函數層形成於阻擋層224上的任何材質所組成,如以下步驟108所述。於一些具體實施例中,阻擋層224為含碳層。於一些具體實施例中,含碳層,舉例而言,可為非晶碳硬光罩層。可藉由適於沉積具有挑選出之特徵(例如成分、均勻性等)的含碳層之任何製程來沉積含碳層。舉例來說,含碳層可透過旋轉塗佈製程、化學氣相沉積(CVD)製程或原子層沉積(ALD)製程來進行沉積。用於圖案化及平整化之具高裂解溫度的示範性旋轉塗佈碳薄膜可被採用。舉例而言,適合的化學氣相沉積製程所沉積出來的碳薄膜包含來自加州盛大克勞拉市的應用材料公司的APFTM。或者,於一些具體實施例中,阻擋層224為含氧層,例如氧化矽(SiOx)層或是氮氧化矽(SiON)層。可藉由適於沉積具有挑選出之特徵(例如成分、均勻性等)的含氧層之任何製程來沉積含氧層。舉例來說,含氧層可透過化學氣相沉積製程或原子層沉積製程來進行沉積。於一些具體實施例中,阻擋層224為矽(Si)層、氮化矽(SiN)層或氮化鋁(AlN)層等。
於步驟106,以及如第2C圖所示,可選擇性地從基板頂部移除部分的阻擋層224,以暴露出第一特徵226。於阻擋層224為含碳層的具體實施例中,可藉由用來去除含碳薄膜的習用技術(例如灰化技術或蝕刻技術)從基板上移除阻擋層。於一些具體實施例中,可使用適合的含氧(如氧氣(O2)、二氧化碳(CO2)等)電漿、適合的含氫(如氫氣(H2)、氨氣(NH3) 等)電漿、適合的含氮(氮氣(N2)、氨氣(NH3)等)電漿或上述各種電漿的組合(如H2/N2等)來蝕刻阻擋層224。於一些具體實施例中,如光罩層或抗反射塗佈(ARC)等圖案化層可沉積於阻擋層224頂部,以創造用來進行選擇性蝕刻的圖案。上述光罩材料可包括如光阻、氮化矽(Si3N4)或氧化矽(SiO2)的其中之一者或多者。
於步驟108,如第2D圖所示,第一功函數層230可選擇性地沉積於第一特徵226頂部。第一功函數層230可包含能與裝置200作用而產生所選擇的裝置臨界電壓之任何合適的金屬、金屬合金或含金屬材料。可組成第一功函數層230之示範性金屬、金屬合金或含金屬材料可包含氮化鈦(TiN)、鈦鋁(TiAl)、碳化鈦鋁(TiAlC)、鉭鋁(TaAl)、氮化鉭(TaN)、碳化鉭鋁(TaAlC)、鎢(W)或鈷(Co)。於一些具體實施例中,第一功函數層230具有約0.5奈米至約4奈米的厚度。
於一些具體實施例中,第一功函數層230可藉化學氣相沉積製程(CVD)或原子層沉積製程(ALD)來進行沉積。基板202暴露於前驅氣體中,前驅氣體可選擇性地沉積第一功函數層230於基板的第一部分頂部而不沉積於阻擋層224頂部。於一些具體實施例中,前驅氣體為於約攝氏200度時具有大於約0.1毫托耳之蒸氣壓的金屬有機化合物或金屬無機化合物,且前驅氣體包含鎢(W)、鉬(Mo)、鈮(Nb)、鈷(Co)、鎳(Ni)、釩(V)、鋁(Al)或釕(Ru)中之一者或至少一者。舉例而言,於一些具體實施例中,前驅氣體為WCl5、Cp’2WH2、Cp’W(CO)3H、Cp’W(CO)2NO、(RN)2(R’2N)2W、WOCl4、 Cp’2MoH2、Cp’Mo(CO)3H、Cp’Mo(CO)2NO、(RN)2(R’2N)2Mo、MoOCl4、(RN)(R’2N)3Nb、NbCl5、Cp2NbH3、CpNb(CO)4H、Cp(allyl)Nb(CO)中之一者,其中R及R'分別獨立地選自氫或烷基(2-5),且其中Cp’為R1R2R3R4R5Cp,其中各R分別獨立地選自氫、烷基或烯烴等。舉例而言,於一些具體實施例中,當第一功函數層為鈷,合適的前驅氣體可包括羰基鈷(cobalt carbonyl)複合物、脒基鈷(cobalt amidinate)化合物、二茂鈷(cobaltocene,Co(C5H5)2)化合物、二烯基鈷(cobalt dienyl)複合物、亞硝醯基鈷(cobalt nitrosyl)複合物,上述各物質的衍生物、複合物、電漿或組合物等。舉例而言,於一些具體實施例中,乙醯基六羰基二鈷(dicobalt hexacarbonyl acetyl)化合物可用來作為前驅物以形成鈷的功函數層。或者,於一些具體實施例中,當第一功函數層為鎢,合適的前驅氣體可包括六氟化鎢(tungsten hexafluoride,WF6)、六氯化鎢(tungsten hexachloride,WCl6)、六羰鎢(tungsten carbonyl,W(CO)6)、雙(環戊二烯)氯化鎢(bis(cyclopentadienyl)tungsten dichloride,Cp2WCl2)、三羰基三甲苯鎢(mesitylene tungsten tricarbonyl,C9H12W(CO)3)或上述各物質的衍生物。作為另一種選擇地,於一些具體實施例中,當第一功函數層為鎳,合適的前驅氣體可包含羰基鎳(nickel carbonyl)複合物、脒基鎳(nickel amidinate)化合物、二茂鎳(nickelocene,Ni(C5H5)2)化合物、二烯基鎳(nickel dienyl)複合物、亞硝醯基鎳(nickel nitrosyl)複合物,上述各物質的衍生物、複合物、電漿或組合物等。作為另一種選擇地,於一 些具體實施例中,當第一功函數層為釕,合適的前驅氣體可包含羰基釕(ruthenium carbonyl)複合物、脒基釕(ruthenium amidinate)化合物、二茂釕(ruthenium ocene,Ru(C5H5)2)化合物、二烯基釕(ruthenium dienyl)複合物、亞硝醯基釕(ruthenium nitrosyl)複合物,上述各物質的衍生物、複合物、電漿或組合物等。於一些具體實施例中,當使用氯化物前驅物沉積功函數層時,加入氯化氫氣體可抑制功函數層沉積於阻擋層頂部。
於步驟110,如第2E圖所示,阻擋層224的剩餘部分可被移除以暴露出第二特徵228。如上述,可藉由用來從基板去除含碳薄膜的習用技術來移除阻擋層,例如灰化技術或蝕刻技術。於一些具體實施例中,可使用含氧(如氧氣(O2)、二氧化碳(CO2)等)電漿、含氫(如氫氣(H2)、氨氣(NH3)等)電漿、上述各種電漿的組合或類似者來蝕刻阻擋層224。於一些具體實施例中,在步驟108沉積第一功函數層230期間,第一功函數層230之薄層可形成在覆蓋第二特徵228之阻擋層224的一部分的頂部。在這些具體實施例中,在蝕刻覆蓋第二特徵228之阻擋層224的部分之前,可以習用的電漿蝕刻技術來蝕刻形成在覆蓋第二特徵228之阻擋層224的一部分的頂部之第一功函數層230的部分。
於步驟112,以及如第2F圖所示,第二功函數層232被沉積於第一功函數層230及第二特徵228之頂部。第二功函數層232可包含能與裝置200作用而產生所選擇的裝置臨界電壓之任何合適的金屬、金屬合金或含金屬材料。可組成第一功函數層230或第二攻函數層232的示範性金屬、金屬 合金或含金屬材料可包括氮化鈦(TiN)、鈦鋁、羰化鈦鋁(TiAlC)、鉭鋁(TaAl)、氮化鉭(TaN)、羰化鉭鋁(TaAlC)、鎢(W)或鈷(Co)。於一些具體實施例中,第二功函數層232具有約0.5奈米至約4奈米的厚度。第二功函數層232與第一功函數層230不同,例如,第一功函數層230可為氮化鈦(TiN)而第二功函數層232可為鉭鋁(TaAl)。
於一些具體實施例中,第二功函數層232可透過原子層沉積製程而進行沉積。舉例而言,基板202可暴露於經選擇的前驅氣體中,以將第二功函數層232沉積於基板202的第一部分之第一功函數層230及第二特徵228頂部。於一些具體實施例中,第二前驅氣體為於約攝氏200度時具有大於約0.1毫托耳之蒸氣壓的金屬有機化合物或金屬無機化合物,且前驅氣體包含鎢(W)、鉬(Mo)、鈮(Nb)、鈷(Co)、鎳(Ni)、釩(V)、鋁(Al)或釕(Ru)中之一者或至少一者。舉例而言,於一些具體實施例中,前驅氣體為WCl5、Cp’2WH2、Cp’W(CO)3H、Cp’W(CO)2NO、(RN)2(R’2N)2W、WOCl4、Cp’2MoH2、Cp’Mo(CO)3H、Cp’Mo(CO)2NO、(RN)2(R’2N)2Mo、MoOCl4、(RN)(R’2N)3Nb、NbCl5、Cp2NbH3、CpNb(CO)4H、Cp(allyl)Nb(CO)中之一者,其中R及R'分別獨立地選自氫或烷基(2-5),且其中Cp’為R1R2R3R4R5Cp,其中各R分別獨立地選自氫、烷基或烯烴等。舉例而言,當第二功函數層232為鈷,合適的前驅氣體可包括羰基鈷(cobalt carbonyl)複合物、脒基鈷(cobalt amidinate)化合物、二茂鈷(cobaltocene,Co(C5H5)2)化合物、二烯基鈷(cobalt dienyl)複合物、亞硝醯基 鈷(cobalt nitrosyl)複合物,上述各物質的衍生物、複合物、電漿或組合物等。舉例而言,於一些具體實施例中,乙醯基六羰基二鈷(dicobalt hexacarbonyl acetyl)化合物可用來作為前驅物以形成鈷的功函數層。或者,當第二功函數層為鎢,合適的前驅氣體可包括六氟化鎢(tungsten hexafluoride,WF6)、六氯化鎢(tungsten hexachloride,WCl6)、六羰鎢(tungsten carbonyl,W(CO)6)、雙(環戊二烯)氯化鎢(bis(cyclopentadienyl)tungsten dichloride,Cp2WCl2)、三羰基三甲苯鎢(mesitylene tungsten tricarbonyl,C9H12W(CO)3)或上述各物質的衍生物。或者,於一些具體實施例中,當第二功函數層為鎳,合適的前驅氣體可包括羰基鎳(nickel carbonyl)複合物、脒基鎳(nickel amidinate)化合物、二茂鎳(nickelocene,Ni(C5H5)2)化合物、二烯基鎳(nickel dienyl)複合物、亞硝醯基鎳(nickel nitrosyl)複合物,上述各物質的衍生物、複合物、電漿或組合物等。或者,於一些具體實施例中,當第二功函數層為釕,合適的前驅氣體可包含羰基釕(ruthenium carbonyl)複合物、脒基釕(ruthenium amidinate)化合物、二茂釕(ruthenium ocene,Ru(C5H5)2)化合物、二烯基釕(ruthenium dienyl)複合物、亞硝醯基釕(ruthenium nitrosyl)複合物,上述各物質的衍生物、複合物、電漿或組合物等。於一些具體實施例中,前驅氣體可為金屬氯化物(如氯化鎢(WCl5)或氯化鉭(TaCl))與含矽氣體(如矽烷(SiH4))的組合。於一些具體實施例中,第二功函數層232具有約0.5奈米至約4奈米的厚度,或者約0.5奈米至約10奈米的厚度。額外的功函數層也可被沉 積而達到裝置的選擇臨界電壓。
方法100的步驟104至110可依需求而重複進行,以於第一特徵內獲得第一選擇功函數,並於第二特徵內獲得的第二選擇功函數。於形成具有選擇的功函數的功函數層230、232後,可依需求沉積額外的層於特徵226、228中以完成裝置200的形成。舉例而言,如第2G圖所示,由如氮化鈦(TiN)所構成的功函數覆蓋層234可沉積於特徵226、228中以防止功函數層230、232氧化。於沉積功函數覆蓋層234後,填充層236可沉積於特徵226、228中。填充層可為如鋁(Al)、鈦鋁(TiAl)、鈷鋁(CoAl)或鎢(W)等導電材料。
於一些具體實施例中,最終裝置200為一種平面鰭式場效電晶體(planar FinFet)結構,如第2G圖所示。於一些具體實施例中,方法100可用以形成本發明之技術領域中所熟知合適的環繞式閘極(Gate-All-Around,GAA)裝置結構。舉例來說,如第4圖所示,環繞式閘極裝置結構400具有第一特徵402及第二特徵404,其中第一特徵402具有第一選擇功函數金屬層406。
第3圖繪示了適合用來實行本發明部分方法的集成設備工具。一般而言,集成設備工具為包含多個腔室(例如,製程腔室390A-D或檢修腔室391A-B等)的模組化系統。上述多個腔室用來實行多種功能,包括基板清潔、基板中心尋找及定向、抽氣、退火、沉積及/或蝕刻。於一些具體實施例中,集成設備工具可包含至少一個蝕刻設備及原子層沉積或化學氣相沉積設備,所述設備可經配置以實行上述用來製作多臨 界電壓裝置之方法100。集成設備工具的多個腔室可裝設於一中央移送腔室上,中央移送腔室內容納有機器人以於各腔室間運送基板。移送腔室一般維持真空狀態且提供中繼站(intermediate stage),以從一個腔室運送基板至另一腔室及/或至位於集成設備工具前端之負載鎖定腔室(load lock chamber)。
作為圖解,第3圖係繪示特定的集成裝置工具380的平面圖。集成設備工具380通常包含複數個腔室及機器人,且更佳地可設置微處理器控制器381,微處理器控制器381可經程式化以控制不同的製程方法於集成裝備工具380上執行。所繪示之前端環境383經設置以選擇性地與一對負載鎖定腔室384溝通。設置在前端環境383中的艙加載器(pod loader)385可線性及旋轉地動作(箭頭382)以於負載鎖定腔室384及多個設置於前端環境383的艙387之間運送基板卡匣。負載鎖定腔室384於前端環境383及移送腔室388間提供第一真空介面。可提供兩個負載鎖定腔室384,以藉由交替地與移送腔室388及前端環境383溝通,來增加產量。因此,當一個負載鎖定腔室384與移送腔室388溝通時,第二個負載鎖定腔室384則與前端環境383溝通。機器人389可設置於移送腔室388的中央處,以將基板由負載鎖定腔室384運送至製程腔室390A-D及檢修腔室391A-B中之一者。
於一些具體實施例中,上述用來形成多臨界電壓裝置之方法100可在一或多個製程腔體390A-D中執行。製程腔室中之至少一者(例如製程腔體390A)可為原子層沉積或化學 氣相沉積設備,用來在基板上進行製程,導致阻擋層224的沉積及/或功函數層230、232的沉積。製程腔室之至少一者(例如製程腔體390B)可為電漿蝕刻腔室,用以在基板上進行製程,導致部分或全部的阻擋層224的移除。
雖然前述內容均直接以所揭露的具體實施例進行說明,但在不偏離本發明的基礎精神之下,本發明之範圍可設計其他更進一步的具體實施例,以致使其涵蓋所有可能的改變以及具相等性的安排。

Claims (18)

  1. 一種形成一多臨界電壓裝置之方法,包含:(a)提供一基板,該基板上設置有一第一層,其中該基板包含設置於該第一層中之一第一特徵以及一第二特徵;(b)沉積一阻擋層於該基板頂部;(c)選擇性地從該基板頂部移除該阻擋層之一部分,以暴露出該第一特徵;(d)選擇性地沉積一第一功函數層於該第一特徵頂部而不沉積於該阻擋層之一剩餘部分頂部;(e)移除該阻擋層之該剩餘部分,以暴露出該第二特徵;以及(f)沉積一第二功函數層於該第一功函數層及該第二特徵頂部。
  2. 如請求項1所述之方法,其中該阻擋層係一含碳層或一含氧層中之一者。
  3. 如請求項2所述之方法,其中該含碳層係一非晶碳硬光罩層。
  4. 如請求項2所述之方法,其中該含碳層係以一旋轉塗佈製程、化學氣相沉積製程或原子層沉積製程中之一者進行沉積。
  5. 如請求項1所述之方法,其中該阻擋層具有約5奈米至約200奈米的厚度。
  6. 如請求項1所述之方法,其中該第一功函數層具有約0.5奈米至約10奈米的厚度,且其中該第二功函數層層具有約0.5奈米至約10奈米的厚度。
  7. 如請求項1至6中任一項所述之方法,其中移除該阻擋層之一部分的步驟進一步包含:以從含氧氣體或含氫氣體中之一者而形成的電漿圖案化該阻擋層。
  8. 如請求項1至6中任一項所述之方法,進一步包含:以一原子層沉積製程沉積該第一功函數層。
  9. 如請求項8所述之方法,進一步包含:暴露該基板於一或多種前驅氣體中,以選擇性地於該第一特徵頂部沉積該第一功函數層。
  10. 如請求項9所述之方法,進一步包含:暴露該阻擋層於一第一氣體中,該第一氣體延緩該第一功函數層形成於該阻擋層頂部。
  11. 如請求項10所述之方法,其中該第一氣體係氯化氫。
  12. 如請求項9所述之方法,其中該前驅氣體包含鎢、鉬、鈮、鈷、鎳、釩、鋁或釕中之一者。
  13. 如請求項1至6中任一項所述之方法,其中沉積該第二功函數層進一步包含:暴露該基板於一第二前驅氣體中。
  14. 如請求項13所述之方法,其中該第二前驅氣體包含鎢、鉬、鈮、鈷、鎳、釩、鋁或釕中之一者。
  15. 如請求項1至6中任一項所述之方法,重複步驟(b)-(f)以於該第一特徵內獲得一第一選擇功函數,並於該第二特徵內獲得一第二選擇功函數。
  16. 如請求項1至6中任一項所述之方法,其中該第一特徵及該第二特徵分別形成於一第一傳導井及一第二傳導井頂部。
  17. 一種形成一多臨界電壓裝置之方法,包含:(a)提供一基板,該基板上設置有一第一層,其中該基板包含設置於該第一層中之一第一特徵以及一第二特徵;(b)沉積一含碳層或一含氧層中之一者於該基板頂部,其中該含碳層或該含氧層具有約1至約200奈米的厚度;(c)選擇性地從該基板頂部移除該含碳層或該含氧層之一部分,以暴露出該第一特徵;(d)選擇性地以一原子層沉積製程沉積一第一功函數層於該第一特徵頂部而不沉積於該含碳層或該含氧層之一剩餘部分頂部,其中該第一功函數層具有約0.5奈米至約4奈米之厚度;(e)移除該含碳層或該含氧層之該剩餘部分,以暴露出該第二特徵;以及(f)以一原子層沉積製程沉積一第二功函數層於該第一功函數層及該第二特徵頂部,其中該第二功函數層具有約0.5奈米至約4奈米之厚度。
  18. 一種非暫態電腦可讀取媒介,該非暫態電腦可讀取媒介上儲存一指令,當該指令被執行時,導致一種用來形成多臨界電壓裝置的方法,該方法包含:(a)提供一基板,該基板上設置有一第一層,其中該基板包含設置於該第一層中之一第一特徵以及一第二特徵;(b)沉積一阻擋層於該基板頂部;(c)選擇性地從該基板頂部移除該阻擋層之一部分,以暴露出該第一特徵;(d)選擇性地沉積一第一功函數層於該第一特徵頂部而不沉積於該阻擋層之一剩餘部分頂部;(e)移除該阻擋層之該剩餘部分,以暴露出該第二特徵;以及(f)沉積一第二功函數層於該第一功函數層及該第二特徵頂部。
TW104105892A 2014-03-14 2015-02-24 選擇性原子層沉積之多臨界電壓功函數金屬 TWI663640B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461953332P 2014-03-14 2014-03-14
US61/953,332 2014-03-14
US14/627,861 US10109534B2 (en) 2014-03-14 2015-02-20 Multi-threshold voltage (Vt) workfunction metal by selective atomic layer deposition (ALD)
US14/627,861 2015-02-20

Publications (2)

Publication Number Publication Date
TW201611106A TW201611106A (zh) 2016-03-16
TWI663640B true TWI663640B (zh) 2019-06-21

Family

ID=54069635

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104105892A TWI663640B (zh) 2014-03-14 2015-02-24 選擇性原子層沉積之多臨界電壓功函數金屬

Country Status (3)

Country Link
US (1) US10109534B2 (zh)
TW (1) TWI663640B (zh)
WO (1) WO2015138176A1 (zh)

Families Citing this family (296)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9637819B2 (en) 2013-11-18 2017-05-02 Applied Materials, Inc. Methods for preferential growth of cobalt within substrate features
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10094023B2 (en) 2014-08-01 2018-10-09 Applied Materials, Inc. Methods and apparatus for chemical vapor deposition of a cobalt layer
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10043709B2 (en) 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) * 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
KR102434993B1 (ko) 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9583400B1 (en) 2016-01-15 2017-02-28 International Business Machines Corporation Gate stack with tunable work function
US9559016B1 (en) 2016-01-15 2017-01-31 International Business Machines Corporation Semiconductor device having a gate stack with tunable work function
US10079182B2 (en) 2016-01-15 2018-09-18 International Business Machines Corporation Field effect transistor gate stack
US9859169B2 (en) 2016-01-15 2018-01-02 International Business Machines Corporation Field effect transistor stack with tunable work function
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10249501B2 (en) 2016-03-28 2019-04-02 International Business Machines Corporation Single process for liner and metal fill
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102266610B1 (ko) * 2016-07-14 2021-06-21 엔테그리스, 아이엔씨. MoOCl4의 사용에 의한 CVD Mo 증착
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10026652B2 (en) 2016-08-17 2018-07-17 Samsung Electronics Co., Ltd. Horizontal nanosheet FETs and method of manufacturing the same
US9947594B2 (en) * 2016-09-19 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10453744B2 (en) * 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10297598B2 (en) 2017-01-16 2019-05-21 International Business Machines Corporation Formation of full metal gate to suppress interficial layer growth
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10002791B1 (en) 2017-04-06 2018-06-19 International Business Machines Corporation Multi-layer work function metal gates with similar gate thickness to achieve multi-Vt for vFETS
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10566245B2 (en) * 2017-04-26 2020-02-18 Samsung Electronics Co., Ltd. Method of fabricating gate all around semiconductor device
CN108807158B (zh) * 2017-04-26 2020-10-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10164056B2 (en) 2017-05-17 2018-12-25 International Business Machines Corporation Vertical field effect transistors with uniform threshold voltage
US10325824B2 (en) 2017-06-13 2019-06-18 Globalfoundries Inc. Methods, apparatus and system for threshold voltage control in FinFET devices
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
TWI784036B (zh) * 2017-08-30 2022-11-21 荷蘭商Asm智慧財產控股公司 層形成方法
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102341721B1 (ko) 2017-09-08 2021-12-23 삼성전자주식회사 반도체 소자
KR102316293B1 (ko) 2017-09-18 2021-10-22 삼성전자주식회사 반도체 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102481476B1 (ko) 2017-11-17 2022-12-26 삼성전자 주식회사 반도체 소자
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) * 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7425744B2 (ja) * 2018-04-20 2024-01-31 インテグリス・インコーポレーテッド ホウ素核形成層を利用した低温モリブデン膜堆積
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
WO2020076710A1 (en) 2018-10-08 2020-04-16 Applied Materials, Inc. Methods and apparatus for n-type metal oxide semiconductor (nmos) metal gate materials using atomic layer deposition (ald) processes with metal based precursors
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10985075B2 (en) 2018-10-11 2021-04-20 International Business Machines Corporation Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11411112B2 (en) * 2019-07-31 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, method of forming the same, and semiconductor device having the same
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11508735B2 (en) * 2019-08-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Cell manufacturing
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US20210126018A1 (en) * 2019-10-24 2021-04-29 International Business Machines Corporation Gate stack quality for gate-all-around field-effect transistors
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11527621B2 (en) * 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby
US11437474B2 (en) 2020-08-17 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230209795A1 (en) * 2021-12-23 2023-06-29 Globalfoundries U.S. Inc. Sram bit cells
US20230369064A1 (en) * 2022-05-12 2023-11-16 Tokyo Electron Limited Pre-etch treatment for metal etch

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103094213A (zh) * 2011-11-02 2013-05-08 中芯国际集成电路制造(上海)有限公司 Cmos器件金属栅极的制造方法
US20140001570A1 (en) * 2012-06-29 2014-01-02 Globalfoundries Inc. Composite high-k gate dielectric stack for reducing gate leakage

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7495290B2 (en) 2005-12-14 2009-02-24 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
WO2008038237A2 (en) * 2006-09-29 2008-04-03 Nxp B.V. Silicide formation on a wafer
US7960223B2 (en) * 2008-06-16 2011-06-14 International Business Machines Corporation Structure and method to integrate dual silicide with dual stress liner to improve CMOS performance
US8202776B2 (en) * 2009-04-22 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for protecting a gate structure during contact formation
US7855105B1 (en) 2009-06-18 2010-12-21 International Business Machines Corporation Planar and non-planar CMOS devices with multiple tuned threshold voltages
US8227307B2 (en) 2009-06-24 2012-07-24 International Business Machines Corporation Method for removing threshold voltage adjusting layer with external acid diffusion process
US8283734B2 (en) 2010-04-09 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-threshold voltage device and method of making same
US9076889B2 (en) * 2011-09-26 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate semiconductor device
US20130082332A1 (en) 2011-09-30 2013-04-04 Globalfoundries Singapore Pte. Ltd. Method for forming n-type and p-type metal-oxide-semiconductor gates separately
US9023713B2 (en) * 2012-06-22 2015-05-05 GlobalFoundries, Inc. Ultrathin body fully depleted silicon-on-insulator integrated circuits and methods for fabricating same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103094213A (zh) * 2011-11-02 2013-05-08 中芯国际集成电路制造(上海)有限公司 Cmos器件金属栅极的制造方法
US20140001570A1 (en) * 2012-06-29 2014-01-02 Globalfoundries Inc. Composite high-k gate dielectric stack for reducing gate leakage

Also Published As

Publication number Publication date
US20150262828A1 (en) 2015-09-17
TW201611106A (zh) 2016-03-16
US10109534B2 (en) 2018-10-23
WO2015138176A1 (en) 2015-09-17

Similar Documents

Publication Publication Date Title
TWI663640B (zh) 選擇性原子層沉積之多臨界電壓功函數金屬
US11855087B2 (en) Semiconductor device and fabricating the same
TWI711075B (zh) 半導體結構及其製造方法
US10262878B2 (en) Fluorine contamination control in semiconductor manufacturing process
US11049959B2 (en) FinFET with dummy fins and methods of making the same
US9698058B2 (en) Structure and method for FinFET device
TWI713120B (zh) 製造半導體元件與鰭式場效應電晶體的方法
TWI746141B (zh) 半導體裝置及其形成方法
TW201624576A (zh) 半導體元件結構及其形成方法
CN107680938B (zh) 半导体装置的制造方法
US20170194321A1 (en) Semiconductor device with epitaxial source/drain
US20210134973A1 (en) Air Spacers Around Contact Plugs and Method Forming Same
TW201905961A (zh) 半導體結構的製造方法
US10276677B2 (en) Semiconductor device structure and method for forming the same
TWI549303B (zh) 半導體元件結構及製造方法
KR102556751B1 (ko) 반도체 디바이스 및 방법
US20230261051A1 (en) Transistor Gate Structures and Methods of Forming the Same
TWI585899B (zh) 半導體元件及其製造方式
TWI511205B (zh) 半導體積體電路的形成方法
US12009391B2 (en) Nanosheet field-effect transistor device and method of forming
US20230034854A1 (en) Semiconductor structure and method for forming the same
US20220336584A1 (en) Nanosheet field-effect transistor device and method of forming
KR20220043834A (ko) 집적 회로 구조체 및 그 제조 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees