TWI499695B - 用於增進鑲嵌金屬填充之濕潤預處理裝置 - Google Patents

用於增進鑲嵌金屬填充之濕潤預處理裝置 Download PDF

Info

Publication number
TWI499695B
TWI499695B TW099119625A TW99119625A TWI499695B TW I499695 B TWI499695 B TW I499695B TW 099119625 A TW099119625 A TW 099119625A TW 99119625 A TW99119625 A TW 99119625A TW I499695 B TWI499695 B TW I499695B
Authority
TW
Taiwan
Prior art keywords
wafer
wetting
fluid
wafer substrate
wetting fluid
Prior art date
Application number
TW099119625A
Other languages
English (en)
Other versions
TW201109481A (en
Inventor
Steven T Mayer
David W Porter
Mark J Willey
Robert Rash
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201109481A publication Critical patent/TW201109481A/zh
Application granted granted Critical
Publication of TWI499695B publication Critical patent/TWI499695B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/04Removal of gases or vapours ; Gas or pressure control
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/003Electroplating using gases, e.g. pressure influence
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Description

用於增進鑲嵌金屬填充之濕潤預處理裝置
於本文中所揭示之具體實施例係關於預濕潤裝置設計與方法。更明確言之,具體實施例係關於預濕潤裝置設計與方法,以在沉積導電性材料於晶圓上之前預濕潤半導體晶圓,供積體電路製造。
本申請案係依美國專利法§119(e)第35條主張2009年6月17日提出申請之美國專利申請案號61/218,024;2010年1月8日提出申請之12/684,787與12/684,792之權益,其係併於本文供參考。
濕潤為液體/固體界面之性質,係藉由液體與固體間之黏著力及液體中之內聚力所支配。液體與固體間之黏著力會造成液體擴散橫越固體表面。於液體中之內聚力會造成液體與固體表面之接觸降至最低,在液體與固體表面產生交互作用之情況下,藉由液體濕潤固體表面在許多工業製程上甚為重要。電鍍(陰極製程),包括積體電路製造中之電鍍,係為一種此類工業製程。包括電蝕刻與電拋光,濕潤在陽極製程上亦頗為重要。
例如,在積體電路製造中,導電性材料,譬如銅,係經常藉由電鍍而沉積在種晶金屬層上,該金屬層係藉由物理氣相沉積(PVD)或化學氣相沉積(CVD)方法沉積至晶圓表面上。在鑲嵌與雙鑲嵌加工處理期間,電鍍為沉積金屬至晶圓上之通孔與溝漕中所選擇之方法。
鑲嵌製程為在積體電路(IC)上形成互相連接之一種方法。其係尤其是適用於採用銅作為導電性材料以製造積體電路。鑲嵌製程係涉及在介電層(金屬層間之介電材料)中所形成之溝漕與通孔內形成鑲嵌金屬線條。在典型鑲嵌製程中,溝漕與通孔之圖樣係被蝕刻在半導體晶圓基板之介電層中。典型上,黏著性金屬擴散-障壁薄膜之薄層,譬如鉭、氮化鉭或雙層TaN/Ta,係接著藉由PVD方法沉積至晶圓表面上,然後將可電鍍之金屬晶種層(例如銅、鎳、鈷、釕等)沉積在擴散-障壁層之上方。接著,溝漕與通孔係以銅電鍍填充,並使晶圓表面平坦化。
所揭示者為預濕潤裝置設計與方法。
於一項具體實施例中,係揭示一種在以電解方式處理晶圓基板之前預濕潤晶圓基板之裝置。該裝置包含除氣器,其係經設計以在預濕潤之前,自預濕潤流體移除一或多種溶解氣體,與處理室,其具有讓預濕潤流體進入之入口。此處理室係經設計以在低大氣壓力下,使用經除氣之預濕潤流體預濕潤晶圓基板。於處理室內部者為晶圓固定器,其係經定位與設計以在預濕潤製程期間固定晶圓基板。
於另一項具體實施例中,係揭示一種在以電解方式處理晶圓基板之前預濕潤晶圓基板之裝置。該裝置包含處理室,其具有讓預濕潤流體進入之入口。此處理室係經設計以在預濕潤期間或之後,在高於大氣壓力下操作,以幫助移除氣泡。於處理室內部者為晶圓固定器,其含係經定位與設計以在預濕潤製程期間固定晶圓基板。
現在參考特殊具體實施例。特殊具體實施例之實例係說明於附圖中。雖然本發明係搭配此等特殊具體實施例加以描述,但應明瞭的是,並非意欲將本發明限制於此種特殊具體實施例。反之,係意欲涵蓋可被包含在如藉由隨文所附請求項所界定之本發明精神與範圍內之替代方式、修正及等效事物。於下文說明中,係提出許多特定細節,以提供本發明之充分瞭解。本發明可在沒有部份或全部此等特定細節下實施。於其他情況中,並未詳細描述習知製程操作,以免非必要地混淆本發明。
於本文中所揭示者係為關於晶圓預濕潤之裝置設計與方法,用於改變在電鍍期間晶圓進入與晶圓處理之條件,及預濕潤流體組合物。根據本文中所提供之具體實施例之預濕潤製程,可在電鍍室中或在包含預濕潤站與電鍍站之模組之個別預濕潤站中進行。於一些具體實施例中,預濕潤與電鍍係在個別裝置中進行。
基板典型上為上面具有導電性材料層(例如包含銅或銅合金之晶種層)之半導體晶圓。在電鍍期間,電氣連接物係被製成導電層,且晶圓基板為負向偏壓,藉以充作陰極。晶圓係與含有金屬鹽(例如銅硫酸鹽、銅烷基磺酸鹽或各種鹽之混合物)之電鍍溶液接觸,該溶液係在晶圓陰極下被還原,而造成金屬沉積於晶圓上。在許多具體實施例中,基板含有一或多個需要藉由電鍍方法填充之凹陷特徵(例如通孔及/或溝漕)。除了金屬鹽以外,電鍍溶液亦可含有酸,且典型上含有一或多種添加劑,譬如鹵化物(例如氯化物、溴化物等),加速劑、均勻劑及壓抑劑,用以調整各種不同基板表面上之電解沉積速率。
所揭示之製程及有關聯之裝置設計對於電鍍填充較寬(例如典型上為大於5微米)與較深(例如典型上為大於10微米)之鑲嵌結構(通孔)係特別合適與必要,譬如常被發現於最新銅製程矽穿孔(TSV)電鍍填充結構中者。矽穿孔結構係進一步描述於2008年8月18日提出申請之美國專利申請案序號12/193,644中,其係併於本文供參考。被捕獲或以其他方式停留在表面上或特徵內之氣泡,將藉由以非傳導性氣體阻斷特徵表面,或經由對電流自由路徑造成障礙,而干擾電場與特徵電鍍製程。所揭示之方法及有關聯之裝置設計係使得無空隙銅電鍍填充得以實現。
TSV互連之電鍍與電鍍填充係呈現許多挑戰。其包括由於極大及/或深結構所致之長電鍍時間,與側壁空隙之形成,此係由於與電鍍電解質溶液之晶種層腐蝕反應,及由於PVD-沉積晶種層之較低側壁覆蓋不足所致。再者,重要的是確保所有凹陷特徵之內部係被充滿液體,且在特徵內部沒有會於其中妨礙電鍍之被捕獲氣體。當選擇性地移除在特徵底部之電鍍電阻時,亦對同時維持強的壁與電場電鍍生長-壓抑有利。
關於電鍍(陰極製程)金屬,特別是銅,本文中所述之預濕潤裝置設計與方法係經一般性地描述。但是,本文中所述之預濕潤裝置設計與方法通常可應用於所有電解製程,包括電蝕刻與電拋光,此兩者均為陽極製程。
為電鍍製程所需要之形成充滿液體、不含氣泡之凹陷特徵之方法係經描述。再者,使晶種層腐蝕降至最低且同時增加電鍍速率之預濕潤流體之組合物係經描述。
簡介
根據亨利定律,在液體之氣泡界面上之溶解氣體,其濃度係與內部氣泡壓力有關,其中一種形式可以下列表示:
Ci =xi Hi Pi  (1)
其中下標i係描述氣泡"內部",Ci 為氣泡界面上液相溶解氣體分子成份之濃度(例如氮、氧等,各以莫耳/升表示),xi 為該成份在氣泡本身內部之氣相莫耳分率,Hi 為亨利定律常數,及Pi 為氣泡內部之壓力。此方程式可針對氣體混合物中之各氣體分子成份撰寫(例如一種用於氧,一種用於氮等)。關於溶解氣體在整體溶液中之濃度有類似之表示式,其中下標b係用以表示"整體"溶液,例如其中P等於Pb ,表示氣相壓力,其係與整體中之物種濃度Cb 呈平衡。忽視2D與3D分散效應,並假設氣體分子自氣泡氣相內至氣泡/液體表面之擴散不為速率所限制(以致在氣泡界面上之溶解氣體與氣泡內部之氣體濃度間之平衡狀態係被保持),可獲得來自被捕獲於特徵內部之氣泡之氣體溶解速率之有用近似值,以下列表示:
R=dV/dt=DH(xi Pi-xb Pb )/h (2)
其中V為氣泡氣體體積,t為時間,D為氣體在溶液中之擴散係數,h為自被捕獲氣泡頂部至邊界層厚度邊緣之距離,其係位在上層晶圓平面上方距離δ處,及下標b係相應於擴散邊界層界面上之整體溶液之條件。對於固定溫度下之特定化學系統(固定亨利定律常數與擴散係數),兩個因素可導致相對較快速之氣泡溶解:1)大的濃度差/驅動力(xi P1 -xb Pb );與2)短擴散距離h。
若驅動力項H(xi P1 -xb Pb )之值為零,則溶解速率為零。一般而言,此項非常小。由於預濕潤製程之前,氣泡內之氣體典型上係來自晶圓中通孔內部之空氣,且在預濕潤製程之前,液體典型上係與此相同空氣達到飽和,故於氣泡界面上及在整體溶液中之莫耳分率與空氣之莫耳分率一開始是相同的(例如對於氧而研,x=0.21,於氣泡中及在整體溶液中均相同)。因此,就此狀況且一般而言(意即除非採用其他機制以增強氣泡溶解),會造成氣泡溶解主要是在氣泡內相對於氣泡外部之壓力上之自然毛細差異。
由於強大內部毛細作用力所致,故被固定在小鑲嵌特徵(例如通孔)內之被捕獲氣體可展現極大內部壓力。總內部毛細壓力係與接觸角度及表面張力成正比,且與氣泡之曲率半徑成反比。
Pi =Pext +σcosθ/r (3)
其中Pi 為氣泡內之總內部壓力,Pext 為流體之外部壓力(典型上為約1大氣壓),σ為液體/氣體表面張力,θ為固體/液體/氣體接觸角度,及r為曲率半徑。應注意的是,曲率半徑r不能夠與特徵寬度顯著地不同,因此吾人可經常以通孔之半徑作為替代氣泡曲率半徑之近似值。對於小通孔,總內部壓力(且因此為各成份之分壓)可變得極大,超過數個大氣壓或更多。相對於整體溶液,此等大的內部壓力係於是驅動一非平衡狀態,且相對於在相同壓力下溶解氣體於整體溶液中之量,氣泡界面係變得顯著地過飽和(意即在氣泡界面上之溶解氣體之量超過液體中之氣體溶解度)。此滿足快速氣泡溶解條件之一。對於小通孔,小擴散距離"h"亦有助於快速溶解速率。
對照上而言,具有較大半徑氣泡之大通孔兼具有小過量內部壓力與遠為較大之擴散距離。對於縱橫比(深度對寬度)為3:1之通孔,此通孔最初係在大氣壓力下被50%充填氣體,以此通孔深度作為函數,在各種條件(意即溶解氣體之分壓、晶圓之旋轉速率)下,完全氣泡溶解時間之計算/模型係示於圖1中。對於圖1中所示之所有製程,σ=60達因/公分(例如水之數值),D=1.9E-5平方公分/秒(例如水中空氣之數值),T=20℃,及Vi=50%之通孔。
Vi為在1大氣壓下之氣泡之原始體積(意即各通孔中只有50%係被充填氣泡,以產生此等圖形)。關於Pext =0.2情況,在流體上之壓力仍然為一大氣壓,但在整體液體中之溶解氣體之分壓係僅相當於與0.2大氣壓之氣體壓力呈平衡之分壓。例如,此條件可藉由使被捕獲氣泡形成而達成,其方式是以具有0.2大氣壓之經除氣流體溢滿表面,同時在流體上之氣體壓力為1大氣壓。關於Pext =3情況,在液體中溶解氣體之量係等於與1大氣壓呈平衡之量,但在液體與氣泡上之壓力係等於3大氣壓。例如,此狀態可藉由使被捕獲氣泡形成而達成,其方式是以大氣-飽和液體溢滿表面,然後施加3大氣壓之外部壓力在通孔/液體/晶圓上。於此情況中,氣泡於大小上係立即縮小至其最初體積之1/3。
將曲線A及F(未經除氣之預濕潤流體,其中氣體之量係等於與1大氣壓空氣呈平衡之量)與曲線B及C(經除氣至分壓等於0.2大氣壓之預濕潤流體)作比較,經除氣之溶液具有較低氣泡溶解時間。藉由比較,曲線F與C為類似,但邊界層厚度與溶解時間為較大,因為晶圓係在較緩慢速率下旋轉(在A與B中為12 rpm對90 rpm)。
圖1之曲線A與F顯示在溶液係與空氣飽和之情況下,通孔內部之氣泡溶解時間,在通孔0.2微米大小對50微米大小之間,係改變超過5個數量級。於小的次微米特徵中,氣泡為不穩定,且會迅速地溶解,但在較大特徵中,氣泡會持續極長時間。例如,線條結構為1微米直徑與4微米深度完全充填氣體之相對較大前端,計算值顯示該氣體會在小於4秒內完全溶解。對照上而言,0.25微米特徵,1微米深,係如此不穩定,以致會在小於0.4秒內溶解,且較小結構本質上會立即溶解。但是,兩項有利因素(意即高內部壓力與短擴散距離)會在大型TSV尺度結構中消失。對照上而言,計算值顯示對於25微米寬100微米深之特徵可能花費超過2小時溶解。即使此特徵僅充填10%氣體在其底部,仍然花費20分鐘或更多時間移除氣體。
自預濕潤流體移除氣體會減少溶解被捕獲氣泡之時間。於此情況中,藉由移除來自溶液之氣體,例如經由在部份真空下(意即藉由減少此產物在除氣單元之氣體側之量,氣體係被逐出流體)之除氣單元中,降低曝露在預濕潤流體之氣體分壓,驅動力之右項(在方程式2中之xb Pb )係變小。被捕獲氣泡中之氣體係在大約1大氣壓或更多下(當有顯著毛細壓力時)。於氣泡界面上,氣體之濃度係在與相同1大氣壓或更大壓力之濃度呈幾乎平衡下,但在溶液中,由於除氣操作所致,就整體而言濃度係在遠為較低之濃度下。這係產生溶液中氣體之顯著濃度驅動力與半飽和程度(化學"能力"),以使得能夠快速溶解氣泡。
此程序最初可能顯得吸引人,但可能遭遇兩種限制。首先,對於大的深通孔,氣體之擴散距離可能仍然為重要限制因素。第二個,由於溶液中氣體之量絕不可能小於零,故關於溶解之驅動力之大小係被限制為不超過大約Hxi P(P=1大氣壓)。將圖1之曲線B及C與A及F作比較,大特徵(例如50微米)之溶解速率,相較於未經除氣之氣體,係降低達超過一個數量級,但此溶解時間一般而言仍然為無法令人接受地長(例如至少5-10分鐘)。應注意的是,與1大氣壓溶解氣體驅動力之增加作比較,因為此製程係藉由大的過量內部氣泡壓力所主導,故較小特徵之溶解速率並未顯著地受使用除氣溶液所影響。
圖2顯示不同特徵尺寸之氣泡溶解時間(在90 rpm旋轉速度、60達因/公分下),其中溶解氣體之量為獨立參數。於各情況中,氣泡最初為通孔大小之50%,且在流體與氣泡上有1大氣壓之外部壓力,惟溶解分壓之變化如函數之x軸。為了釐清之目的,在圖2中,溶解氣體之濃度係對應於x-軸上之溶解氣體壓力,與亨利定律有所關聯。例如,此等分壓係經由除去接觸流體之氣體達到x-軸參數之程度而獲得。在較小、較不深特徵中之氣泡係更迅速地溶解,速率係藉助於大內部毛細壓力。再一次降低較小特徵之分壓對於減少溶解時間具有較低相對作用。對於較大特徵(例如50微米x 150微米),降低溶解氣體之分壓低於30至40%飽和狀態,其利益減少。除了最小與最淺特徵,所有特徵之溶解時間係超過100秒。於所有情況中,特徵深度為重要限制因素,其中深特徵係具有長溶解時間。
裝置
一般而言,本文中所述之裝置設計與方法係避免氣泡在晶圓基板上之凹陷特徵(例如通孔)內形成,其方式是首先在以流體預濕潤表面與特徵之前,自特徵內移除氣體,主要是所有不可凝結氣體(例如氮與氧)。為達成此項,將具有凹陷特徵之晶圓放置在適合固定晶圓及自晶圓表面移除氣體兩者之容器(例如真空容器)中。除了容器本身以外,係需要移除氣體之機制(例如連接至真空來源譬如泵之管線)與沉積液體至表面上同時保持真空狀態之機制。
本文中所述者為在電鍍製程起始之前或於其後很短時間內預濕潤晶圓之各種裝置設計,其中可以其他方式被捕獲在凹陷於表面中特徵內之氣泡與氣體係被避免。預濕潤裝置之具體實施例包含各種構件。典型上,預濕潤裝置包含預濕潤流體儲存與回流槽,包括液體混合元件及液位控制器與感測器。在一些具體實施例中,此裝置包含預濕潤流體除氣流動回路。在一些具體實施例中,此種除氣流動回路包含循環泵、定路徑/轉向閥、液體除氣構件及在液體除氣構件與系統真空泵(用以抽氣降壓及施加真空至該工具上之各種液體除氣構件與預濕潤室)間之連接。預濕潤裝置亦包含預濕潤室。在一些具體實施例中,預濕潤室包含兩位置(打開/關閉)真空晶圓進入門或蓋用於進入該室,及合併之門或蓋與噴濺屏蔽,其係防止液體撞擊及接著自上方壁或門滴落至晶圓表面上。在一些具體實施例中,於該室內部者為晶圓固定器,以供在該室內支撐與旋轉晶圓。在一些具體實施例中,該室包含空氣圓頂室加熱器,用以防止在其他情況下停留於晶圓與真空晶圓進入門上方且可能滴落至晶圓上之液體凝結於該室壁上。預濕潤室典型上包含用於預濕潤流體進入該室,且導引預濕潤流體落在旋轉晶圓上方表面上之入口,及入口管線與室通口,用於抽出與釋放在該室上之真空,入口管線含有粒子過濾元件,而入口含有流量擴散器,其係經設計以分散進氣流量,並使室擾流降至最低。在一些具體實施例中,該室包含液位感測器,用於監測排空/準備與溢流/過滿狀態。預濕潤室典型上亦包含排水口,用於移除來自該室之液體,且導引排放之流體返回儲存槽。
本文中所述之具體實施例係克服被捕獲氣泡之有害作用,特別是可在晶圓中之較大通孔或溝漕內所形成之氣泡,其方式是:(1)藉由移除實質上所有在晶圓上方及來自通孔內之大氣不可凝結氣體,而在預濕潤期間,完全避免於通孔中捕獲氣體,然後以預濕潤流體預濕潤晶圓;及/或(2)藉由施加大外部壓力在流體上而顯著地增加氣泡溶解之速率,藉以經由在氣泡界面上產生大過飽和狀態而驅動氣泡溶解於流體中。除了此等預處理與預電鍍措施以外,在一些具體實施例中,電鍍係在被保持於除氣狀態下之電鍍溶液中進行,而在其他具體實施例中,電鍍溶液就在被曝露至晶圓表面之前於管線中被除氣。
在一些具體實施例中,可於電鍍單元內進行預濕潤,其中預濕潤流體具有與電鍍溶液相同之組合物。但是,由於多種原因,包括合併電鍍製程與真空製程之硬體複雜性,預濕潤(包括真空特徵-回填之預濕潤)係經常在與電鍍單元不同之單元、次單元或模組中進行。當在真空下之預濕潤係於電鍍單元之顯著不同區域中,或在與電鍍單元之顯著分開模組中,而非於電鍍溶液中進行時,預濕潤流體之組合物可經選擇。預濕潤流體可具有與隨後用於電鍍晶圓者相同或極類似之組合物。預濕潤流體可包含電鍍浴之所有元素(例如相同溶劑與相同溶解金屬離子、酸類、陽離子、添加劑及鹵化物,在如電鍍溶液中之相同或極類似濃度下)。此種預濕潤流體可於一些具體實施例中發生作用。或者,在其他具體實施例中,可使用與電鍍溶液極為不同之預濕潤流體。例如,在一些具體實施例中,以下之預濕潤流體,1)水,2)具有比電鍍溶液實質上較高金屬離子濃度之流體,3)具有溶解鹵化物之較低不同組合或無溶解鹵化物之流體,4)實質上不含一種、數種或所有電鍍添加劑之流體,或5)水可溶混溶劑,可作為預濕潤流體使用。此種預濕潤流體係進一步描述於本文中。
當選擇預濕潤流體組合物時,應考慮許多因素,包括以下之可能性:a)在電鍍起始之前腐蝕晶圓基板上之金屬層;b)抑制電鍍製程(意即減緩或完全抑制特徵金屬-填充製程);c)預濕潤流體損失到後續預濕潤流體再使用;及d)隨著時間改變(藉由添加、稀釋或濃縮)電鍍浴內之各種關鍵性物種濃度。後述製程可改變電鍍浴中之金屬離子濃度、鹵化物濃度、有機添加劑等。此等作用可為相當可觀。再者,當使用與電鍍浴不同組合物之預濕潤流體時,在相同模組中進行預濕潤製程,未使得移除與回收被添加至電鍍溶液中之過量夾帶預濕潤流體之適當機制能夠運作,係通常需要隨著時間減輕、監測及/或在其他情況下修正電鍍溶液改變之機制。另一方面,利用硬體及一種其中預濕潤操作係在允許分離與回收此流體之電鍍單位之個別處理站、模組、容器或次容器中進行之製程可為有利,因其可避免此種問題。隨著此背景,且為了簡化具體實施例之核心概念之描述,許多具體實施例係描述於後文個別預濕潤"站"與個別"電鍍站"之內文中,其中晶圓係自前者被轉移至後者。但是,雖然在一些情況中可能為有利(例如為了避免不同液體之混合或為了其他原因),但與預濕潤材料、一般流體及電鍍處理順序之特定選擇有關聯之具體實施例之方面並非意欲被如此限制。
圖3係描繪預濕潤裝置之一項具體實施例之示意線路圖(意即室301及所結合之硬體)。室301係經過該室中之出口及經過三向閥接頭305連接至真空泵303。在三向閥之另一側為除氣回路306,其包含預濕潤流體槽桶307、除氣元件309,及用於使預濕潤流體環繞除氣回路循環之泵311。於另一項具體實施例中,預濕潤流體進料管線與真空管線並未被連接,惟在該室上除外,且各具有其自有閥(意即沒有三向閥)。在一項替代具體實施例中,該室具有讓預濕潤流體進入之入口,及適合與真空泵連接之出口。若需要藉由泵驅動流體進入該室中,而非藉由被預濕潤流體槽桶307與室301間之壓力差吸入該室中,則泵311之位置可在除氣構件之後。
在一些具體實施例中,於預濕潤流體保持槽桶307中之區域係以下述方式清除氣體,使用真空泵(未示出),藉由施加真空至保持槽桶,以致達成最少量之溶解氣體。氣體自預濕潤流體之移除速率亦可藉由增加流體對真空之曝露表面而增加,例如經由使流體以噴霧器或經過噴霧塔自循環回路再進入該室。於圖3中所示系統之具體實施例中,預濕潤流體係經過除氣元件309(例如在一些具體實施例中為薄膜接觸除氣器)循環,以在預濕潤之前自預濕潤流體移除一或多種溶解氣體(例如O2 與N2 兩者)。市購可得之除氣元件之實例,係包括得自Charlotte,NC之Membrana之Liquid-CelTM ,與得自Chaska,Minnesota之Entegris之pHasorTM 。溶解氣體之量可以適當計量器(例如市購之溶解氧計(未示出))監測。於預濕潤流體進入室301之前移除溶解氣體,可改善預濕潤製程,其係描述於本文中。使預濕潤流體除氣之後,在除氣室309之真空側與真空泵303間之閥315係視情況關閉(此係防止最初在該室中之氣體變成溶於經除氣之預濕潤流體中;在一些具體實施例中,個別泵可用於此兩種功能)。
不像使用以類似圖3方式經設計之裝置時存在之狀態,若預濕潤流體未在使其曝露至晶圓之前於真空下被除氣,則來自該流體之溶解氣體可在其進入該室時自流體釋出。這會造成氣泡形成於通孔內部。雖然不希望被特定模型或理論所限制,但通孔底部為負曲率之位置,且一般認為此位置係特別容易使氣泡成核,及自預濕潤流體釋出氣體。若此發生,則氣泡將由含有溶解氣體之預濕潤流體形成,因其係在預濕潤條件(例如真空於該室中)下與氣體過飽和。經如此形成之氣泡於預濕潤製程之後可仍然存在於該處,其依次可抑制電鍍於該處,且會導致有關聯之缺陷。因此,在一些具體實施例(包括圖3中所示之具體實施例)中,於預濕潤製程中所使用之預濕潤流體為經除氣之預濕潤流體。在一些具體實施例中,經除氣之預濕潤流體可為電鍍溶液,且本文中所述之預濕潤方法可在與電鍍室本身相同之室中進行。若採用個別預濕潤室與裝置,而預濕潤流體未經除氣,則可能會發現間歇性與不可信賴之填充結果。例如,當在晶圓上之通孔係被充填預濕潤流體(使用真空下之晶圓),而未首先使預濕潤流體除氣時,已發現通孔之大約15%仍然具有氣泡於彼等中(如藉由具有電鍍後空隙之相同百分比表示,其係為被捕獲之氣泡於其中之指標)。因此,在一些具體實施例中,重要的是,於真空下(意即在低大氣壓力下)及以經除氣之流體進行預濕潤。
對照上而言,使用經除氣之預濕潤流體,且併用在真空下(意即在低大氣壓力下)之預濕潤操作,於一些具體實施例中,會導致比採用單獨在真空下預濕潤時顯著較少之特徵空隙。在獲得良好保護以防止形成空隙之特殊具體實施例中,經除氣之預濕潤流體及在真空下預濕潤之組合,係進一步與在經除氣之電鍍溶液中電鍍合併。電鍍溶液可僅在電鍍之最初階段中被除氣(例如僅歷經電鍍製程之約最初10分鐘),或保持除氣,歷經整個電鍍製程(例如若電鍍時間為較大時)。在此等條件下所進行之實驗會產生無空隙之通孔。
返回圖3,在室301中之壓力已達到低數值(意即低大氣壓力)之後,對真空泵位置之三向閥305係經切換以連接至來自除氣回路306之管線,且除氣器回路之三向閥313係經設定為允許流體被導入真空室301中。於一些具體實施例中,低大氣壓力係約等於預濕潤流體在操作溫度下之沸騰壓力,其對於環境溫度下之水為約20托。在其他具體實施例中,低大氣壓力為約50托。在進一步具體實施例中,當預濕潤晶圓基板時,係維持50托之壓力。於替代具體實施例中,預濕潤系統係經設計以在該室中之壓力已被降至低於約50托之後,起始預濕潤流體之引進至該室中及至晶圓基板上。在其中預濕潤流體槽桶307係於大氣壓力下之具體實施例中,液體係藉由真空室與預濕潤流體槽桶間之壓力差被抽出至室301中。
預濕潤流體係在室301中濕潤晶圓之晶圓表面之元件側面。針閥317可用以計量預濕潤流體之流入室301中。室301之具體實施例係描述於本文中。在一些具體實施例中,室301為壓力室,其係經設計以施加外部壓力,以增加氣泡溶解之速率,如本文中所述。在預濕潤裝置之進一步具體實施例中,預濕潤裝置包含轉移機制,其係經設計以自預濕潤室轉移晶圓基板至電鍍裝置。
於一些具體實施例中,使預濕潤流體在注入預濕潤室之前冷卻(例如對於水為0℃,或對適當電解質為-10℃)。於其他具體實施例中,除氣器係經設計以使預濕潤流體冷卻至溫度低於約20℃。使預濕潤流體冷卻之方法之其他實例,包括使流體通過預濕潤流體保持槽桶中之熱交換器或經過直線式冷卻器(兩者均未示於圖3中)。使預濕潤流體冷卻會降低預濕潤流體之溶劑之蒸氣分壓,其係允許例如較大所施加之真空至除氣元件。降低預濕潤流體之溫度亦可有效增加預濕潤流體之表面張力與黏度兩者,其係傾向於使得除氣元件"鼓風"或"滲出"之現象較不普及。當處理含鹽之預濕潤流體時,滲出可為特別困難之問題,因為帶有滲出鹽之流體係傾向於乾燥且破壞除氣元件之孔隙。使用較低溫度流體會降低帶有鹽之電解質蒸發及流動之傾向,避免此除氣元件故障之已知來源。例如,水(具有少量鹽)之蒸氣壓在-10℃下為約2.7托,相對於20℃下之17.5托及30℃下之32托。使用20托真空(產生約0.5 ppm溶解大氣氣體)施加至除氣元件,30℃預濕潤流體將實在地沸騰且留下鹽在除氣元件之孔隙附近,而20℃預濕潤流體會快速地蒸發。但是,極少除氣元件鹽溶係在使用-10℃預濕潤流體時發生。因此,一般而言,更多溶解氣體可以較低溫度流體更有效地自除氣元件被移除。於一些具體實施例中,當預濕潤流體被除氣時且在其進入處理室之前,使其冷卻至溫度低於20℃,例如0℃或較低。而且,降低預濕潤流體之溫度會降低預濕潤系統中之金屬腐蝕速率。
在預濕潤裝置之一些具體實施例中,晶圓表面係以預濕潤流體加以濕潤,接著施加外部壓力至該流體。晶圓表面首先係使用適當機制與流體接觸,通常係將晶圓浸入預濕潤流體中(本文中所述)。在此等具體實施例中,預濕潤室包含讓預濕潤流體進入之入口,且該室係經設計以在預濕潤期間或之後,在高於大氣壓力下操作。施加外部壓力至流體係幫助移除氣泡。在一些具體實施例中,預濕潤流體係經預調理,以致其在預濕潤表面之前實質上不僅不含氧(例如以使晶圓上金屬之腐蝕降至最低),而且不含所有溶解不可凝結氣體,譬如氮與二氧化碳,以加速凹陷特徵中之任何被捕獲氣泡之溶解速率。晶圓之曝露至供使用於半導體晶圓處理之脫氧處理流體,係描述於美國專利案號6,021,791與6,146,468中,其係併於本文供參考。
於晶圓之浸入預濕潤流體中或以預濕潤流體覆蓋晶圓之後,關閉且密封晶圓附近之區域(例如壓力室),並施加外部壓力至該室與流體。壓力可以氣動方式(例如引進高壓氣體至流體上方區域中之該室內)或水力方式(例如其中該室實質上不含未經溶解氣體,且使用液壓活塞或其他適當元件以施加外部壓力至流體)施加。當在該室中之壓力增加時,氣泡會自其最初大小減小。當使用氣動(氣體)壓力以壓縮被捕獲之氣泡時,避免溶解大量氣體至預濕潤流體中,特別是在氣泡附近,可能是重要的。在一些具體實施例中,係使用停滯相對較厚層流體,例如大於1公分厚度。在其他具體實施例中,氣動壓力係經過長管件被施加至該室,對氣體溶解使用大量阻力防止抵達界面,以致接觸液體之氣體係如此進行,涵蓋相對較小表面區域,且具有相對較長擴散路徑,限制可溶解於流體中之氣體量,歷經一段時間。但是,施加壓力,關於被捕獲氣泡溶解之驅動力將隨著所施加之壓力增加。對於未具有顯著毛細壓力作用之大氣泡而言,關於溶解之驅動力係大約等於氣泡中特定氣體成份之原始莫耳分率,及對該室之所施加壓力與溶解氣體於流體中之原始分壓上差異之乘積。此後述量將依在預濕潤流體上所進行除氣之程度而改變。
雖然在不為浸入具體實施例而是以預濕潤流體之薄層覆蓋晶圓之預濕潤具體實施例中,壓力可以氣動方式或水力方式施加,但以氣動方式所施加之外部壓力將潛在地允許氣體迅速地再溶解於(例如經除氣)預濕潤流體之薄層中。在來自外部加壓氣體來源之氣體吸收對得自氣泡之氣體溶解於液體中之間有競爭。因此,相對較厚層之預濕潤流體應被使用於非浸入預濕潤操作。而且,有數量有限之實用機制,用於施加流體靜壓至晶圓上之預濕潤流體薄層。一種如此進行之可能機制係為產生面向上晶圓及含有預濕潤液體流體之杯狀物。對照上而言,對預濕潤流體之厚層與浸入預濕潤方法有遠較寬廣容許度。這是因為壓力可藉由純流體靜力機制傳送至氣泡,或者,氣動壓力之施加不會迅速地再飽和具有氣體通孔中之氣泡附近之預濕潤流體,因為涉及相對較長擴散距離。
當施加壓力時,隨著氣泡中之氣體分壓超過預濕潤流體中之氣體分壓,氣泡開始溶解。最後,氣泡會完全溶解,其全部時間係依一些參數而定,譬如其原始大小、所施加之壓力及在特徵內部之氣泡之最初深度。在氣泡已完全溶解之後,於釋放壓力之前,通常應允許經過一些時間,以致任何過量溶解氣體(除了可在1大氣壓下溶解者以外)就整體而言可達成平衡進入預濕潤流體中。這係避免使特徵內部之氣泡再成核之可能性。當按照此程序時,氣泡係自特徵被移除,且不會於釋放過量外部壓力時再形成。
參考圖1,曲線D與E(個別為90對12 rpm旋轉,在電鍍浴中)係針對如上文所討論之氣泡溶解速率計算,但在此情況a),其中溶解於溶液中之原始氣體之量係等於與1大氣壓空氣呈平衡者(與條件A相同,意即未使接觸流體除氣),與b),其中外部所施加之壓力為3大氣壓。對於此情況,溶解氣體在整體流體中之總壓力係等於1大氣壓下之空氣,而在氣泡之界面處,係與3大氣壓呈平衡。將圖1中之情況A與F(沒有除氣且沒有加壓),以及情況B與C(除氣但沒有加壓)及情況D與E(沒有除氣但使用加壓)作比較,以達成最短溶解時間為觀點,流體之加壓係顯示為一種良好方法。使用預先經除氣之預濕潤流體(0.2大氣壓),且併用3大氣壓外部流體加壓(一種情況未示於圖1中),根據計算值,通常會導致關於大特徵之除氣時間上之進一步50%減少(3-1=2大氣壓驅動力對3-0=3大氣壓驅動力)。
但是,應注意的是,除了只有在氣體移除時間上之減少以外,於此項操作中使用經除氣流體有潛在重要附加優點(在此情況中,其可例如藉由簡單地增加壓力至例如4大氣壓而達成)。釋放外部所施加之壓力至該室之後,來自氣泡之氣體及來自外部來源之一些氣體(若以氣動方式驅動時)會溶於預濕潤流體中。如上文所指出,除非吾人等待達成平衡(其可為相對較慢之過程,花費數分鐘或更多),否則有在通孔內部使氣泡再成核且再形成之傾向,因為於釋放壓力之後,流體(特別是在特徵內部)仍然可能含有超過可於環境條件/壓力下溶解之濃度的氣體(意即超過與一大氣壓之壓力達成平衡者)。相對地,若流體係在施加外部施加壓力之前被除氣,則此平衡時間可大大地免除,因為有吸收來自氣泡之氣體,藉以避免氣泡之再成核與沉澱之實質過量能力。
最後,依晶圓之定向及氣泡與內部通孔表面間之表面張力而定,被捕獲之氣泡藉由外部壓縮壓力縮小至顯著小於通孔直徑之大小可允許氣泡本身自壁脫離,且接著由於其自身浮力而浮出通孔口。一旦氣泡離開通孔,即可移除壓力,而無氣泡被捕獲於內部之可能性。小於約0.5毫米之氣泡在無限介質(沒有壁效應)中上升之終端上升速度係取決於其直徑a、動黏度v 及雷諾數Re,且可經證實大致係由下式表示:
 對於Re<1.0 (4)
 對於20<Re<100 (5)
其中g 為重力加速度,及v 為預濕潤流體動黏度(流體黏度除以流體密度)。
於此等情況(意即(4)與(5))行為上之差異係為對於低Re而言,對流為可忽略,且無尾流在上升氣泡後發展出,相對於其中考慮到尾流阻力,且會造成該阻力兩倍之無旋情況(意即當雷諾數為高時)。關於氣泡上升高出通孔深度所花費之時間可以t(秒)=h/V計算而得,例如其對於100微米深通孔(0.01公分)中之10微米直徑氣泡係為約正好少於一秒。典型上,100微米深通孔可具有25微米直徑開口,因此氣泡在無限介質中上升之假設不為正確,因壁流動-滑移效應會增加時間。應明瞭的是,若外部物體力係被施加至系統超過或代替重力,則吾人可進一步加速此過程。例如,向心力可藉由旋轉晶圓而施加,其中晶圓開口係指向旋轉中心,幫助驅動氣泡向內。
方程式4與5係低估當氣泡直徑接近通孔大小時之實際氣泡上升時間。當氣泡在無限介質中上升之假設變成基本上不正確時(意即對大於特徵直徑大小約之氣泡直徑),此低估變成一個因素。在上升氣泡之移動與通孔壁間之剪切流應力係開始於此種狀況下主導。當氣泡直徑接近通孔直徑時,滿足此假設之條件仍然可簡單地藉由施加更多壓力至系統(使氣泡進一步縮小),或經由簡單地計入所預期之較長氣泡上升/消除時間而達成。
預濕潤室之不同設計係描述於本文中。預濕潤室之一項具體實施例係示於圖4中。在此項具體實施例中,預濕潤室係經設計以輸送呈液體形式之預濕潤流體至晶圓基板上。預濕潤室亦可經設計以噴洒或液流預濕潤流體至晶圓基板上,歷經一段時間。於圖4中,晶圓401係在預濕潤室403中,以晶圓固定器402固定面向上。於一些具體實施例中,晶圓固定器係經設計以在預濕潤製程期間固定晶圓基板呈實質上水平方向(意即平行於地平線)。於其他具體實施例中,晶圓固定器係經設計以在預濕潤製程期間固定晶圓基板呈實質上垂直方向。
在一種典型操作中,真空係首先經過連接至真空系統(未示出)之真空孔409被抽取於室403上。這會降低該室中之壓力至低大氣壓力。在該室中之許多氣體藉由真空移除之後,預濕潤流體係自噴嘴405或其他機制被輸送至晶圓表面上。於一些具體實施例中,預濕潤流體係在接觸晶圓表面之前被除氣,再一次避免氣體於預濕潤流體進入真空環境時被釋出。晶圓可於預濕潤流體輸送過程期間以電動機407旋轉,以確保晶圓之完全濕潤與曝露。在一些具體實施例中,預濕潤室係經設計以輸送預濕潤流體至晶圓基板上。在一些具體實施例中,預濕潤流體為液體。在一些具體實施例中,預濕潤流體(液體)首先係於晶圓基板中心之約3公分內接觸旋轉晶圓基板。在預濕潤後,晶圓係以電動機407,於低rpm下旋轉,以移除夾帶預濕潤流體,但留下流體之薄層在晶圓表面上。過量預濕潤流體係經排放,並經過孔411離開真空室。然後,將晶圓轉移至標準電鍍單元,譬如Novellus蛤殼式單元,以使用藉由表面張力所保持之預濕潤流體之薄層電鍍於其表面上及在其特徵內。
圖5係描繪適合進行本文中所述預濕潤製程之預濕潤室具體實施例之等比例圖。圖5為類似圖4中所示具體實施例之預濕潤室之詳細示意圖。預濕潤室501包含電動機503,以在處理期間旋轉晶圓,係藉由電動機與軸承支撐構件505,經由對室底部504之卡盤錨定於該室下方,此兩者亦會在軸承507與該室及軸承下側之間產生液封。軸承為市購可得之真空-通過中心軸旋轉軸承。電動機係經由接頭509連接至驅動軸511,其係經過真空隔離軸承通到卡盤底座513。按適當方式,卡盤具有三個支撐晶圓(晶圓未示出)之臂(515為一個臂)、約束型針銷及其他對準裝置517。
在該室之下方區段者為排水口519,用於移除被施用至旋轉晶圓之後可能積聚於該處之過量預濕潤流體。流體係朝室壁拋出,且滴落至該室底部。在一些具體實施例中,晶圓周圍"流體缺陷屏蔽"(未示出)係大約被放置於晶圓平面上,以在撞擊室壁之前,使流體偏轉自晶圓邊緣向下流出。偏轉屏蔽可為可移動,或晶圓與晶圓卡盤平面可藉由適當垂直移動機制與密封物調整。而且,於該室之底部者為真空入口與真空釋放管線521,其係嵌入流體保護屏蔽523中,係為一些具體實施例。此屏蔽係幫助防止在該室內來自不必要擾動流體之氣體遽增,以及藉由分離該兩種,使被抽取至真空管線中之液體量降至最低。雖然真空管線(與屏蔽)可位於該室之上方區段,但可有利地自晶圓下方抽取真空,以使任何粒子掉落至晶圓上且形成缺陷之傾向降至最低。若粒子或其他物質在以氣體回填該室期間進入該室,或當室門打開時自周圍環境進入該室,則這可能發生。為使粒子及其他物質進入該室降至最低,該室典型上係以粒子-過濾之惰性氣體譬如氮、二氧化碳或氬回填,且當門打開時,將稍微正壓力之乾淨不含粒子之氣體餵入該室。典型上,將回填氣體過濾,且進入流體係進入裝載於室壁上之流量擴散器,以避免氣流噴射可能不必要地乾燥晶圓或擾動任何室內容物。
在一些具體實施例中,預濕潤流體噴嘴525係位於上方及側面,而非在位於中心之晶圓與晶圓卡盤上方,其係經定位與設計以噴洒或液流流體,以抵達晶圓中心區域。在其他具體實施例中,預濕潤流體噴嘴係經連接至可移動臂,其可位於晶圓上方。在圖5中所示之具體實施例中,室真空門527係位於沿著室壁,且經設計以密封該室本身。其可移動遠離該室以及向下(或向上),因此晶圓可自由地進入該室,然後於晶圓被放置在晶圓保持卡盤上之後回復至密封位置。可潛在地停留夾帶流體之門及其他構件應經設計,以致使流體可不滴落至晶圓上。例如,門之回縮位置及所結合之硬體可位於晶圓在插入該室時所造成之平面下方,以致能夠避免在其他情況下之滴下流體於運送進或出該室期間污染晶圓。
在一些具體實施例中,該室之上方區段,特別是平面上方之區域,其中晶圓係位於卡盤上且經過門被取出,係經加熱高於欲被預濕潤晶圓之溫度。這包含在於晶圓上方之區域(頂部表面或真空圓頂,未示於圖5中),以及晶圓附近之周圍區域兩者。此加熱可用於真空條件被建立之前,避免液體自該室之天花板滴落至晶圓上,有效地捕獲其中液滴落下之通孔內部之氣泡,避開只有將空氣首先自通孔移除時才放置預濕潤流體至晶圓上之所要製程。同樣地,在放置晶圓至該室中期間,從壁掉落至晶圓表面上之液體係具有類似作用。藉由加熱室壁,係避免在壁與天花板上之凝結,以及使得在其他情況下可能抵達此等位置之任何雜散液滴能夠快速蒸發。藉以保持此等區域乾燥。
雖然未示於圖5中,但在一些具體實施例中,可垂直地移動且可自動化噴濺屏蔽係位於晶圓與卡盤周圍及在該室內部。噴濺屏蔽可在流體施用期間或在其他時間下向上移動,按適合使其中特別是液體接觸室門或上方壁降至最低及避免而定。或者,晶圓卡盤可於晶圓插入之後向下移動,更深入該室中,及在真空門之平面下方,達成相同目的。
在其他具體實施例中,不輸送預濕潤流體至晶圓表面,而是將晶圓浸入預濕潤流體中或在其他情況下以其覆蓋(例如藉由凝結),同時於流體與晶圓上方保持真空條件。由於在該室內產生真空會造成其中實質上無不可凝結氣體於該室中之狀態,故預濕潤流體並未被阻礙進入通孔。以另一種方式說明,液體不需要在預濕潤期間置換位於通孔內之任何氣體,因為氣體已在預濕潤操作之前,於個別操作(抽取真空)中被移除。
例如,於一項具體實施例中,在真空被施加至預濕潤室之後,可凝結流體蒸氣係於該室內產生或被引進至該室(例如水蒸氣(例如低壓蒸汽)、甲醇、碳酸二甲酯、碳酸二乙酯、異丙醇、二甲亞碸及二甲基甲醯胺,或作為後續電鍍電解質使用之其他液體,可容易地溶解於後續沖洗液中,或可溶於後續電鍍電解質中)。在其中晶圓基板具有至少一種凹陷特徵,且預濕潤室係經設計以輸送呈氣體形式之預濕潤流體至晶圓基板上之具體實施例中,預濕潤流體係凝結,以在使用預濕潤流體充填凹陷特徵之晶圓基板上形成液膜。圖6係描繪經設計用於此種凝結預濕潤製程之預濕潤室之一項具體實施例。圖6顯示室601,其具有允許進入該室之可移動真空蓋(或者進入門) 609、至真空來源611之管線、真空釋放管線613及可凝結流體入口615。真空密封物617係密封下層真空包容容器619以隔離該室之其餘部份。晶圓603係位於晶圓冷卻構件(冷凝器) 605上,其係為晶圓保持固定物(卡盤) 607之一部份。晶圓冷卻構件605會降低晶圓基板表面溫度至低於經過入口615流入該室之預濕潤流體成蒸氣之凝結溫度之溫度。於另一項具體實施例中,在產生真空且自具有真空之室601移除可凝結氣體(例如空氣)之後,將水簡單地加熱,並使其在該室中蒸發(意即煮沸),及使其凝結於該室內部之表面上,包括且較佳地在較冷晶圓603上。例如,於未具有真空密封物617之一室中,在該室下方區段619中之少量水可經加熱,並允許於該室內部抽取真空時同時閃蒸。對真空之連接可在此製程期間,於某一點下被移除(關閉)。
於另一項具體實施例中,晶圓基板係被浸入預濕潤流體之浴液中,歷經一段時間。圖7係描繪經設計用於此種浸入預濕潤製程之預濕潤室之一項具體實施例。在圖7中,晶圓701係於室703中,被固定在晶圓固定器702內。室703具有入口711,用於讓預濕潤流體進入。如所示,晶圓係被固定於晶圓固定器中面朝上,且藉由仍然允許流體自周圍邊緣抵達晶圓之適當機制固定。真空係經過連接至真空系統(未示出)之真空孔707被抽取於室703上。然後,將晶圓以預濕潤流體加以濕潤,其方式是例如1)晶圓與晶圓固定器移動向下至預濕潤流體713中,或2)藉由流體經過入口711進入,而使預濕潤流體液面上升。在預濕潤製程期間,晶圓可以電動機705慢慢地旋轉。於預濕潤製程之後,液位係被降低,或晶圓被升高,且晶圓係以電動機705,在低rpm下旋轉,以移除過量夾帶流體,留下薄預濕潤流體層。氮氣經過孔709流動,亦可用以乾燥晶圓之背側,而晶圓之前側仍然保持濕潤。接著,將晶圓轉移至標準蛤殼式單元,以供電鍍。
於圖7中所示之預濕潤室之其他具體實施例中,晶圓可以面朝下位置被固定。在具有如圖7中所示預濕潤室之預濕潤裝置之一些具體實施例中,預濕潤裝置係經設計,以在該室中之壓力已被降至低於約50托之後,起始晶圓之浸入預濕潤流體中。於圖7中所示之預濕潤室703可用於其中如本文中所述施加外部壓力以溶解氣泡之具體實施例中。該室及其他組件係需要抵抗內部壓力而非真空,或除了真空以外需要抵抗內部壓力。
圖8係描繪經設計用於浸入預濕潤製程之預濕潤室之另一項具體實施例。圖8顯示預濕潤室801、晶圓809及流體813,或相對於彼此移動之晶圓固定器803。在此項具體實施例中,該室與晶圓固定器803可經傾斜,以精確控制預濕潤鋒及自該室之完全液體移除。而且,在晶圓809與該室底部間之間隙很小。如在圖7中,於圖8中之預濕潤流體可經過孔811進入/離開,且真空可經過連接至真空系統(未示出)之真空孔807被抽取於室801上。過量夾帶流體可自晶圓表面移除,其方式是使用電動機805,使晶圓在低rpm下旋轉。當以高成本預濕潤流體預濕潤晶圓基板表面時,或當在其他情況下一般期望使用最少量之預濕潤流體時(例如因此溶解氣體之含量可被保持在低含量下),於圖8中所示之具體實施例係特別有用。在預濕潤後,將晶圓轉移至標準蛤殼式單元,以供電鍍。狹窄間隙、傾斜之表面預濕潤裝置,但未具有在預濕潤操作期間施加真空機制之類似設計,係描述於2005年8月9日提出申請之美國專利申請案序號11/200,338中,併於本文供參考。
於圖8中所示之室亦可用於其中如上述施加外部壓力之具體實施例中。在此項具體實施例中,該室及其他設備係經設計或修正以能夠抵抗與維持內部正壓。
其中預濕潤製程係在電鍍單元中進行之裝置之具體實施例係示於圖9中。或者,亦可陳述預濕潤室係經設計以預濕潤晶圓基板,及電鍍一層金屬在經預濕潤之晶圓基板上。於圖9中,室901為電鍍單元,其中真空密封表面為單元壁903之區段。晶圓保持固定物905係固定晶圓915。在此圖中所描繪之具體實施例內,電鍍單元含有離子電阻離子可透過高電阻虛陽極(HRVA) 907與分離之陽極室(SAC)區域909。含有HRVA裝置之一項實例係描述於2008年11月7日提出申請之美國專利申請案序號12/291,356中,其係在有關聯部份上併於本文供參考。亦參閱2006年8月16日提出申請之美國專利申請案序號11/506,054,其係在有關聯部份上併於本文供參考。
最初,晶圓915係被固定於電鍍溶液913上方,且真空係經過真空孔911被抽取於該室上。當真空被抽取於該室上時,真空典型上應經過晶圓保持固定物被抽取於晶圓之背側,以致晶圓不會破裂。然後,流體液面913係被升高,濕潤晶圓表面。於一些具體實施例中,此流體為預濕潤流體,而在其他具體實施例中,此流體為電鍍溶液。於一些具體實施例中,流體係在接觸晶圓表面之前被除氣。由於沒有氣體在該室中,故晶圓為面朝下之事實不會導致含任何被捕獲氣體之氣泡於表面下方或在通孔內部。在預濕潤完成之後,可釋放真空。於晶圓915上電鍍金屬(在一些具體實施例中為銅)可接著開始。一般而言,較容易(以機械方式與加工處理條件)在環境壓力下進行電鍍,使用或未使用晶圓旋轉。或者,真空可被保持在整個電鍍製程中。再一次,於此項及其他具體實施例中,係有利地使流體在進行預濕潤操作之前被除氣。否則流體可能釋放溶解氣體,於特徵內部或在表面上形成氣泡,當氣體係藉由較低壓力被逐出液體時。
具有適合與本文中所述具體實施例一起使用之各方面之蛤殼型電鍍裝置,其一般說明係詳細描述於美國專利案號6,156,167與6,800,187中,其係併於本文供參考,以提供所有目的。
圖10係描繪用於處理晶圓之電鍍系統/模組1001之一項具體實施例。所示之特定工具佈置含有兩個獨立晶圓處理機器人1003,其係自配置於前方FOUP(前端開口整合盒)承載器1005之匣盒移動"乾燥"晶圓至對準器模組/轉移站(未示出)及轉移室機器人1004。對準器模組係確保晶圓在轉移室機器人1004臂上正確地對準,以精確輸送至系統之其他室/模組。於一些具體實施例中,對準器模組係在方位上(所謂"晶圓凹口對準")以及在垂直與水平平面上對準晶圓至特定位置(意即固定晶圓x、y及z位置記錄)。
相同或不同轉移室機器人係用以在加工處理與乾燥完成之後,將晶圓自工具之後端"濕處理區域"餵回至FOUP。後端機器人(未示出)可含有兩個或多個臂,各具有單一或多個"末端效應器"以夾持晶圓。一些"末端效應器"係於晶圓底部處以真空"棒"夾持晶圓,而其他可僅在其周圍邊緣處握持晶圓。於一些具體實施例中,一個機器人晶圓處理臂末端效應器係僅用於處理具有潮濕表面之晶圓,而其他係保留僅用於處理完全乾燥晶圓,藉以使污染降至最低。
在晶圓進入轉移站(含有轉移室機器人1004)之後,晶圓典型上係被餵至預濕潤室1013(意即預濕潤裝置為模組中之一個工作站,此模組係進一步包含經設計以使用金屬電鍍晶圓之電鍍站,在一些具體實施例中金屬為銅),其各種具體實施例係描述於本文中。在其他具體實施例中,系統1001係經設計用於陽極製程。於此種具體實施例中,模組係進一步包含經設計用於陽極製程譬如電蝕刻或電拋光之工作站。
預濕潤室1013係經設計以在真空下預濕潤晶圓,或施加壓力至經濕潤之晶圓,而在一些具體實施例中,兩者皆可。使用經設計以在真空下預濕潤晶圓之預濕潤室作為實例,當旋轉晶圓時,環境空氣係自該室被移除。一旦達成真空,晶圓之元件側面係被曝露至經除氣之預濕潤流體(在模組1015中使用除氣流動回路除氣)。於濕潤完成之後,移除過量流體,再引進氣體至該室達大氣壓力,並打開該室,以允許晶圓被機器人或其他轉移機制取出。在一些具體實施例中,轉移機制係經設計,以在小於約一分鐘內自預濕潤站轉移經預濕潤之晶圓基板至電鍍站。
於一些具體實施例中,晶圓係接著被置入對準器(未示出),譬如凹口對準器中。經由通過高準確度凹口對準器,可準確安置至邊緣密封電鍍單元中,其排除來自背後與極小元件側面邊緣排除區域(例如距邊緣約1毫米)之電鍍溶液。電鍍單元可經特殊設計成具有橫越凹口區域之密封物。電鍍與特徵填充(意即將一層金屬電鍍在晶圓基板上)係發生於電鍍單元1021、1023或1025(意即電鍍站)中,而在一些具體實施例中,電鍍溶液為經脫氣之溶液。在一些具體實施例中,金屬為銅。電鍍站係經設計,以將晶圓浸入電鍍站中之經除氣電鍍電解質內。在一些具體實施例中,電鍍站係經設計,以在將晶圓基板浸入經除氣之電鍍電解質中之前,使晶圓基板以陰極方式極化。電鍍溶液可經過與主要電鍍浴與電鍍單元間之流動回路不同之個別除氣回路,或經由通過與浴液/電鍍單元回路相同之回路中之除氣構件再循環,正好在進入電鍍單元之前被除氣。
於電鍍完成之後,將晶圓在電鍍單元上方以水沖洗,並旋轉以移除過量夾帶流體,打開晶圓固定蛤殼式裝置,鬆開邊緣密封物,並允許晶圓抽取。於一些具體實施例中,接著自電鍍單元提取晶圓,並運輸至金屬移除等向性蝕刻模組(ITE模組)1031中。ITE模組為濕蝕刻模組,用以自晶圓頂部(主要是在經電鍍晶圓之特徵上方之電場區域)移除金屬,同時留下至少一些金屬於凹陷特徵內部。適當設備、蝕刻方法及蝕刻化學配方之各種設計描述於美國專利案號5,486,235、7,189,649、7,338,908、7,315,463、及2006年11月20日提出申請之美國專利申請案序號11/602,128、2007年7月30日提出申請之11/888,312、以及2007年8月6日提出申請之11/890,790中,其各併於本文供參考。
此外,在晶圓邊緣處之金屬係在ITE模組1031中移除。由於晶圓經常係以邊緣固定,排除蛤殼式裝置,故在頂側球狀蝕刻於此處進行之前,只有種晶金屬之薄層存在於最外邊之周圍(最初晶種層)。因此,於此處加工處理後,晶圓之極端邊緣完全無金屬係為常見,然而較中心、非電鍍保護及邊緣排除之區域可能具有一些殘留金屬(但是,在其他情況中,金屬亦自該區域被移除)。因此,此模組就整體而言可進行金屬自晶圓之球狀蝕刻移除,以及自晶圓之外部周圍邊緣與外部周圍底部移除金屬,其係經常排除進行較複雜邊緣特殊蝕刻程序(斜邊移除(EBR))之需要,如在例如美國專利6,309,981中所述者,併於本文供參考。
於一些具體實施例中,蝕刻製程與薄膜之厚度分佈之進展係在蝕刻模組中被監測,例如藉由以渦流計度量跨晶圓薄片電阻,或度量聽覺信號之反射。或者,在蝕刻後之厚度可稍後於此製程中,以乾燥狀態,在轉移站中度量,且此製程結果係按適當方式監測或修正,以使任何晶圓對晶圓性能漂移降至最低。在蝕刻後,晶圓可於蝕刻模組中沖洗並乾燥,或移至另一個模組,晶圓沖洗、清潔及乾燥站1041。於該處,可能已在製程順序中形成之任何氧化物薄膜係被移除或降低(例如藉由施用稀酸溶液至表面),未在蝕刻站中藉由較粗略沖洗移除之任何殘留化學品係被移除(晶圓之正面與背面兩者),且斜邊移除操作係按需要進行(參閱,例如美國專利6,309,981)。以水沖洗晶圓後,使其旋轉乾燥,然後移除至轉移站,於此處前端機器人係將其再寄存至晶圓固定器匣盒中。
關於預濕潤製程之一個關切事項,係為在預濕潤與電鍍間之時間內(意即於預濕潤室中,當在真空下時,使晶圓曝露至預濕潤流體之後,但於電鍍開始之前),可使晶圓表面"除濕"。除濕可被描述為預濕潤流體自表面之物理排放與凝固(意即非表面之乾燥)。留下表面之一個區段具有較厚之預濕潤流體薄膜,而另一個區段未具有預濕潤流體於其上。此特徵行為一般係與關於預濕潤流體之高度地疏水性表面有關聯。若潤濕層係自先前經濕潤之表面拉回或凝固,則預濕潤製程之特質係喪失。為避免此現象,可將潤濕劑添加至預濕潤流體中,以避免流體之匯集至水坑中。
在被曝露至空氣與濕氣之晶圓表面上之表面氧化物、表面污染物及其他沉積物質,可極具疏水性。例如,被曝露至空氣與水蒸汽之薄銅金屬晶種層狀晶圓會形成薄氧化亞銅層,其相對於水係具疏水性。為避免此潛在問題,氧化物薄膜於某些具體實施例中可以下述方式移除,例如在氧化物不再穩定之情況下,藉由添加一pH下之少量酸(例如H2 SO4 、H3 PO4 )至預濕潤製程中所使用之預濕潤流體內。酸係與氧化物反應,以形成水與金屬鹽。預濕潤流體亦可含有少量表面張力與接觸角度降低潤濕劑(例如界面活性劑、醇類),其亦避免此現象。預濕潤流體化學係進一步討論於本文中。
在其中於電鍍之前,預濕潤操作係在個別室內進行之一些具體實施例中,例如預濕潤流體可含有少量金屬離子,以幫助避免細菌在系統中形成,或改變氧化物移除性質。或者,可將金屬氧化物適當還原劑添加至潤濕溶液中,譬如甲醛、乙醛酸或二甲基-胺硼烷,或使用金屬離子錯合添加劑(對於銅,實例可包括氨、甘胺酸、乙二胺)。再者,表面氧化物或其他污染物可於預濕潤操作之前,經由在還原大氣(例如在氬中形成氣體或氫)中處理晶圓而移除,使用或未使用加熱。預濕潤流體與晶圓表面之溫度亦可自環境條件被增加或降低,以使流體之保留於表面上達最佳化。
在一些具體實施例中,於預濕潤室或為電鍍系統一部份之預濕潤室中之操作係藉由電腦系統控制。此電腦包含控制器,包含程式指令。程式指令可包含進行預濕潤晶圓基板所需要之所有操作之指令。於一項具體實施例中,指令係用於降低處理室中之壓力至低大氣壓力,及接著使晶圓基板與預濕潤流體在低大氣壓力下接觸,以在基板表面上形成潤濕層。可使晶圓基板於液體預濕潤流體在低大氣壓力下輸送至晶圓基板上期間,於第一旋轉速率下旋轉,流體輸送係進行約10至120秒之間。然後,停止預濕潤流體之輸送。在停止預濕潤流體之輸送後,使晶圓基板於第二旋轉速率下旋轉,以自晶圓基板移除過量表面夾帶預濕潤流體。在一些具體實施例中,於處理室中之真空係在預濕潤流體之輸送停止後,及在過量夾帶預濕潤流體之移除前被釋放。於替代具體實施例中,真空係在過量夾帶預濕潤流體之移除後被釋放。晶圓於不同具體實施例中可在不同速率下旋轉。於一些具體實施例中,在液體預濕潤流體之輸送至晶圓基板上期間之第一旋轉速率係低於約300 rpm,而自晶圓基板移除過量夾帶預濕潤流體之第二旋轉速率為至少約300 rpm。在其他具體實施例中,第一旋轉速率為約100 rpm或較低,而第二旋轉速率為至少約500 rpm。於又進一步具體實施例中,預濕潤裝置係經設計,以藉由一種方法自晶圓基板移除過量夾帶預濕潤流體,該方法選自下列組成之組群:離心旋轉、空氣刀乾燥及擦拭,且控制器包含關於進行此等操作之程式指令。
製程/方法
在關於本文中所揭示之一些具體實施例之一般預濕潤方法中,真空最初係在晶圓附近之環境中產生。然後,晶圓表面係以足夠(在一些具體實施例中為經除氣)預濕潤流體噴洒、液流、覆蓋或浸入其中,最後使整個晶圓曝露至足夠厚液層。此液層直到稍後於此製程中才可一直覆蓋整個表面。晶圓表面係接著被留置浸入預濕潤流體層,或在其他情況下曝露至預濕潤流體層,歷經一段時間(例如藉由繼續以另外之流體噴洒、液流、覆蓋表面或使表面浸入其中),直到晶圓表面上之任何預濕潤流體成份之吸附(或反應)已實質上達到完成/平衡,且達成有利/均勻潤濕特性(親水性、低接觸角度)為止。在預濕潤後,係停止以預濕潤流體噴洒、液流或覆蓋晶圓。於一些具體實施例中,真空係被釋放,然後過量夾帶流體係自(目前)完全親水性表面被移除(例如藉由離心旋轉、空氣刀乾燥、刮板擦拭等),留下預濕潤流體之薄均勻黏連層在表面上。於其他具體實施例中,過量夾帶流體係在釋放真空之前被移除。最後,將晶圓轉移至電鍍單元,以電鍍晶圓。
由於夾帶預濕潤流體從晶圓表面被移除至金屬沉積起始之時間之間可以有數秒鐘至超過一分鐘,故重要的是,晶圓總體上具親水性,且保持以流體完全塗覆,涵蓋整個表面。在隨後發生之時間內,疏水性表面/流體組合可能會導致流體自晶圓表面倒退且曝露其一部份,例如自晶圓邊緣開始。此除濕可造成流體自晶圓基板內之任何凹陷特徵中被抽離,可能導致在浸入電鍍浴中時氣體被捕獲於特徵內。疏水性表面,特別是已在一些區域中完全除濕者,具有不均勻流體預濕潤層厚度涵蓋晶圓基板。在使用中之預濕潤流體具有與電鍍浴不同組合物之情況中,若預濕潤流體未曾適當地濕潤晶圓,則經預濕潤晶圓之後續浸入電鍍溶液中將不允許經均勻濕潤之表面。未經均勻濕潤之晶圓會造成各種成份之擴散時間與濃度不同橫越晶圓表面,此係由於經濕潤層之厚度所致。這可能會導致特徵填充行為上之偏差或各種晶圓表面缺陷之產生,譬如陷入氣泡之線條、金屬凹坑、金屬厚度偏差或生長突出。因此,在預濕潤製程後,關於整個晶圓表面,預濕潤流體應產生均勻、小的接觸角度,例如接觸角度為約45度或較小,若可能時。當較低接觸角度為可能時,可產生極薄且黏著性預濕潤流體層。
經常發現表面之接觸角度可隨著時間改變,且疏水性表面當曝露至某些液體時,可隨著時間變得較具親水性。某些晶圓表面,譬如以銅薄膜,藉由例如電漿氣相沉積塗覆者,在使表面連續地曝露至預濕潤流體時,可隨著時間展現液體/表面接觸角度上之顯著降低。特定言之,當在真空條件下時,此種表面之連續曝露,可能會導致表面自一般性地經除濕之疏水性狀態快速與完全轉變成經濕潤之親水性狀態。
再者,此轉變,特別是在真空下且使用經除氣之預濕潤流體發生時,當與後續電鍍操作合併時,會導致特別有利低缺陷性。雖然不希望被任何特定濕潤模型或理論所束縛,但若將表面浸入表面-張力-降低預濕潤流體中,以其噴洒、液流、覆蓋,或在其他情況下以其處理時,允許足夠通過時間經過(例如5秒至1分鐘),則表面可進行自疏水性轉化成親水性狀態。例如,藉由允許低濃度成份(例如潤濕劑)吸附至晶圓界面之時間,或者,停留在表面上之疑似被吸附物種(例如得自大氣曝露)自表面被解吸附之時間,可獲得適當穩定潤濕行為。或者,於預濕潤流體中之作用劑可反應,以輕微地使表面粗糙化及/或移除薄表面層,譬如表面氧化物、氮化物或碳酸酯。
作為一項特殊實例,帶有氧化亞銅或銅之表面,其係傾向於本質上對水具相當疏水性,其轉變成親水性金屬表面係為必須。藉由簡單曝露至去離子(DI)水(其不會與氧化物反應),表面大部份可仍然保持疏水性。或者,經由使表面曝露至稍微酸性氧化物移除溶液,譬如DI水,其含有少量任一種溶解酸(例如硫酸、甲烷磺酸或醋酸,造成pH值在約2至4之間),具有或未具有溶解金屬離子與鹽,少量金屬(例如銅)錯合劑(例如檸檬酸鹽,pH值在約3至6之間,甘胺酸或乙二胺,pH值在約6至12之間),或曝露至含有適當金屬氧化物還原劑/化合物(例如甲醛、乙醇酸、二甲胺硼烷)之溶液,可有效移除表面氧化物,且使疏水性界面轉變成親水性界面。在弱酸中之銅表面氧化物移除反應之兩個實例係為:
CuO+2H+ →Cu+2 +H2 O與 (6)
Cu2 O+2H+ →2Cu+ +H2 O→Cu+2 +Cu+H2 O (7)
關於銅上之氧化亞銅與銅之薄氧化物表面層係幾乎立即形成,且隨著時間繼續生長厚度,其係單純地由於濺射銅表面之曝露至大氣空氣,特別是潮濕空氣(意即具有濕氣之空氣)所造成。氧化物可藉由曝露至適當移除劑(譬如本文中所列示者)而轉化/移除,但重要的是,考慮到銅層之完全氧化作用(而且例如在特徵內)。金屬氧化物層利用氧化物移除方法之後續移除(與氧化物還原方法不同)可抑制經完全氧化銅層之後續薄膜生長。而且,濕潤轉化方法(譬如本文中所列示者)為具有限反應速率之化學反應。例如,使晶圓曝露至氧化物移除預濕潤流體或電鍍浴,會開始在流體接觸點處形成一層親水性表面。具有較長曝露至預濕潤(例如氧化物移除)流體之區域可在此方法中防止晶圓之其他區域變得濕潤。可能產生之親水性區域可傾向於渠道流體流動於其上,防止其他區域之濕潤。因此,一項目的係為接觸角度、濕潤性質及一般濕潤方法之修正,以使得整個表面最後能夠變成以液體均勻地覆蓋,巨觀上與微觀上均相同。
藉由施用經除氣之預濕潤流體至表面而同時保持低壓/真空大氣,同時膨脹、沖洗被捕獲之氣體,或在其他情況下將其自表面移除之障礙係實質上被排除,且因此使由於無或有限先前曝露至預濕潤流體而仍具疏水性之晶圓區域曝露之障礙可被降低。考慮到未採用真空與濕潤組合之製程,各種不同晶圓表面區域係分成5個濕潤種類:1)經疏水性濕潤:以預濕潤流體覆蓋及濕潤,但歷經不足夠時間,因此其仍然為疏水性;2)經親水性濕潤:以預濕潤流體覆蓋及濕潤足夠時間,因此其已變得具親水性;3)未經濕潤:疏水性、曝露至空氣及未曾曝露至預濕潤流體;4)經除濕:先前經濕潤,但已變成經除濕,且再一次曝露至空氣;5)被捕獲之氣泡:於表面上及在一層預濕潤流體下含有具被捕獲空氣之氣泡。
重要而應指出的是,呈狀態3、4或5之區域不會進行任何吸附或化學反應,導致任何疏水性至親水性表面轉變之不存在,除非及直到該區域稍後變得濕潤為止。再者,呈狀態1或2之約狀態3之區域係經濕潤,且係為或將變得具親水性,允許流體自由及連續地流動,涵蓋此表面,並使得氣泡之移除或鄰近表面之濕潤相當地困難。而且,目前疏水性表面區域,其先前已被曝露至預濕潤流體,可重複地處於無液體覆蓋與經覆蓋但疏水性狀態之間。當流體吸去至鄰近親水性領域時,此過程係繼續在此等狀態之間轉換,自狀態1至狀態3來回擺動多次,直到最後其i)改變成狀態2,且變得具親水性及經濕潤,然後保持在狀態2下,或ii)變成被較濕潤之區域圍繞,封裝氣泡,且轉變成狀態4。
在大氣條件下(意即於空氣中)所進行之上述製程,應與在真空下(且使用經除氣之預濕潤流體)所進行之製程對照。於此等製程中,只有三個濕潤種類存在:1)經濕潤:以預濕潤流體覆蓋及濕潤;2)未經濕潤:曝露至真空,且未曾曝露至預濕潤流體;3)經除濕:先前經濕潤,但已變成經除濕,且再曝露至真空。
在真空下所進行之預濕潤製程係確保只要晶圓之特定部份已被曝露至預濕潤流體(狀態1)歷經足夠時間,該晶圓之特定部份即可最後變得具親水性。不像在大氣中所進行之預濕潤製程,不需要高流體速度預濕潤流體流以"洗去"被捕獲之氣泡。再者,氣泡沖洗不為100%有效,且經常會導致氣泡碎裂,留下大數目之較小、難以移除氣泡。因此,於真空下之預濕潤係為更可靠之低缺陷製程,勝過簡單地在大氣下噴洒、覆蓋或使晶圓浸入預濕潤流體中。有利於真空下預濕潤之其他因素係為a)真空/液體/金屬界面之表面能量為不同,且接觸角度係經常低於空氣/液體/金屬界面,b)金屬氧化物/氮化物/碳酸酯再形成係被避免,及c)使用經除氣之流體預防自流體沉澱析出氣體之可能性,例如由於在液體-晶圓界面上,於某些點下之疑似溫度或壓力變化所造成。
圖11a為預濕潤製程(1100)之一般具體實施例之流程圖。對預濕潤處理室提供在其表面之至少一部份上具有曝露金屬層之晶圓基板(1105)。於處理室中之壓力係接著被降低至低大氣壓力(1110)。然後,使晶圓基板與預濕潤流體,在低大氣壓力下接觸,以在晶圓基板表面上形成潤濕層(1115)。此種預濕潤製程可於本文中所述之預濕潤裝置設計中進行。
晶圓基板在不同具體實施例中具有不同特徵。晶圓基板可具有至少一種凹陷特徵。凹陷特徵可為鑲嵌特徵,其係藉由鑲嵌構圖方法形成。鑲嵌電鍍方法係為一種其中藉由鑲嵌構圖方法所形成之半導體晶圓介電層中之凹陷係被充填金屬薄膜之方法。凹陷特徵亦可為掩模特徵。
在一些具體實施例中,預濕潤流體係實質上不含溶解氣體。於一些具體實施例中,一或多種溶解氣體係在使晶圓與預濕潤流體接觸之前自預濕潤流體被移除。為幫助移除溶解氣體,於一些具體實施例中,使預濕潤流體在氣體移除期間冷卻至低於約20℃。於一些情況中,為自預濕潤流體移除氣體以獲得實質上不含溶解氣體之預濕潤流體,使晶圓基板與預濕潤流體接觸之前,預濕潤流體處理槽桶會使預濕潤流體經過除氣回路循環一特定時期(典型上為小時,依除氣器之能力與容量而定)。關於圖3,其係討論於本文中。典型上,這意謂當真空泵為開著且在真空下時,流體係經過回路流動,且連接除氣器及至預濕潤槽桶、至泵之閥為開啟。此係確保接著被施用至晶圓表面之預濕潤流體係實質上不含溶解氣體。經如此設計之系統之度量值,係顯示溶解氧之殘留含量達到低達與得自空氣之氧飽和者之約1-2%或更低。
再者,於處理室上之圓頂與壁加熱器可起動,設定為約10℃之溫度,而在一些情況中為約20℃或大於預濕潤流體溫度。例如,若流體溫度為約20℃,則約40至50℃之壁溫為適當。圓頂與壁加熱器係避免在真空下預濕潤之前於表面上之凝結,及液滴掉落至外露表面上之可能性。該室表面之滌氣可經由使該室達到真空而達成,伴隨著門關閉及壁在標的加熱溫度下。例如,在沒有晶圓存在於該室中及壁經加熱之情況下,使該室達到真空且保持在真空下歷經約10分鐘或更久,以移除可能已積聚於該室天花板與上層壁上之任何液體。真空可經由以例如乾淨乾燥氮回填而移除。此程序係自該室壁移除任何可能冷凝液,且使氣體形成出生粒子降至最低。於確認以下之後,a)所有室流體液面感測器均在適當數值下(例如槽桶全滿,室排空),b)加熱器為開著,及c)真空準備處理,預濕潤室處理門可打開,且門屏蔽(若如此安裝時)下降。接著,將晶圓置入卡盤中,並將機器人臂回縮,關閉真空門,且將液體噴濺屏蔽升高,或將晶圓下降低於屏蔽(若如此安裝時)。
在一些具體實施例中,關於預濕潤製程之標的真空度係在約10與100托之間,例如約40托。在一些具體實施例中,真空(意即低大氣壓力)為約50托。在一些具體實施例中,於抽氣降壓完成之後,真空管線可關閉,而在其他具體實施例中,當預濕潤流體被注入該室及至晶圓上時,泵係繼續抽取真空。
在一些具體實施例中,液體預濕潤流體係被輸送至晶圓基板表面上。這可能需要將晶圓基板浸入預濕潤流體中。或者,這可能需要以預濕潤流體噴洒或覆蓋晶圓基板。在其他具體實施例中,使晶圓基板與預濕潤流體接觸係藉由將氣體預濕潤流體輸送至晶圓基板上而進行。使氣態流體凝結,並於晶圓基板上形成潤濕層。在此等具體實施例中,使晶圓基板曝露至預濕潤流體之前,晶圓基板之溫度可被減少低於預濕潤流體之凝結溫度。
在一些具體實施例中,當液體預濕潤流體被輸送至晶圓基板表面上時,可使晶圓旋轉。於一些具體實施例中,使晶圓基板在約10 rpm至300 rpm間之速率下旋轉。於進一步具體實施例中,使晶圓基板在約10 rpm至100 rpm間之速率下旋轉。於其他具體實施例中,使晶圓基板在約100至400 rpm之速度下,例如在約300 rpm下旋轉。於一些情況中,較高旋轉速率(例如約400至800 rpm)或旋轉速率之循環可用於短時間(約2至10秒),其中克服高度地疏水性晶圓之流體防濕能力為一項問題。室抽氣降壓可於晶圓旋轉開始之前或之後起始。
在其中使用液體預濕潤流體之具體實施例中,預濕潤流體之流動係被引入該室中及至晶圓表面上。使用約0.5與2 lpm之間,例如約0.8 lpm之典型流率,歷經約3秒與1分鐘或更久之間,例如約20秒,依達成完全濕潤特定表面之必要時間、晶圓之旋轉速率及流體之濕潤性質而定。在一些具體實施例中,預濕潤流體係與晶圓基板接觸約10秒至120秒。於潤濕製程完成之後,停止預濕潤流體流動,例如藉由關閉預濕潤流體流動閥。
接著,使該室達到大氣壓力。在一些具體實施例中,使該室以不含氧之氣體例如乾燥氮達到大氣壓力。
於一些具體實施例中,過量預濕潤流體係自基板表面被移除。這可在使該室達到大氣壓力之前或之後完成。於一些具體實施例中,過量預濕潤流體係經由使晶圓基板旋轉,而自晶圓基板表面被移除。晶圓基板旋轉速率係被增加至其中過量夾帶流體可自晶圓基板表面被移除,但留下液體薄層之數值。可使晶圓基板在過量預濕潤流體移除期間旋轉約300 rpm至1000 rpm。可使晶圓基板在過量預濕潤流體移除期間旋轉小於約20秒。在其他具體實施例中,晶圓基板旋轉速率係被增加至約250與800 rpm之間,歷經約5與60秒之間,同時避免預濕潤流體之完全乾燥。雖然旋轉製程一般可在真空釋放之前起始,但藉由於真空釋放之後進行此步驟,咸信晶圓乾燥之可能性係被降低,因為自薄層之蒸發性乾燥,及在晶圓上,於某一點下產生乾燥表面之可能性可為較低。
自晶圓基板表面移除過量夾帶流體之後,停止晶圓基板旋轉,噴濺屏蔽降低及/或晶圓基板升高(若如此安裝時),真空門打開,且自該室移除晶圓及放置在電鍍室中。於一些具體實施例中,經預濕潤之晶圓基板係被曝露至該室與電鍍室外部之環境,歷經小於約一分鐘。於其他具體實施例中,當將經預濕潤之晶圓基板轉移至電鍍室時,就在電鍍之前,其具有具厚度在約50至500微米間之潤濕層。於一些具體實施例中,在晶圓基板於電鍍室中之後,晶圓基板係使用經除氣之電鍍溶液電鍍。在一些具體實施例中,關於電鍍溶液,使晶圓基板與電鍍溶液接觸之前,經預濕潤之晶圓基板係以陰極方式極化。
預濕潤處理室與電鍍室可為一種裝置模組之不同工作站。於其他具體實施例中,晶圓基板係在與用於預濕潤相同之室中電鍍。在此等具體實施例中,電鍍可使用經除氣之電鍍溶液進行。
在替代具體實施例中,自預濕潤處理室移除經預濕潤之晶圓基板後,將經預濕潤之晶圓基板轉移至經設計以進行陽極製程譬如電蝕刻與電拋光之室。
圖11b為預濕潤製程(1150)之另一項具體實施例之流程圖。對預濕潤處理室提供在其表面之至少一部份上具有曝露金屬層之晶圓基板(1155)。於處理室中之壓力係接著被降低至低大氣壓力(1160)。然後,使晶圓基板與預濕潤流體,在低大氣壓力下接觸(1165)。於處理室中之壓力係接著被增加,以幫助移除氣泡(1170)。此種預濕潤製程可在本文中所述之預濕潤裝置設計中進行。
本文中所述之裝置設計與方法可用以預濕潤部份製造之半導體元件結構。在一些具體實施例中,預濕潤部份製造之半導體元件結構包含至少一種凹陷特徵。凹陷特徵具有一層金屬作為特徵之內襯。凹陷特徵亦包含填充該特徵之實質上不含氣體之預濕潤流體,預濕潤流體包含金屬鹽水溶液,實質上不含電鍍加速劑與均勻劑。
預濕潤流體組合物與電鍍溶液組合物之不同組合可用於與電鍍製程合併之預濕潤製程中,如本文中所述。圖12為電鍍製程1200之一項具體實施例之流程圖,用於電鍍一層銅在晶圓基板上。對預濕潤處理室提供在其表面之至少一部份上具有曝露金屬層之晶圓基板(1205)。然後,使晶圓與預濕潤流體接觸,以在晶圓基板上形成一層預濕潤流體(1210)。接著,使經預濕潤之晶圓與包含金屬離子之電鍍溶液接觸,以電鍍一層金屬在晶圓基板上(1215)。
本文中所述之裝置設計與方法可用於除了電鍍/特徵填充以外之各種其他液體半導體製程與情況,其中在高縱橫比特徵內之氣泡或被捕獲之氣體可能產生一項問題。
本文中所述之所有操作,包括各種濕潤、預濕潤、除氣、對準、轉移及電鍍操作,可經設計或程式化在所述模組與系統上所提供或以其他方式與其連通之一或多種控制器中。如本文中所述之此種操作之任何組合或順序本身可使用此種控制器經程式化或設計。固件、巨集軟體、特定應用積體電路、共享軟體等可用以施行控制器指令。
預濕潤流體之化學
藉由適當地控制預濕潤流體之化學,可實現本文中所述預濕潤製程之其他利益,包括在使用金屬之特徵填充時間上之50%或較大降低。再者,特徵填充製程可顯著地更迅速開始,藉由以下事實反映,在類似條件(意即相同條件,惟預濕潤流體之組合物除外)下,同時選擇性地沉積於特徵底部之金屬量為更大。對於預濕潤流體使用特定有機與無機添加劑組合,預濕潤製程係允許優越側壁與電場(電場係指平坦及在特徵外部之晶圓基板區域)金屬生長選擇性對特徵底部金屬生長選擇性,允許高速率選擇性沉積,在特徵底部上具有大於一個數量級相對電鍍速率/生長,相對於上側壁與電場。藉由控制預濕潤流體之化學所達成之選擇性,係允許自底向上,經常為栓塞填充之生長,及快速地填充高縱橫比特徵而不會形成空隙之能力。
在歷史上,用以沉積銅之許多不同電鍍浴溶液已被用以滿足各種需求/目的。銅硫酸鹽與銅甲烷磺酸鹽為關於電鍍銅之最常用金屬鹽,特別是在積體電路工業上。亦使用酸銅氟基硼酸鹽浴液(銅與使用硼酸之氟硼酸之混合物),因其高銅溶解度與高沉積速率之潛力,但大致上已不受歡迎,而被甲烷磺酸鹽系統(其亦具有高銅溶解度)置換,至少部份因為BF4 - 陰離子會分解且形成危險HF之傾向。鹼性氰化銅與銅焦磷酸鹽浴液亦已被廣泛地使用,其中氰化物浴液具有一般良好電鍍性能,但因毒性與穩定性理由已不受歡迎。
雖然本說明文之範圍並不限於特定金屬之電鍍或實例中所述之特定電鍍溶液與預濕潤流體組合,但在含有銅硫酸鹽及/或銅甲烷磺酸鹽之電鍍溶液浴液中電鍍銅將作為特殊具體實施例之說明使用。應明瞭的是,於本文中所揭示之具體實施例可用於銅以外金屬之沉積,譬如鎳、鐵、金、銀、錫、鉛、鋅,以及銅及其他金屬共沉積之合金(例如各種焊料,譬如鉛-錫與銀-錫,或含有鐵、鈷及鎳之磁性合金材料)。亦應明瞭的是,在銅電鍍中,可使用除了銅硫酸鹽與銅甲烷磺酸鹽以外之多種其他鹽。
銅硫酸鹽與甲烷磺酸電鍍浴溶液典型上係以小濃度(10 ppb至大約1000 ppm)含有三種或更多種物質(所謂電鍍"添加劑"),其會影響表面電解沉積反應。典型上,添加劑包括加速劑(例如含有巰基之種類;亦被稱為增白劑)、壓抑劑(例如典型上為聚合體,譬如聚乙二醇;亦被稱為載劑)、均勻劑及鹵化物(例如氯離子與溴離子),在產生具有所要微觀-與巨觀特徵之銅薄膜上各具有獨特與有利角色。
本文中所述之預濕潤流體與電鍍溶液組合物可與任何裝置設計或方法一起使用。例如,預濕潤流體與電鍍溶液組合物係能夠與圖11a、11b及12中所述之方法一起使用。
有數個不同種類之製程交互作用,其在選擇用於晶圓基板之最適宜預濕潤流體時應被納入考量。此等各種問題係討論於本文中,伴隨著其對於特徵填充作用之所假設或度量實例。
一項考量為預濕潤流體之表面張力應可足夠地與晶圓基板表面相容(例如親水性),以致整個表面保持以預濕潤流體覆蓋,從預濕潤流體在真空下被施用至表面後之期間,及晶圓被移至電鍍浴且被浸入其中之時間起。在一些具體實施例中,就在浸入電鍍溶液中之前,預濕潤層為薄(例如約50至500微米厚)與均勻。藉由薄的薄膜,濃度增加或電鍍浴濃度之稀釋/修改之量係被保持很小,且薄膜在電鍍添加劑吸附至一般電鍍表面(意即電場區域)上具有最低延遲。藉由均勻之薄膜厚度,從被預濕潤流體組合物之溶液覆蓋之狀態均勻轉變成電鍍浴溶液組合物為可能且可更容易地控制。
另一項考量為當將晶圓自預濕潤站轉移至電鍍站時,即填充特徵,且一般表面係以預濕潤流體塗覆。在表面之最初曝露至電鍍溶液與電鍍起始間之隨後發生時間之期間,可能會發生與預濕潤流體成份之各種不利反應,單獨或與來自大氣之氣體合併。藉由使預濕潤流體除氣(例如利用如本文中所述之除氣器),涉及溶解氣體之反應可被降低或消除。然而,若及當經預濕潤晶圓之液面層被曝露至空氣時,會發生氣體再吸附至經除氣之預濕潤流體中(例如於15秒或更久之後),且可能導致有害腐蝕或其他作用。或者,藉由被包含在預濕潤流體組合物中之成份之適當選擇,及/或適時/快速之晶圓轉移至電鍍單元,此種反應與作用可被降低或完全避免。
一般而言,在預濕潤流體與晶圓上晶種層間之反應係由於具有適度活化能之化學驅動力(意即關於反應之負面自由能)之存在所造成。消除驅動力或抑制動力學可阻止有害反應。反應係涉及一或多種溶劑(例如水、醇類、碳酸酯或酮類)、預濕潤流體溶質(例如酸類、無機鹽、有機電解或中性電鍍添加劑種類)及溶解氣體之組合。
特別有害反應之實例為金屬晶種層之腐蝕反應。種子腐蝕速率係依例如一些參數而定,譬如經預濕潤之晶圓轉移時間、預濕潤流體與電鍍溶液浴液之溫度、預濕潤溶劑之選擇、預濕潤流體之pH值、預濕潤流體中之特定溶解成份,及在晶圓之最初浸入電鍍溶液浴液期間,任何隨空間與時間改變之分佈或再分佈(意即由於擴散進入或離開特徵所致之濃度差)。此等不同反應係描述於本文中。
關於金屬腐蝕之任何電解反應可以兩個半-反應表示,其係藉由金屬中電子之轉移結合。例如,氧或其他氧化劑在溶劑(被還原之元素)中之還原作用係與銅金屬之氧化作用結合。銅金屬與氧之反應係發生於兩個步驟中,成為亞銅離子,及依溶劑環境、錯合劑之存在及pH而定,成為銅離子。
Cu→Cu+ +e-→Cu+2 +e- (8)
針對酸或鹼性條件所撰寫之氧之還原反應為
O2 +4H+ +4e- →H2 O (9a)
O2 +2H2 O+4e- →4OH-  (9b)
使用不含氧之預濕潤流體會完全防止反應9a或9b發生,且因此銅之腐蝕係被禁止自此來源。因此,氧自預濕潤流體之移除係為一些具體實施例中所想要的。但是,若氧自環境被再引進電解質中(例如在從預濕潤位置轉移至電鍍溶液期間),則反應9a或9b係再一次被允許發生。同樣地,若質子之供應很少(例如pH值大於約3),則反應9a會被降低。
參考圖13中所描繪之實例狀況,在晶圓基板1302中之結構1301係包含被充填預濕潤流體1303之孔穴。該結構之特徵表面1305、壁1306及底部1307典型上係以可電鍍"晶種層"(例如銅1304)下方之障壁層(未示出)塗覆。金屬沿著壁,特別是在下方壁1308上,其厚度典型上係比表面1305上者更薄(且經常亦比特徵底部1307處者較薄),此係由於種子沉積製程(例如PVD)之性質所致。首先,經除氣之預濕潤流體1303係在真空下被引進表面,其未含有氣泡而含有極少或無溶解氣體(例如氧)。但是,一些氣體可接著在晶圓轉移期間自大氣被引進液體中,其中幾乎飽和狀態係在所曝露之液層表面1308上產生。藉更短擴散距離及對抵達表面1305之阻力,氧還原反應9a係較佳地開始,首先發生於該處。雖然反應8可發生於沿著表面之任何位置,但反應可較佳地發生在其中為最粗糙之表面上之點下,且於薄膜為最薄之情況下,其作用為最不利(具有損失所有經接種金屬之可能性)。而且,金屬腐蝕半反應可較佳地發生在其中氧還原反應不會共發生之位置處,譬如在特徵內部之深處(例如在位置1307與1308處)。整個反應係經由使特徵內部藉反應8所產生之電子沿著壁運行經過金屬至特徵頂部與電場而完成,於該處其係與氧經由反應9a或9b合併。該壁在微小尺度下可為粗糙的,此係由於特徵產生方法(例如得自重複應用稱為先進矽蝕刻或"Bosche"法之SF6 等向性RIE蝕刻/C4 F8 鈍化順序)及/或沉積製程所致。粗糙金屬表面係傾向於具有較高局部電化學活性,因此腐蝕在粗糙金屬表面上比對於均勻平滑表面為較大。此等現象會增加關於來自此等粗糙金屬表面之金屬損失之驅動。參閱,例如美國專利6,946,065中此等現象之討論。
在一些具體實施例中,實質上非導電性(意即非離子性且不含電解質)溶劑可有效地用於預濕潤流體,以供在真空下所進行之預濕潤製程。儘管其為在其他情況下會導致吾人避免使用此種流體之因素。一種此類在概念上負面之因素為此種預濕潤流體之導電性相當地小。就在晶圓浸入電鍍浴溶液中後之時間下,預期沉積於充填非導電性或低導電性溶劑之特徵底部,會因不能夠支持電鍍而受阻,因其無法支持離子電流流動。另一個潛在不利因素為晶圓進入電鍍浴中之後形成電位,及內部腐蝕單元之建立,此係由於晶圓表面上及特徵內之溶解金屬之不同活性所致。在特徵底部與特徵頂部之間,於溶液上之電化學電位差異,可藉由能斯脫方程式(Nernst equation)之形式表示:
在方程式10中,R為通用氣體常數,T為絕對溫度,n為關於腐蝕反應之電子數,F為法拉第(Faraday)常數,且C(特徵)與C(表面)為金屬離子在該兩個位置處之濃度。產生濃差單元,其中腐蝕驅動電位係經由如藉方程式10所給予之濃度上差異所產生。當使用不含溶解金屬離子之預濕潤流體時,特徵之底部將在浸入含有金屬離子之電鍍浴後,遭遇小於C(表面)之C(特徵)濃度,歷經一段時間。因此,腐蝕性電位差會存在於特徵底部與表面之位置之間,其中腐蝕電位會造成特徵之壁與底部上之金屬優先地氧化,釋放電子,及藉由與表面上得自溶液之金屬離子合併而完成循環。
明確言之,以下反應
Cu++ +2e-→Cu (11)
將發生在表面區域上,且將與以下氧化反應結合
Cu→2e-+Cu++  (12)
其係發生於特徵底部處之壁與下方表面上。為避免使用此類型之預濕潤流體時之不想要過程,重要的是在晶圓表面浸入電鍍溶液中之前或於其後不久建立晶圓表面對電鍍溶液之陰極(電鍍)極化(參閱美國專利7,211,175、6,562,204及6,551,483,其係處理進入陰極保護與恒電位進入,其係在有關聯部份上併於本文供參考)。此係藉由在晶圓浴液進入之前,於晶圓與溶液之間施加陰極電位差或小陰極電流而達成。替代或另外地,在一些具體實施例中,可利用晶圓表面以具有相對較低金屬離子濃度之溶液之快速沖洗(例如DI水),接著為高速旋轉或其他方法以移除表面溶液。此製程會降低表面上金屬之濃度,相對於特徵中之濃度,但亦會自晶圓邊緣移除電解質,降低該電解質被電鍍在晶圓邊緣與電鍍裝置接點上之傾向(例如當在閉合或密封接觸"電鍍杯"中電鍍時)。作為另一種替代方式,在預濕潤溶液中之金屬離子濃度可至少等於或大於後續電鍍浴中之濃度。
實質上非導電性種類之預濕潤流體具體實施例之實例為不含電解質之異丙醇或其他水溶性非水性溶劑(意即水可溶混性溶劑)。其他具體實施例包括醇類、碳酸二烷酯、二甲基甲醯胺及二甲亞碸。另一項具體實施例為含有小濃度之非金屬-錯合四甲基硫酸銨及/或氫氧化四甲基銨之水之溶液,在約3.5與11.5間之pH值範圍內。又另一項具體實施例為含有界面活性劑譬如陰離子性界面活性劑十二烷基硫酸鹽(具有鹼金屬陽離子或四甲基銨陽離子)之水之溶液。具有相較於水之經降低表面張力、相對較小導電性(例如與酸類或強鹼比較)且為非銅錯合之預濕潤流體,係被使用於一些具體實施例中。
在圖12中所示用於電鍍一層金屬在晶圓基板上之電鍍製程1200之一些具體實施例中,係對預濕潤處理室提供在其表面之至少一部份上具有曝露金屬層之晶圓基板(1205)。然後,使晶圓與預濕潤流體接觸,以在晶圓基板上形成一層預濕潤流體(1210)。預濕潤流體包括水可溶混性溶劑。水可溶混性溶劑可為醇、酮、碳酸二甲酯、碳酸二乙酯、二甲亞碸或二甲基甲醯胺。接著,使經預濕潤之晶圓與包含金屬離子之電鍍溶液接觸,以電鍍一層金屬在晶圓基板上(1215)。於一些具體實施例中,電鍍溶液包含銅離子,以電鍍一層銅在晶圓基板上。
為詳盡地說明,由於半氧化反應(例如反應8與9)必須完成電路,因此在其中氧化與還原反應發生之兩個位置之間通過離子性電流,於一些具體實施例中,可有利地使用具有小離子導電係數之預濕潤流體,譬如本身不會與銅直接地反應之低導電性溶劑或實質上不含以離子方式分離及導電性之離子(意即溶解酸、鹼及鹽)之溶劑。許多不含水溶性溶質之溶劑,譬如DI水、異丙醇、乙二醇、丙二醇、碳酸丙二酯等,係具有不含溶質時之高電阻,且在相同情況中,銅或亞銅離子於中性pH溶劑中之溶解度通常亦為極小。由於此等因素,金屬在此等溶劑中之腐蝕僅可藉由以溶解氧之直接氧化作用發生,在環境溫度與氧濃度下通常為極緩慢之製程:
因此,使用不含離子性溶質之溶劑(意即非電解溶液)譬如水或DI水進行預濕潤,係為一項具體實施例,且使用脫氧去離子溶劑,譬如脫氧DI水,為另一項具體實施例(以避免反應13)。於一些具體實施例中,電鍍溶液亦在接觸/曝露至晶圓表面之前及期間經脫氧/除氣,且電位或電流係在進入之前被施加至晶圓,建立大於藉由方程式10所給予之電壓,藉以防止特徵內之反應12發生。關於防止側壁腐蝕,含有非離子性溶解物種之預濕潤流體(例如添加非離子性界面活性劑或兩性離子性界面活性劑以降低表面張力,或添加有機物質以與氧反應)可用於一些具體實施例中,勝過高度地導電性離子性溶質,譬如酸與鹼。這是因為一般較低溶液電導率及氧化作用與腐蝕半反應之離子流結合。關於此項之一個例外為添加表面吸附電化學活性非離子性物質(例如非離子性均勻劑化合物)。在一些具體實施例中,關於銅電鍍之不利預濕潤流體組合之另一項實例為聚乙二醇或聚乙烯/聚氧化丙烯共聚物(已知其係充作電鍍"壓抑劑"),在所有濃度下,除了併用少量溶解鹵化物(例如氯化物)離子之極低濃度。未具有吸附與電化學活性增強鹵化物之壓抑劑於一些具體實施例中係顯得為不利,除非在極低濃度下。
在根據本文中所述具體實施例所進行之一種實驗中,具有8000銅晶種層之60微米深/10微米寬TSV通孔結構係以銅電鍍。將該特徵以脫氧去離子水預濕潤。使晶圓曝露至大氣歷經5分鐘之後,接著將其轉移至電鍍單元,然後浸入電鍍溶液中。電鍍溶液為以商標DVF 200TM ,由Enthone公司所銷售之脫氧電鍍浴,具有附加之成份(DVF 200TM 為銅甲烷磺酸鹽/甲烷磺酸電鍍溶液,加速劑、壓抑劑及均勻劑添加劑,以及50 ppm氯離子係被添加至其中)。多種個別特徵使用此方法橫越多次操作之填充特性在幾乎所有情況中均顯示完全無空隙特徵填充。於一些實驗中,晶圓係在進入電鍍溶液中之前以陰極方式極化。此結果係顯示關於使用經除氣之DI水、在真空下所進行之預濕潤製程,接著為恒電位進入銅電鍍溶液中之組合,產生無空隙自底向上填充之製程穩健性。
在其他具體實施例中,係使用實質上非導電性預濕潤流體,其含有金屬以外之一些溶解化合物(例如電解或非離子性,有機或無機,例如以相對較小量添加以降低表面張力及幫助濕潤),但實質上不含具電化學活性及/或被認為是電鍍浴添加劑之物質。例如,於一些具體實施例中,係使用實質上不含任何加速劑/增白劑或均勻劑(其典型上可在隨後所使用之電鍍浴中被發現)之預濕潤流體,而非確實含有此種電化學活性劑者。
在根據本文中所述具體實施例所進行之一種實驗中,具有具8000銅晶種層之60微米深/10微米寬TSV通孔結構之晶圓係以銅電鍍。將該特徵以含有銅甲烷磺酸(銅鹽,80克/升銅離子)、20克/升甲烷磺酸、50 ppm氯離子及3或12 ppm銅電鍍加速劑二巰基-丙烷磺酸(SPS)之預濕潤流體預濕潤。於預濕潤後,使晶圓曝露至大氣,歷經約1分鐘,然後轉移至電鍍單元,接著浸入電鍍溶液中。電鍍溶液為以商標DVF 200TM ,由Enthone公司所銷售之脫氧電鍍浴,具有附加之成份。然後,將銅電鍍至晶圓上。在兩種情況中(意即以含有3 ppm二巰基-丙烷磺酸與12 ppm二巰基-丙烷磺酸之溶液預濕潤),均形成側壁空隙。
於一些具體實施例中,預濕潤流體包含水與銅鹽。其係幫助避免晶種層之腐蝕,此係由於建立關於方程式10所討論之電化學差異所致。於某些具體實施例中,銅鹽係在至少約50%之飽和極限之濃度下。於某些具體實施例中,銅鹽為銅硫酸鹽、烷基磺酸銅及其混合物。於某些具體實施例中,銅鹽係在大於約20克/升銅之濃度下。於一些具體實施例中,將晶圓基板以包含水與銅鹽之預濕潤流體預濕潤之後,將經預濕潤之晶圓基板以銅電鍍,使用含銅之電鍍溶液;預濕潤流體含有與電鍍溶液中之銅濃度相同或較高之銅濃度下之銅鹽。於一些具體實施例中,在預濕潤流體中之銅濃度為至少約25%大於電鍍溶液中之銅濃度。於其他具體實施例中,預濕潤流體基本上包含水與銅鹽。
在一些具體實施例中,係使用具有與電鍍溶液相同或極類似組合物之預濕潤流體(意即具有相同金屬鹽及/或相同金屬離子濃度、相同酸類及/或相同酸濃度、相同鹵化物及/或相同鹵化物濃度及相同添加劑及/或相同添加劑濃度之溶液)。在預濕潤流體與電鍍溶液具有相同組合物時之具體實施例中,可在如用於預濕潤之相同室中,將一層金屬電鍍於晶圓基板上。但是,當晶種層為邊際時(例如在特徵內為粗糙與薄),此種預濕潤流體(意即與電鍍溶液相同或極類似)可能容易導致特徵填充形成空隙,此係由於來自預濕潤流體之種子腐蝕所致。特徵填充速率亦可利用與如本文中所述之電鍍浴不同之預濕潤溶液改善。
在根據本文中所述具體實施例所進行之一種實驗中,具有8000銅晶種層之60微米深/10微米寬TSV通孔結構係以銅電鍍。首先將該特徵以電鍍溶液(意即預濕潤流體具有與電鍍溶液相同之組合物)預濕潤。特徵/晶圓係經由本文中所述之在真空下所進行之預濕潤製程預濕潤,使用以商標DVF 200TM ,由Enthone公司所銷售之市購可得脫氧電鍍浴,具有電鍍添加劑成份(意即電鍍添加劑成份與DVF 200TM 一起使用於本文中所述之其他實驗中)。使表面曝露至脫氧電鍍浴,然後在真空釋放與轉移/浸入電鍍浴及金屬沉積起始之間曝露至大氣,歷經1或3分鐘。晶圓係在進入電鍍溶液中之時立即以陰極方式極化。在其中表面被曝露至大氣歷經1分鐘之一個情況中,特徵係被充填金屬且無空隙,未具有側壁腐蝕之証據。但是,得自相同晶圓之特徵顯示一些特徵並未被充填,典型上其中特徵之一個側面具有不規則形狀之空隙。一般認為其係與特徵側面上之種晶金屬之損失有關聯。關於以完全相同方式製備與處理之晶圓,惟在真空釋放與電鍍起始之間有3分鐘曝露至大氣,特徵填充為非常不完全。於許多情況中,特徵之整個底部未經電鍍。亦發生類似趨勢(意即從無空隙轉變成顯著側壁形成空隙),歷經固定大氣曝露時間,但伴隨著減少晶種層厚度。因此,使用電鍍溶液作為預處理溶液在一些具體實施例中係決不為最適宜,因為其對由於側壁腐蝕所致之不完全特徵填充之顯著敏感性。特定言之,在其中晶種層厚度為十分薄之狀況中,側壁空隙型缺陷之數目在兩種狀況中係顯著地增加,表示晶種層對此預濕潤流體之狹窄容許度。
回復參考反應8與9,藉由反應8與反應9a或9b之結合所產生之金屬離子必須能夠使電的(離子)電流經過流體通過返回至表面,因此具有大量導電性之溶液在一些具體實施例中為不利預濕潤流體性質。這與電鍍溶液中一般所需要之大量導電性成對比,其中導電性係經調整,以使溶液中及在特徵內之電壓降下降至最低,以幫助沉積製程。其中特別令人感興趣者,係為質子之高離子遷移率,其係為任何陽離子中最高者。此性質係傾向於對特定摩爾濃度之酸溶液賦予極高導電性。因此,一般規則是,具有高濃度之高度地解離酸類(例如產生pH值低於約2或造成大於約0.01莫耳濃度之自由態質子者)之預濕潤流體於一些具體實施例中並非有利,因其會幫助由於其高導電性所致之腐蝕反應。在此種酸性條件下,特徵壁1308底部處之金屬(圖13)易遭受到不利條件,且可能造成該區域腐蝕及產生未具有可電鍍晶種層之側壁。
作為一項主要考量,一般期望避免此特徵內部腐蝕與特徵填充缺陷,譬如形成空隙。高導電性、酸度及潛在不利吸附,以及添加劑及鹵化物與金屬之反應之組合,可能會導致例如特徵側壁腐蝕與填充缺陷,以及抑制或延遲添加劑在不同表面上之有利分佈之建立,其係為最適宜特徵填充速率或無空隙填充所需要。由於側壁上之金屬可能很薄,且在預濕潤流體曝露之前被氧化,故涉及酸或其他成份之腐蝕反應可能會導致損失所有可電鍍金屬,留下不可電鍍金屬,譬如銅擴散障壁鉭或氮化鉭,於其上具有曝露氧化物層。表面之長未極化(以陰極方式保護)曝露至預濕潤流體之不適當成份混合物可因此導致不良特徵填充。與使用強酸性電解質(pH值低於約2)相反,使用較中性或接近中性預濕潤流體可限制對於反應9a之質子供應,降低腐蝕速率,降低缺陷性,及一般性地改善整體預濕潤之可靠性與成功。應注意的是,本說明文之預濕潤流體對於銅金屬沉積通常不為最適宜或可接受,但其在一些具體實施例中對於預濕潤是有利的。不含溶解金屬離子錯合陰離子之在pH範圍為約2至12內之溶液,不允許譬如8與9之反應在可感覺得到之速率下發生。
於一些具體實施例中,預濕潤流體包含去離子水、酸及銅鹽,其中預濕潤流體pH不低於約2。於進一步具體實施例中,此種預濕潤流體之pH值係在約2與4之間。於此種具體實施例中之酸可為硫酸、烷基磺酸及此等酸類之混合物。預濕潤流體在一些此種具體實施例中亦可包含低於約2克/升之硫酸或甲烷磺酸。於其他具體實施例中,預濕潤流體基本上包含水、酸及銅鹽,其中預濕潤流體pH值大於約2。在又其他具體實施例中,預濕潤流體包含水與酸,且預濕潤流體具有pH值大於約2。
根據各種已發表之pH/電位穩定性圖與計算值(亦稱為Pourbaix圖),預期銅金屬之曝露至約pH 3或較大及進一步具有氧化來源(譬如溶解氧)之非錯合電解溶液會形成金屬表面氧化物。氧化物代替經溶解銅金屬鹽之形成可抑制進一步氧化作用。對於界面處所形成之亞銅離子與水或氫氧化物直接反應以形成氧化亞銅或氫氧化亞銅(而非形成溶解亞銅或銅鹽),係為熱力學上有利的。
2Cu+4OH- →Cu2 O+H2 O+4e-  (15a)
Cu+2OH- →Cu(OH)2 +2e- →CuO+H2 O+2e-  (15b)
在極高pH下,銅之氫氧化物為輕微地可溶解,所以自此展望來看此條件為稍微地不利。銅氧化作用半反應與氧還原反應之結合可於中性溶液中被降低,且因此在pH值範圍為約2至12,更特別是在約3.5至10.5間之不含銅錯合劑之預濕潤流體,係為可使用之預濕潤流體種類,供使用於真空下。此種類之預濕潤流體為溶液,其可含有一些溶解化合物(例如既為電解的亦為非離子性,有機或無機,以降低表面張力及幫助濕潤),但實質上不含會以電化學方式變更金屬之電鍍且具電化學活性及/或被認為是電鍍浴添加劑之物質。銅錯合劑之存在亦會改變條件,允許錯合物代替鈍化氧化物/氫氧化物之形成;若氧係存在,則在具有溶解氧化劑之含有金屬錯合劑之溶液中預期不利高速率腐蝕。典型上為浴液添加劑之一些物質可形成金屬錯合物,譬如含有巰基之增白劑/加速劑(例如巰基-丙烷磺酸、二-巰基丙烷磺酸等)與各種含有氮基團之均勻劑(例如二黑色與健那綠B(Janus Green B)。例如,未含有典型上可在隨後所使用電鍍浴中被發現之任何增白劑或均勻劑之預濕潤流體,可避免有關聯之預濕潤種晶金屬腐蝕。壓抑劑,譬如聚醚(例如聚乙二醇、聚氧化丙烯等),或金屬離子錯合劑本身,並不特別地具腐蝕性,且因為其係傾向在可添加潤濕劑時降低表面張力,當高速率填充不為主要關切事項時。但是,添加壓抑劑且併用氯離子,其一般係被認為是達成壓抑劑電化學活性之必要共成份,在一些具體實施例中並非有利的。
於一些具體實施例中,預濕潤流體可幫助移除氧化物表面。在圖12中所示用於電鍍一層金屬在晶圓基板上之電鍍製程1200之一些具體實施例中,係對預濕潤處理室提供在其表面之至少一部份上具有曝露金屬層之晶圓基板(1205)。於預濕潤處理室中之壓力係接著被降低至低大氣壓力(未示出)。然後,使晶圓與預濕潤流體接觸,以在晶圓基板上形成一層預濕潤流體(1210)。於一項具體實施例中,預濕潤流體包含酸,以至少部份自晶種層移除表面氧化物,且預濕潤流體具有pH值在約2至6之間。接著,使經預濕潤之晶圓與包含金屬離子之電鍍溶液接觸,以電鍍一層金屬在晶圓基板上(1215)。電鍍溶液具有pH值在約2至6之間,且電鍍溶液與預濕潤流體具有不同組合物。
在其他具體實施例中,預濕潤流體可幫助使帶有金屬氧化物之表面轉變成金屬表面(例如氧化亞銅或銅-參閱反應6與7及有關聯之討論)或移除氧化物表面。在圖12中所示用於電鍍一層金屬在晶圓基板上之電鍍製程1200之一些具體實施例中,係對預濕潤處理室提供在其表面之至少一部份上具有曝露金屬層之晶圓基板(1205)。然後,使晶圓與預濕潤流體接觸,以在晶圓基板上形成一層預濕潤流體(1210)。於一項具體實施例中,預濕潤流體包含少量還原劑,以至少部份減少晶種層上之表面氧化物。於另一項具體實施例中,預濕潤流體包含金屬錯合劑,以至少部份移除在曝露金屬層上之表面氧化物,且預濕潤流體具有pH值在約4至12之間。接著,使經預濕潤之晶圓與包含金屬離子之電鍍溶液接觸,以電鍍一層金屬在晶圓基板上(1215)。
於一些具體實施例中,電鍍溶液包含銅離子,以電鍍一層銅在晶圓基板上。於此種具體實施例中,在晶圓基板上之曝露金屬層一般為銅或銅合金。關於銅之還原劑之實例包括甲醛、乙醇酸(及其鹽)及二甲胺硼烷。當曝露金屬層為銅時,在一些具體實施例中,預濕潤流體包含銅錯合劑,以至少部份移除在曝露銅層上之表面氧化物,且預濕潤流體具有pH值在約4至12之間。
一般而言,小濃度(例如每百萬份之份數,典型上為10至100 ppm)之鹵離子譬如氯化物或溴化物係存在,且在許多電鍍浴溶液中係經常為重要的。鹵化物亦為習知之腐蝕劑。一般已知含有鹵化物之溶液會比未具有鹵化物之相同溶液(意即一致pH與離子強度)更快腐蝕表面。由於其在成功電鍍上為重要的,且其濃度很低,故吾人可假定未使彼等存在於預濕潤流體中會抑制其均勻曝露至特徵內部表面,於是對特徵填充製程具有不利作用。但是,在一些具體實施例中,可有用地不包含或添加即使此等極低含量之鹵化物至預濕潤流體中。在一些具體實施例中,預濕潤流體係實質上不含鹵化物。即使使用低每百萬份之份數含量之鹵化物,單獨或併用其他電鍍浴添加劑,亦已發現在側壁特徵上之金屬腐蝕速率之急驟增加。雖然不希望受任何特定理論所束縛,但就整體而言,金屬之腐蝕可藉由亞銅鹵化物反應物之形成而被催化或穩定化。
在根據本文中所述具體實施例進行之類似本文中所述其他實驗之一種TSV特徵填充實驗中,預濕潤流體含有100克/升銅甲烷磺酸、16克/升甲烷磺酸,且無氯化物或50 ppm之氯離子。銅電鍍係接著使用如關於本文中所述其他實驗所述之相同溶液與製程進行。側壁晶種層腐蝕係藉由預濕潤流體中之甚至少量之鹵離子大為惡化。
電鍍浴壓抑劑包括聚乙二醇(PEG)、聚丙二醇(PPG)、聚氧化乙烯(PEO)、聚氧化丙烯(PPO)及此等單體之各種共聚物。壓抑劑係用以壓抑晶圓上於特徵外部之銅電鍍,允許在此種特徵內部之銅沉積。其亦為良好表面張力降低劑(界面活性劑),且因此可被認為是預濕潤流體中之有用成份。正如本文所指出者,此等化合物之壓抑電鍍特性通常係併用鹵化物衍生出來,且鹵化物之存在可能會造成特徵側壁腐蝕。在一些具體實施例中,預濕潤流體係實質上不含鹵化物、電鍍加速劑及電鍍均勻劑,而包含小濃度(例如典型上為低於約15 ppm)下之電鍍壓抑劑。
實驗係使用含有100克/升銅甲烷磺酸鹽、16克/升甲烷磺酸(有時被稱為"VMS"(virgin makeup solution)溶液),無氯離子,及含有不同量之8000分子量聚乙二醇之預濕潤流體進行,以測定壓抑劑對於預濕潤流體之作用。側壁腐蝕通常不會在此等不含氯化物之預濕潤流體中被發現。但是,當壓抑劑之濃度達到大約每百萬份之約5份數與25份數之間時,特徵填充係顯著地被衝擊。充填特性係從約0至5 ppm壓抑劑下之自底向上填充轉變成約25 ppm壓抑劑下之底部空隙。在約50 ppm壓抑劑及以上之下,電鍍係主要為等向性。因此,高於約15 ppm壓抑劑之使用在一些具體實施例中,從特徵填充展望來看是不想要的。
在圖12中所示用於電鍍一層銅在晶圓基板上之電鍍製程1200之一些具體實施例中,係對預濕潤處理室提供在其表面之至少一部份上具有曝露金屬層之晶圓基板(1205)。然後,使晶圓與預濕潤流體接觸,以在晶圓基板上形成一層預濕潤流體(1210)。預濕潤流體包含水與銅離子,且係實質上不含電鍍添加劑。於此項具體實施例中,電鍍溶液包含電鍍添加劑。銅離子在預濕潤流體中之濃度係大於銅離子在電鍍溶液中之濃度。於一些具體實施例中,預濕潤流體係實質上不含添加劑,包括鹵化物、加速劑及均勻劑以及其組合。於一些具體實施例中,預濕潤流體包含在低於15 ppm濃度下之聚氧化乙烯。於一些具體實施例中,電鍍溶液添加劑包括鹵化物、加速劑、壓抑劑及其組合。接著,使經預濕潤之晶圓與包含銅離子之電鍍溶液接觸,以電鍍一層銅在晶圓基板上(1215)。
除了特定預濕潤流體幫助或阻礙特徵填充製程(例如避免側壁腐蝕或造成等向性類型填充行為)之可能性以外,在預濕潤流體之組合物與特徵填充速率之間亦有關係。於比較特徵填充速率所進行之實驗中,電鍍浴溶液組合物與電鍍電流對時間為固定,並監測製程結束時之特徵填充之量。實驗係証實預濕潤流體之選擇對於特徵填充速率與時間可具有顯著作用,有時會增加填充速率且降低填充時間達二或更多之因數。
雖然不希望受關於此作用之任何特定解釋或模型所束縛,但咸信具有主要含有顯著量金屬鹽之導電性電解質(例如與DI水不同)係為快速地起始且持續電鍍於特徵底部上所必須。於一些具體實施例中,預濕潤流體不應包含在電場中為壓抑電鍍於其上所必須之一部份或全部電鍍浴添加劑(意即均勻劑與壓抑劑)。在一些具體實施例中,預濕潤流體係實質上不含電鍍均勻劑。加速劑之添加至預濕潤流體中可用於一些具體實施例中,但加速劑(譬如二巰基丙烷磺酸,SPS)僅只是藉由表面之曝露至預濕潤流體,而易於分叉且形成極強吸附加速劑單體(例如巰基丙烷磺酸,MPS)。此加速劑之分叉可為足夠快速,以致在起始電鍍之前,整個表面係與加速劑吸附物達到飽和。因此,於開始後續電鍍時,電場與上側壁可能需要加速劑被移除或去活化,以造成電流被驅動至錯綜複雜之特徵中。對照上而言,含有金屬離子但無加速劑或其他添加劑之預濕潤流體係在進入浴液中時於高速率下電鍍,直到壓抑添加劑變成表面活性為止。在一些具體實施例中,預濕潤流體係實質上不含一或多種鹵化物、電鍍加速劑及電鍍均勻劑。
較小加速劑可迅速地自電鍍浴擴散至特徵之口部與下方區域中,然而壓抑劑與均勻劑分子係較緩慢地擴散,且最初係主要是在特徵之上側壁上發生作用,因此產生極化接觸,且驅動電流進入特徵中。加速劑分子主要是用於去活化、移除壓抑劑/鹵化物組合,或防止藉由其所發展之極化之目的。關於沒有極化壓抑劑/鹵化物組合之不含添加劑之溶液,加速劑本質上係僅為弱極化劑。雖然一些鹵化物譬如氯化物可為相對較小,且類似加速劑分子敏捷地擴散,未具有壓抑劑與均勻劑存在於特徵底部上,但表面動力學仍然為快速,且電鍍阻力極低(單獨鹵化物一般為非極化,且事實上一些文獻係述及其本身為去極化)。再者,使SPS之電化學轉化成強吸附MPS加速劑分子發生在不含壓抑劑之局部環境中,歷經一段延續時間之能力(意即在任何壓抑劑可達到建立易於降低壓抑劑稍後吸收傾向之"惰性"電鍍條件之前),係避免極化,且增加相對電鍍至特徵之底部。對照上而言,於特徵之上方壁與電場處,在曝露至電鍍溶液之後不久,壓抑劑係被吸附,且均勻劑係與加速劑之吸附競爭,以移除該處之極化,因此極化係於該處極快速地發展。在浸入電鍍浴中之後,隨著時間進展,小分子譬如加速劑與鹵化物將快速地擴散至特徵中,但較大均勻劑與更大壓抑劑將更緩慢地擴散,延遲其在內部之抑制作用,及允許快速填充。
依特徵尺寸、於特徵內部之接種品質、各種處理成本及其他目標而定,一或多種預濕潤流體在某些具體實施例中可為有利的,勝過另一種。表1至4係以大數目之類似本文中所述之特徵填充實驗與觀察為基礎,其係以定性方式分類,且比較關於許多不同預濕潤流體組合之特徵腐蝕與特徵填充(填充速率)之增強/阻滯之傾向。表術語"優越 "表示一般高度地有利結果(例如種子腐蝕之少量証據或增強或其他方面高的特徵填充速率)。表術語"良好 "表示雖然在所有情況中可能不為最適宜者,但為潛在地可接受之結果(例如依種子品質、電鍍浴等而定)。表術語"尚可 "包括典型上為相當最低限度或可能為不可靠,及可經常導致負面或不良結果之表現。最後,表術語"不良 "表示幾乎不變地無法令人接受之種子腐蝕,或顯著地變更(例如等向性充填)或減少之填充速率行為。
關於不同濃度之酸類之結果係被給予。關於硫酸鹽或甲烷磺酸之金屬之結果亦被給予,惟通常發現該兩種間之差異為最小。在其中給予標識"硫酸或甲烷磺酸"之表中,另一種成份係產生該兩種類型酸類之混合物(非只有相同化學之更多酸)。例如,在表2中,所有預濕潤流體均包含大於2克/升之硫酸或甲烷磺酸。於橫列1中之預濕潤流體[意即>2克/升甲烷磺酸(或硫酸)]係含有2克/升硫酸 2克/升甲烷磺酸兩者。於橫列2中之預濕潤流體[意即<2克/升甲烷磺酸(或硫酸)]係含有2克/升硫酸 2克/升甲烷磺酸。硫酸具有與甲烷磺酸約相同之分子量,因此濃度在該兩種情況中為約相同,但應明瞭的是,硫酸既為二質子且有不同解離常數(H2 SO4 :MW=98,pKa1 =3.0,pKa2 =2;CH3 SO3 H:MW=96,pKa=1.9),因此含有相同量硫酸之溶液之pH值為較低。最後,得自不同鹽之銅溶液之濃度係以每升銅離子(Cu++ )而非無水鹽或水合鹽之克數表示。
自此等表,良好預濕潤流體之許多一般趨勢可經確認,特別是以下者,含有極少或無酸(pH值為2或較大),中等至高金屬離子濃度,極少或無鹵化物(<10 ppm),小於約15 ppm似PEG壓抑劑,及既無均勻劑亦無加速劑電鍍添加劑。含有20至100克/升金屬離子,未具有溶劑(水)以外之其他成份,且含有小濃度之界面活性劑(或無界面活性劑)之溶液,係為良好預濕潤流體組合物之實例。
結論
雖然前述裝置設計與方法已大致詳細地加以描述,以達清楚瞭解之目的,但應明瞭的是,某些改變與修正可在隨文所附請求項之範圍內實施。應注意的是,有許多施行本文中所述製程與組合物兩者之替代方式。因此,本發明具體實施例係被認為是說明性而非限制性,且具體實施例並非受限於本文中所予之細節。
301...室
303...真空泵
305...三向閥接頭
306...除氣回路
307...預濕潤流體槽桶
309...除氣元件
311...泵
313...三向閥
315...閥
317...針閥
401...晶圓
402...晶圓固定器
403...預濕潤室
405...噴嘴
407...電動機
409...真空孔
411...孔
501...預濕潤室
503...電動機
504...室底部
505...電動機與軸承支撐構件
507...軸承
509...接頭
511...驅動軸
513...卡盤底座
515...臂
517...對準裝置
519...排水口
521...真空入口與真空釋放管線
523...流體保護屏蔽
525...預濕潤流體噴嘴
527...室真空門
601...室
603...晶圓
605...晶圓冷卻構件(冷凝器)
607...晶圓保持固定物(卡盤)
609...可移動真空蓋
611...真空來源
613...真空釋放管線
615...可凝結流體入口
617...真空密封物
619...下層真空包容容器
701...晶圓
702...晶圓固定器
703...室
705...電動機
707...真空孔
709...孔
711...入口
713...預濕潤流體
801...室
803...晶圓固定器
805...電動機
807...真空孔
809...晶圓
811...孔
813...流體
901...室
903...單元壁
905...晶圓保持固定物
907...高電阻虛陽極
909...陽極室(SAC)區域
911...真空孔
913...電鍍溶液
915...晶圓
1001...電鍍系統/模組
1003...晶圓處理機器人
1004...轉移室機器人
1005...前方FOUP(前端開口整合盒)承載器
1013...預濕潤室
1015...模組
1021...電鍍單元
1023...電鍍單元
1025...電鍍單元
1031...金屬移除等向性蝕刻模組(ITE模組)
1041...乾燥站
1301...結構
1302...晶圓基板
1303...預濕潤流體
1304...可電鍍晶種層
1305...表面
1306...壁
1307...底部
1308...下方壁
圖1係描繪氣泡溶解時間對特徵尺寸之圖形。
圖2係描繪氣泡溶解時間對溶解氣體壓力之圖形。
圖3係描繪預濕潤裝置之一項具體實施例之示意線路圖。
圖4係描繪預濕潤室之一項具體實施例。
圖5係描繪預濕潤室之一項具體實施例之等比例圖。
圖6係描繪經設計用於凝結預濕潤製程之預濕潤室之一項具體實施例。
圖7係描繪經設計用於浸入預濕潤製程之預濕潤室之一項具體實施例。
圖8係描繪經設計用於浸入預濕潤製程之預濕潤室之另一項具體實施例。
圖9係描繪其中預濕潤製程係在電鍍單元中進行之裝置之一項具體實施例。
圖10係描繪電鍍系統之一項具體實施例。
圖11a與11b為關於預濕潤製程之具體實施例之流程圖。
圖12為關於電鍍一層金屬在晶圓基板上之電鍍方法,其一項具體實施例之流程圖。
圖13係描繪一種具有經充填預濕潤流體之特徵之晶圓基板。
501...預濕潤室
503...電動機
504...室底部
505...電動機與軸承支撐構件
507...軸承
509...接頭
511...驅動軸
513...卡盤底座
515...臂
517...對準裝置
519...排水口
521...真空入口與真空釋放管線
523...流體保護屏蔽
525...預濕潤流體噴嘴
527...室真空門

Claims (31)

  1. 一種用於在以電解方式處理晶圓基板之前預濕潤晶圓基板之裝置,該裝置包含:一除氣器,其係經設計成在預濕潤之前,自預濕潤流體移除一或多種溶解氣體;一處理室,其具有一供預濕潤流體進入之入口,其中該處理室係經設計成在低大氣(sub-atmospheric)壓力下,使用經除氣之預濕潤流體預濕潤該晶圓基板;及一晶圓固定器,其係位在該處理室內,且經設計以在預濕潤製程期間固定該晶圓基板。
  2. 如請求項1之裝置,其中該除氣器為薄膜接觸除氣器。
  3. 如請求項1之裝置,其中該除氣器係經設計以使預濕潤流體冷卻至溫度低於約20℃。
  4. 如請求項1之裝置,其中該處理室包含一適合與真空泵連接之出口。
  5. 如請求項1之裝置,其中該裝置係經設計以輸送呈液體形式之預濕潤流體至該晶圓基板上。
  6. 如請求項5之裝置,其中該裝置係經設計以將該晶圓基板浸入預濕潤流體中歷經一段時間。
  7. 如請求項5之裝置,其中該裝置係經設計以將預濕潤流體噴灑或液流至該晶圓基板上歷經一段時間。
  8. 如請求項1之裝置,其中該晶圓基板具有至少一個凹陷特徵,其中該裝置係經設計以輸送呈氣體形式之預濕潤流體至該晶圓基板上,且其中該預濕潤流體已實質上將所有不 可冷凝之氣體移除,且接著冷凝,以在該晶圓基板上形成液膜而使用預濕潤流體充填該凹陷特徵。
  9. 如請求項1之裝置,其中該裝置係經設計以在該晶圓基板之預濕潤期間維持處理室中之壓力低於約50托。
  10. 如請求項1之裝置,其中該裝置係經設計以在處理室中之壓力經降至低於約50托之後,起始預濕潤流體之引進至處理室中及至晶圓基板上。
  11. 如請求項1之裝置,其中該裝置係經設計以在處理室中之壓力經降至低於約50托之後,起始晶圓基板之浸入預濕潤流體中。
  12. 如請求項1之裝置,其中該晶圓固定器係經設計以定位該晶圓基板於實質上水平方向。
  13. 如請求項1之裝置,其中該晶圓固定器係經設計以定位該晶圓基板於實質上垂直方向。
  14. 如請求項1之裝置,其中該晶圓固定器係經設計以旋轉該晶圓基板。
  15. 如請求項14之裝置,其中該處理室係經設計以輸送預濕潤流體至旋轉中之晶圓基板上。
  16. 如請求項15之裝置,其中該預濕潤流體首先係於晶圓基板中心之約3公分內接觸晶圓基板。
  17. 如請求項1之裝置,其中該處理室係經進一步設計以電鍍一層金屬在經預濕潤之晶圓基板上。
  18. 如請求項1之裝置,其中該裝置進一步包含一轉移機制,其係經設計以自處理室轉移晶圓基板至電鍍裝置。
  19. 如請求項1之裝置,其中該裝置為一模組中之一個工作站,該模組進一步包含一經設計用於選自由電蝕刻或電拋光所組成組群之陽極製程之工作站。
  20. 如請求項1之裝置,其中該裝置為一模組中之一個工作站,該模組進一步包含一經設計以使用金屬電鍍晶圓基板之電鍍站。
  21. 如請求項20之裝置,其中該金屬為銅。
  22. 如請求項20之裝置,其中該電鍍站係經設計,以將晶圓基板浸入電鍍站中之經除氣之電鍍電解質內。
  23. 如請求項22之裝置,其中該電鍍站係經設計,以在將晶圓基板浸入經除氣之電鍍電解質中之前,使晶圓基板以陰極方式極化。
  24. 如請求項20之裝置,其中該模組包含一轉移機制,其係經設計以在小於約1分鐘內自預濕潤站轉移晶圓基板至電鍍站。
  25. 如請求項1之裝置,其進一步包含一控制器,該控制器包含關於以下之程式指令:降低處理室中之壓力至低大氣壓力;與接著使晶圓基板與預濕潤流體在低大氣壓力下接觸,以在晶圓基板上形成潤濕層。
  26. 如請求項25之裝置,其中該等程式指令進一步包含關於以下之指令:使晶圓基板於液體預濕潤流體在低大氣壓力下輸送至晶圓基板上之期間,於第一旋轉速率下旋轉,其中該流體 輸送係進行介於約10至120秒之間;停止預濕潤流體之輸送;在停止預濕潤流體之輸送後,使晶圓基板於第二旋轉速率下旋轉,以自晶圓基板移除過量表面夾帶預濕潤流體。
  27. 如請求項26之裝置,其中該等程式指令進一步包含關於以下之指令:在預濕潤流體之輸送停止後,及在過量表面夾帶預濕潤流體之移除前,增加處理室中之壓力至大氣壓力。
  28. 如請求項26之裝置,其中該等程式指令進一步包含關於以下之指令:在過量表面夾帶預濕潤流體之移除後,增加處理室中之壓力至大氣壓力。
  29. 如請求項26之裝置,其中該第一旋轉速率係低於約300rpm,及其中該第二旋轉速率為至少約300rpm。
  30. 如請求項26之裝置,其中該第一轉速率為約100rpm或更低,及其中該第二旋轉速率為至少約500rpm。
  31. 如請求項25之裝置,其中該裝置係經設計以藉由選自由離心旋轉、空氣刀乾燥及擦拭組成之組群之方法自晶圓基板移除過量表面夾帶預濕潤流體。
TW099119625A 2009-06-17 2010-06-15 用於增進鑲嵌金屬填充之濕潤預處理裝置 TWI499695B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US21802409P 2009-06-17 2009-06-17
US12/684,787 US8962085B2 (en) 2009-06-17 2010-01-08 Wetting pretreatment for enhanced damascene metal filling
US12/684,792 US20100320081A1 (en) 2009-06-17 2010-01-08 Apparatus for wetting pretreatment for enhanced damascene metal filling

Publications (2)

Publication Number Publication Date
TW201109481A TW201109481A (en) 2011-03-16
TWI499695B true TWI499695B (zh) 2015-09-11

Family

ID=43353355

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104118528A TWI575116B (zh) 2009-06-17 2010-06-15 用於增進鑲嵌金屬填充之濕潤預處理裝置
TW099119625A TWI499695B (zh) 2009-06-17 2010-06-15 用於增進鑲嵌金屬填充之濕潤預處理裝置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW104118528A TWI575116B (zh) 2009-06-17 2010-06-15 用於增進鑲嵌金屬填充之濕潤預處理裝置

Country Status (4)

Country Link
US (5) US20100320081A1 (zh)
CN (2) CN102804343B (zh)
TW (2) TWI575116B (zh)
WO (1) WO2010148147A2 (zh)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US20100320081A1 (en) 2009-06-17 2010-12-23 Mayer Steven T Apparatus for wetting pretreatment for enhanced damascene metal filling
US9138784B1 (en) 2009-12-18 2015-09-22 Novellus Systems, Inc. Deionized water conditioning system and methods
US8992757B2 (en) 2010-05-19 2015-03-31 Novellus Systems, Inc. Through silicon via filling using an electrolyte with a dual state inhibitor
US20120052204A1 (en) * 2010-08-31 2012-03-01 Applied Materials, Inc. Workpiece wetting and cleaning
US9816193B2 (en) 2011-01-07 2017-11-14 Novellus Systems, Inc. Configuration and method of operation of an electrodeposition system for improved process stability and performance
JP5617065B2 (ja) * 2011-09-09 2014-11-05 東京エレクトロン株式会社 剥離方法、プログラム、コンピュータ記憶媒体及び剥離システム
FR2982877B1 (fr) * 2011-11-18 2014-10-03 Alchimer Machine adaptee pour metalliser une cavite d'un substrat semi-conducteur ou conducteur telle qu'une structure du type via traversant
KR102092416B1 (ko) 2012-03-30 2020-03-24 노벨러스 시스템즈, 인코포레이티드 역전류 디플레이팅을 이용한 전기도금 기판 홀더의 클리닝
US9816196B2 (en) 2012-04-27 2017-11-14 Novellus Systems, Inc. Method and apparatus for electroplating semiconductor wafer when controlling cations in electrolyte
US9359688B1 (en) 2012-12-05 2016-06-07 Novellus Systems, Inc. Apparatuses and methods for controlling PH in electroplating baths
CN103866365A (zh) * 2012-12-11 2014-06-18 诺发系统公司 电镀填充真空电镀槽
US10714436B2 (en) 2012-12-12 2020-07-14 Lam Research Corporation Systems and methods for achieving uniformity across a redistribution layer
CN103103585B (zh) * 2012-12-29 2015-09-16 上海新阳半导体材料股份有限公司 一种用于铜互连的高速凸点电镀方法
US9613833B2 (en) * 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9598787B2 (en) * 2013-03-14 2017-03-21 Rohm And Haas Electronic Materials Llc Method of filling through-holes
US10190232B2 (en) 2013-08-06 2019-01-29 Lam Research Corporation Apparatuses and methods for maintaining pH in nickel electroplating baths
CN103474378B (zh) * 2013-09-13 2016-03-16 华进半导体封装先导技术研发中心有限公司 基于tsv制程的真空预湿装置和抽真空预湿方法
JP6290762B2 (ja) * 2013-10-30 2018-03-07 東京エレクトロン株式会社 流量調整機構、希釈薬液供給機構、液処理装置及びその運用方法
US9435049B2 (en) * 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
US9321635B2 (en) * 2013-11-28 2016-04-26 Solid State System Co., Ltd. Method to release diaphragm in MEMS device
US9355836B2 (en) * 2013-12-31 2016-05-31 Lam Research Ag Method and apparatus for liquid treatment of wafer shaped articles
US9732434B2 (en) 2014-04-18 2017-08-15 Lam Research Corporation Methods and apparatuses for electroplating nickel using sulfur-free nickel anodes
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US20150311426A1 (en) * 2014-04-24 2015-10-29 National Taiwan University Method of manufacturing a transducer
CN105304521A (zh) * 2014-07-29 2016-02-03 盛美半导体设备(上海)有限公司 一种半导体工艺当中在先浸润基板的装置和方法
US9714462B2 (en) 2014-10-08 2017-07-25 Applied Materials, Inc. Vacuum pre-wetting apparatus and methods
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9472395B2 (en) * 2015-01-12 2016-10-18 Infineon Technologies Ag Semiconductor arrangement including buried anodic oxide and manufacturing method
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
JP6263210B2 (ja) 2016-03-03 2018-01-17 株式会社荏原製作所 めっき装置及びめっき方法
CN107433517B (zh) * 2016-05-25 2021-02-12 盛美半导体设备(上海)股份有限公司 晶圆抛光方法
US10354908B2 (en) 2016-11-29 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor tool with a shield
US10373864B2 (en) * 2016-12-27 2019-08-06 Applied Materials, Inc. Systems and methods for wetting substrates
JP2018149015A (ja) * 2017-03-10 2018-09-27 コニカミノルタ株式会社 高アスペクト比構造物の製造方法、超音波プローブの製造方法、高アスペクト比構造物、および、x線撮像装置
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
JP6857531B2 (ja) * 2017-03-31 2021-04-14 株式会社荏原製作所 めっき方法及びめっき装置
CN107833858B (zh) * 2017-10-19 2020-07-10 华中科技大学 一种硅通孔电镀的三步预浸润方法
GB2574177B (en) 2018-01-25 2021-07-14 Semsysco Gmbh Method and device for plating a recess in a substrate
US20210366768A1 (en) * 2018-04-30 2021-11-25 Lam Research Corporation Improving substrate wettability for plating operations
CN110602920B (zh) * 2018-06-30 2020-10-30 中航光电科技股份有限公司 冷板及冷板制造方法
US10734304B2 (en) 2018-11-16 2020-08-04 Texas Instruments Incorporated Plating for thermal management
WO2020160545A1 (en) * 2019-02-01 2020-08-06 Averatek Corporation Coating of nano-scaled cavities
US11512406B2 (en) * 2019-10-17 2022-11-29 Rohm And Haas Electronic Materials Llc Method of enhancing copper electroplating
JP6879482B1 (ja) * 2020-01-09 2021-06-02 株式会社オリジン 酸化物除去済部材の製造方法及び酸化物除去装置
EP3885474A1 (en) 2020-03-25 2021-09-29 Semsysco GmbH Method for a chemical and/or electrolytic surface treatment of a substrate in a process station
WO2021207254A1 (en) * 2020-04-07 2021-10-14 Lam Research Corporation Electrofill from alkaline electroplating solutions
US20230260837A1 (en) * 2020-05-09 2023-08-17 Lam Research Corporation Methods to improve wafer wettability for plating - enhancement through sensors and control algorithms
JP2022063026A (ja) * 2020-10-09 2022-04-21 株式会社荏原製作所 めっき方法
CN112397422B (zh) * 2020-11-19 2023-08-29 苏州尊恒半导体科技有限公司 一种晶圆深孔电镀前处理润湿方法
CN112708926A (zh) * 2020-12-16 2021-04-27 上海华力微电子有限公司 一种用于铜电镀机台的缓冲装置及铜电镀机台
US20220213610A1 (en) * 2021-01-06 2022-07-07 Rohm And Haas Electronic Materials Llc Photoresist resolution capabilities by copper electroplating anisotropically
KR102604588B1 (ko) * 2021-10-14 2023-11-22 가부시키가이샤 에바라 세이사꾸쇼 프리웨트 처리 방법
CN113862746B (zh) * 2021-11-09 2023-02-17 新阳硅密(上海)半导体技术有限公司 一种电镀工艺预润湿系统及方法
CN116169093A (zh) * 2021-11-25 2023-05-26 上海华力集成电路制造有限公司 一种改善电镀铜工艺后凹坑缺陷的方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5555234A (en) * 1994-02-17 1996-09-10 Dainippon Screen Mfg. Co., Ltd. Developing method and apparatus
US6544585B1 (en) * 1997-09-02 2003-04-08 Ebara Corporation Method and apparatus for plating a substrate
US20060141157A1 (en) * 2003-05-27 2006-06-29 Masahiko Sekimoto Plating apparatus and plating method
TWI281516B (en) * 2000-03-17 2007-05-21 Ebara Corp Plating apparatus and plating method

Family Cites Families (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1255395A (en) * 1916-05-05 1918-02-05 Arthur E Duram Liquid-separator and the like.
US3360248A (en) 1964-10-23 1967-12-26 Houdaille Industries Inc Thin stream direct contact fluid heater
US3849002A (en) * 1973-05-11 1974-11-19 Hach Chemical Co Method and apparatus for eliminating air during fluid turbidity measurement
US4101919A (en) 1976-08-02 1978-07-18 Quantor Corporation Film processing apparatus
US4229191A (en) 1978-08-04 1980-10-21 Moore Lester P Technique for modifying the capacity of gas-liquid separator
DE3065136D1 (en) 1979-05-23 1983-11-10 Ici Plc Process and apparatus for the treatment of waste water
US4816081A (en) 1987-02-17 1989-03-28 Fsi Corporation Apparatus and process for static drying of substrates
JPH0772357B2 (ja) 1989-03-07 1995-08-02 日本電気株式会社 電気メッキ方法
US5000827A (en) * 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
JPH0819516B2 (ja) 1990-10-26 1996-02-28 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 薄膜状のアルファTaを形成するための方法および構造
US5221449A (en) * 1990-10-26 1993-06-22 International Business Machines Corporation Method of making Alpha-Ta thin films
SE467976B (sv) 1991-02-20 1992-10-12 Dcm Innovation Ab Anordning foer elektroplaetering, vid framstaellning av matriser foer tillverkning av t ex cd-skivor samt foerfarande foer tillverkning av matriser medelst anordningen
US5482611A (en) * 1991-09-30 1996-01-09 Helmer; John C. Physical vapor deposition employing ion extraction from a plasma
JPH06151397A (ja) 1992-11-09 1994-05-31 Ryoden Semiconductor Syst Eng Kk ウエハ洗浄装置
JPH08265358A (ja) * 1995-03-20 1996-10-11 Hitachi Ltd 無線lanシステム及びその基地局装置、無線端末装置及び情報フレームの中継方法
US5800626A (en) 1997-02-18 1998-09-01 International Business Machines Corporation Control of gas content in process liquids for improved megasonic cleaning of semiconductor wafers and microelectronics substrates
US5831727A (en) 1997-04-29 1998-11-03 Hach Company Bubble elimination from liquid
US5985762A (en) * 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6159354A (en) 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
EP1055020A2 (en) 1998-02-12 2000-11-29 ACM Research, Inc. Plating apparatus and method
KR100616198B1 (ko) * 1998-04-21 2006-08-25 어플라이드 머티어리얼스, 인코포레이티드 기판상에 전기도금하는 전기화학적 증착 시스템 및 방법
JPH11307481A (ja) * 1998-04-24 1999-11-05 Sony Corp 電解めっき装置および電解めっき方法
US6217716B1 (en) * 1998-05-06 2001-04-17 Novellus Systems, Inc. Apparatus and method for improving target erosion in hollow cathode magnetron sputter source
US6099702A (en) 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6074544A (en) * 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6402923B1 (en) * 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
TW522455B (en) 1998-11-09 2003-03-01 Ebara Corp Plating method and apparatus therefor
US6413388B1 (en) * 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US6124203A (en) 1998-12-07 2000-09-26 Advanced Micro Devices, Inc. Method for forming conformal barrier layers
US6004470A (en) * 1999-01-04 1999-12-21 Abril; Tim Apparatus and method for coolant/tramp oil separation
US6193854B1 (en) * 1999-01-05 2001-02-27 Novellus Systems, Inc. Apparatus and method for controlling erosion profile in hollow cathode magnetron sputter source
US6179973B1 (en) * 1999-01-05 2001-01-30 Novellus Systems, Inc. Apparatus and method for controlling plasma uniformity across a substrate
US6221757B1 (en) 1999-01-20 2001-04-24 Infineon Technologies Ag Method of making a microelectronic structure
US6582578B1 (en) * 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
JP3589090B2 (ja) 1999-06-01 2004-11-17 セイコーエプソン株式会社 成膜方法
US6391209B1 (en) 1999-08-04 2002-05-21 Mykrolis Corporation Regeneration of plating baths
US6596148B1 (en) 1999-08-04 2003-07-22 Mykrolis Corporation Regeneration of plating baths and system therefore
US6423200B1 (en) * 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US6333275B1 (en) * 1999-10-01 2001-12-25 Novellus Systems, Inc. Etchant mixing system for edge bevel removal of copper from silicon wafers
US20040045832A1 (en) * 1999-10-14 2004-03-11 Nicholas Martyak Electrolytic copper plating solutions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
JP4664320B2 (ja) 2000-03-17 2011-04-06 株式会社荏原製作所 めっき方法
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
JP2001316889A (ja) 2000-05-08 2001-11-16 Tokyo Electron Ltd 液処理装置及び液処理システム
TWI228548B (en) * 2000-05-26 2005-03-01 Ebara Corp Apparatus for processing substrate and apparatus for processing treatment surface of substrate
AU2001270205A1 (en) 2000-06-26 2002-01-08 Applied Materials, Inc. Method and apparatus for wafer cleaning
US6576110B2 (en) 2000-07-07 2003-06-10 Applied Materials, Inc. Coated anode apparatus and associated method
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
JP2002097598A (ja) 2000-09-25 2002-04-02 Mitsubishi Electric Corp 電解メッキ装置
US20020074242A1 (en) * 2000-10-13 2002-06-20 Shipley Company, L.L.C. Seed layer recovery
US6964792B1 (en) * 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
US6610189B2 (en) * 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
KR100824910B1 (ko) 2001-02-07 2008-04-23 엔테그리스, 아이엔씨. 수성 도금액의 탈기 방법
ES2229130T5 (es) 2001-02-08 2009-01-16 UMICORE AG &amp; CO. KG Gasas de catalizador tridimensionales tricotadas en dos capas.
US6540899B2 (en) 2001-04-05 2003-04-01 All Wet Technologies, Inc. Method of and apparatus for fluid sealing, while electrically contacting, wet-processed workpieces
TW483040B (en) * 2001-05-30 2002-04-11 Taiwan Semiconductor Mfg Pre-wetting process system of chip for electroplating metal and its method
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
US6551487B1 (en) 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
US6626196B2 (en) * 2001-06-15 2003-09-30 International Busines Machines Corporation Arrangement and method for degassing small-high aspect ratio drilled holes prior to wet chemical processing
US6592747B2 (en) * 2001-06-18 2003-07-15 International Business Machines Corporation Method of controlling additives in copper plating baths
US20040188257A1 (en) 2001-08-31 2004-09-30 John Klocke Methods for processing micro-feature workpieces, patterned structures on micro-feature workpieces, and integrated tools for processing micro-feature workpieces
JP2003129283A (ja) 2001-10-18 2003-05-08 Hitachi Ltd メッキ処理装置及びそれを用いた半導体装置の製造方法
US7771662B2 (en) 2001-10-19 2010-08-10 Hologic, Inc Vial system and method for processing liquid-based specimens
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
JP3979464B2 (ja) 2001-12-27 2007-09-19 株式会社荏原製作所 無電解めっき前処理装置及び方法
US6843852B2 (en) * 2002-01-16 2005-01-18 Intel Corporation Apparatus and method for electroless spray deposition
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US6964740B2 (en) 2002-06-25 2005-11-15 Dwain E. Morse System and method of gas energy management for particle flotation and separation
US20040118697A1 (en) * 2002-10-01 2004-06-24 Applied Materials, Inc. Metal deposition process with pre-cleaning before electrochemical deposition
JP4015531B2 (ja) 2002-10-31 2007-11-28 大日本スクリーン製造株式会社 メッキ装置およびメッキ方法
US7189146B2 (en) * 2003-03-27 2007-03-13 Asm Nutool, Inc. Method for reduction of defects in wet processed layers
US20040200725A1 (en) 2003-04-09 2004-10-14 Applied Materials Inc. Application of antifoaming agent to reduce defects in a semiconductor electrochemical plating process
JP2004315889A (ja) * 2003-04-16 2004-11-11 Ebara Corp 半導体基板のめっき方法
JP2004353004A (ja) * 2003-05-27 2004-12-16 Ebara Corp めっき装置
US20050026455A1 (en) 2003-05-30 2005-02-03 Satomi Hamada Substrate processing apparatus and substrate processing method
JP2006004955A (ja) 2003-05-30 2006-01-05 Ebara Corp 基板処理装置及び基板処理方法
US7270734B1 (en) 2003-06-06 2007-09-18 Technic, Inc. Near neutral pH cleaning/activation process to reduce surface oxides on metal surfaces prior to electroplating
JP2005048209A (ja) 2003-07-30 2005-02-24 Hitachi Ltd 無電解メッキ方法、無電解メッキ装置、半導体装置の製造方法及びその製造装置
JP2005133160A (ja) 2003-10-30 2005-05-26 Ebara Corp 基板処理装置及び方法
ATE450813T1 (de) * 2004-05-17 2009-12-15 Fujifilm Corp Verfahren zur erzeugung eines musters
US7438794B2 (en) 2004-09-30 2008-10-21 Intel Corporation Method of copper electroplating to improve gapfill
TWI328622B (en) * 2005-09-30 2010-08-11 Rohm & Haas Elect Mat Leveler compounds
US20090229856A1 (en) 2005-11-18 2009-09-17 Replisaurus Technologies Ab Master Electrode and Method of Forming the Master Electrode
KR20070071097A (ko) * 2005-12-29 2007-07-04 매그나칩 반도체 유한회사 구리 배선 도금장치 및 이를 이용한 구리 배선 도금방법
US7989347B2 (en) * 2006-03-30 2011-08-02 Freescale Semiconductor, Inc. Process for filling recessed features in a dielectric substrate
US7575666B2 (en) * 2006-04-05 2009-08-18 James Watkowski Process for electrolytically plating copper
US8261758B2 (en) 2006-08-17 2012-09-11 Novellus Systems, Inc. Apparatus and method for cleaning and removing liquids from front and back sides of a rotating workpiece
KR100832705B1 (ko) 2006-12-23 2008-05-28 동부일렉트로닉스 주식회사 시스템 인 패키지의 비아 도금방법 및 그 시스템
JP4805862B2 (ja) * 2007-02-21 2011-11-02 富士通セミコンダクター株式会社 基板処理装置、基板処理方法、及び半導体装置の製造方法
US20100084275A1 (en) * 2007-03-15 2010-04-08 Mikio Hanafusa Copper electrolytic solution and two-layer flexible substrate obtained using the same
TWI341554B (en) 2007-08-02 2011-05-01 Enthone Copper metallization of through silicon via
JP2009064599A (ja) 2007-09-05 2009-03-26 Canon Inc 塗布装置
US8226775B2 (en) 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
US20110043239A1 (en) * 2008-03-14 2011-02-24 Fujifilm Corporation Probe card
US20100163078A1 (en) 2008-12-31 2010-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Spinner and method of cleaning substrate using the spinner
US8237246B2 (en) * 2009-02-12 2012-08-07 International Business Machines Corporation Deep trench crackstops under contacts
KR101067608B1 (ko) 2009-03-30 2011-09-27 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리장치 및 기판처리방법
US8404095B2 (en) 2009-06-02 2013-03-26 The United States Of America, As Represented By The Secretary Of The Navy Preparing electrodes for electroplating
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US20100320081A1 (en) 2009-06-17 2010-12-23 Mayer Steven T Apparatus for wetting pretreatment for enhanced damascene metal filling
US9138784B1 (en) 2009-12-18 2015-09-22 Novellus Systems, Inc. Deionized water conditioning system and methods
US8992757B2 (en) * 2010-05-19 2015-03-31 Novellus Systems, Inc. Through silicon via filling using an electrolyte with a dual state inhibitor
WO2011154493A1 (en) 2010-06-11 2011-12-15 Alchimer Copper-electroplating composition and process for filling a cavity in a semiconductor substrate using this composition
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
EP2606162A1 (de) 2010-08-17 2013-06-26 Chemetall GmbH Verfahren zum stromlosen verkupfern von metallischen substraten
JP5981938B2 (ja) 2010-12-21 2016-08-31 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se レベリング剤を含有する金属電解めっき組成物
US9816193B2 (en) 2011-01-07 2017-11-14 Novellus Systems, Inc. Configuration and method of operation of an electrodeposition system for improved process stability and performance
JP2012224944A (ja) 2011-04-08 2012-11-15 Ebara Corp 電気めっき方法
US9028666B2 (en) 2011-05-17 2015-05-12 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
KR102113883B1 (ko) 2012-03-13 2020-05-22 노벨러스 시스템즈, 인코포레이티드 관통 레지스트 금속 도금을 위한 웨팅 전처리의 방법들 및 장치
CN102786879B (zh) 2012-07-17 2014-04-23 清华大学 钛酸钡化学机械抛光水性组合物及其应用
JP6079150B2 (ja) 2012-11-07 2017-02-15 凸版印刷株式会社 めっきによる貫通孔の銅充填方法
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9054163B2 (en) 2013-11-06 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for via plating with seed layer
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5555234A (en) * 1994-02-17 1996-09-10 Dainippon Screen Mfg. Co., Ltd. Developing method and apparatus
US6544585B1 (en) * 1997-09-02 2003-04-08 Ebara Corporation Method and apparatus for plating a substrate
TWI281516B (en) * 2000-03-17 2007-05-21 Ebara Corp Plating apparatus and plating method
US20060141157A1 (en) * 2003-05-27 2006-06-29 Masahiko Sekimoto Plating apparatus and plating method

Also Published As

Publication number Publication date
US20150096883A1 (en) 2015-04-09
CN104966671A (zh) 2015-10-07
US20150179458A1 (en) 2015-06-25
US20100320081A1 (en) 2010-12-23
TWI575116B (zh) 2017-03-21
CN102804343B (zh) 2015-06-10
US8962085B2 (en) 2015-02-24
US9721800B2 (en) 2017-08-01
WO2010148147A3 (en) 2011-03-24
US10840101B2 (en) 2020-11-17
CN104966671B (zh) 2019-01-08
US20100320609A1 (en) 2010-12-23
US9852913B2 (en) 2017-12-26
TW201534771A (zh) 2015-09-16
US20180138044A1 (en) 2018-05-17
WO2010148147A2 (en) 2010-12-23
TW201109481A (en) 2011-03-16
CN102804343A (zh) 2012-11-28

Similar Documents

Publication Publication Date Title
TWI499695B (zh) 用於增進鑲嵌金屬填充之濕潤預處理裝置
US10301738B2 (en) Methods and apparatus for wetting pretreatment for through resist metal plating
KR102113883B1 (ko) 관통 레지스트 금속 도금을 위한 웨팅 전처리의 방법들 및 장치
TWI656246B (zh) 電鍍用鹼前處理
CN106245073B (zh) 用金属电化学填充高纵横比的大型凹入特征的方法、水溶液电镀槽溶液、电镀设备以及系统
US9856574B2 (en) Monitoring leveler concentrations in electroplating solutions
KR101105485B1 (ko) 관통전극형 채움 장치 및 방법
US6709565B2 (en) Method and apparatus for uniform electropolishing of damascene ic structures by selective agitation
JP6502628B2 (ja) 電気めっきシステム
US7531079B1 (en) Method and apparatus for uniform electropolishing of damascene IC structures by selective agitation
TW201439385A (zh) 真空電鍍槽
WO2013180064A1 (ja) めっき処理方法、めっき処理装置および記憶媒体
KR101265416B1 (ko) 개선된 다마신 금속 충전에 있어서 웨팅 전처리를 위한 장치