TWI491767B - 高速銅電鍍槽 - Google Patents

高速銅電鍍槽 Download PDF

Info

Publication number
TWI491767B
TWI491767B TW099113711A TW99113711A TWI491767B TW I491767 B TWI491767 B TW I491767B TW 099113711 A TW099113711 A TW 099113711A TW 99113711 A TW99113711 A TW 99113711A TW I491767 B TWI491767 B TW I491767B
Authority
TW
Taiwan
Prior art keywords
copper
plating bath
aqueous solution
copper plating
container
Prior art date
Application number
TW099113711A
Other languages
English (en)
Other versions
TW201043735A (en
Inventor
Xingling Xu
Eric Webb
Original Assignee
Moses Lake Ind Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Moses Lake Ind Inc filed Critical Moses Lake Ind Inc
Publication of TW201043735A publication Critical patent/TW201043735A/zh
Application granted granted Critical
Publication of TWI491767B publication Critical patent/TWI491767B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • C25D21/14Controlled addition of electrolyte components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/42Plated through-holes or plated via connections
    • H05K3/423Plated through-holes or plated via connections characterised by electroplating method

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Electroplating And Plating Baths Therefor (AREA)
  • Electroplating Methods And Accessories (AREA)

Description

高速銅電鍍槽
本發明係關於金屬電鍍領域,且更特定言之係關於新穎電鍍槽、電鍍水溶液及將銅電鍍於基板上之方法。
在微電子工業中,基於銅之材料係通常用作低電阻率之互連。除了用作IC互連外,使用銅電沈積在半導體晶片上產生高縱橫比結構(諸如通孔、支柱及凸塊)係用於3D封裝之一項關鍵技術。重要的是銅之電鍍程序應足夠快以容許處理大量基板且具有可接受之產率。此對於製造3D封裝所需之高縱橫比結構尤為重要,因為為此目的需要電鍍較大量的銅。然而,銅之電流電鍍程序係不夠快,因為銅電鍍速度通常受到電鍍水溶液中之銅離子在擴散層區域中的質量輸送之限制。僅高的銅離子濃度可在質量輸送受控制的條件下適應高速電鍍。然而,銅濃度受到銅鹽在周圍溫度下之溶解度限制,以容許將電解溶液從材料供應商輸送至生產商。因此,最大銅濃度係受到在正常輸送溫度下在任何選定電解溶液中之銅鹽溶解度的限制。
本發明揭示用於高速電鍍銅之電鍍槽及將銅電鍍於半導體晶片上之方法。特定言之,本發明揭示一種銅電鍍槽,其包含:水溶液,其包括銅鹽及至少一種酸;及一容器,其包括固態形式之銅鹽。該容器對該水溶液供應銅離子以將該水溶液之銅離子濃度維持在飽和程度,同時將固態形式之銅鹽保留在該容器內。此可實現對於在不使用較高成本及有毒高溶解度銅鹽的情況下將高縱橫比結構(諸如通孔、支柱及凸塊)電鍍於半導體晶片上尤為有用之高電鍍速度。
在一實施例中,本發明提供銅電鍍槽,其包括:水溶液,其包括銅鹽及至少一種酸;至少一種添加劑,其係用以加速該銅電鍍槽之銅沈積速率;及一容器,其包括固態形式之銅鹽,其中該容器係沉浸於該水溶液中且對該水溶液供應銅離子以將該水溶液之銅離子濃度維持在約飽和程度,同時將固態形式之銅鹽保留在該容器內。
在某些實施例中,該銅電鍍槽之該容器包括一密封盒,其具有用容許溶解之銅離子離開該容器而將固態形式之銅鹽保留在該容器內的一多孔膜覆蓋之複數個開口。
在額外實施例中,本發明提供將銅電鍍於基板上之方法,該方法包括:提供一基板;放置該基板使其與一銅電鍍槽接觸,其中該銅電鍍槽包括:包括銅鹽及至少一種酸之水溶液,及包括固態形式之銅鹽的一容器,其中該容器係沉浸於該水溶液中且對該水溶液供應銅離子以將該水溶液之銅離子濃度維持在約飽和程度,同時將固態形式之銅鹽保留在該容器內;及將銅電鍍於該基板上。
在其他態樣中,提供一種將銅電鍍於基板上之方法,且該方法可包含:將一基板沉浸於一銅電鍍槽中,及將固態形式之銅鹽安置於位於該銅電鍍槽中之一容器內。該容器之一腔室可與該銅電鍍槽流體連通。該方法可進一步包含:將銅電鍍於該基板上,及溶解該銅鹽以對該銅電鍍槽供應銅離子;及視需要將該銅電鍍槽之銅離子濃度維持在約飽和程度。
下文將參照附圖詳細描述本發明之較佳及替代實施例。
現參照圖1A,其顯示根據本發明之一實施例的例示性銅電鍍槽10之示意圖。銅電鍍槽10包含:水溶液20,其包括銅鹽及至少一種酸;及一容器30,其包括固態形式之銅鹽。視需要,銅電鍍槽10可包含各種其他材料,包含氯離子及一或多種添加劑以加速銅電鍍槽10之銅沈積速率。容器30係沉浸於水溶液20中且對水溶液20供應銅離子以將水溶液20之銅離子濃度維持在約飽和程度,同時將固態形式之銅鹽保留在容器30內。本文所使用之術語「銅離子」包含Cu2+ 及Cu+ 物種兩者。片語「在約飽和程度」指在系統所經受之環境條件下在其飽和值之約80%內的離子濃度。在其他實施例中,在系統所經受之環境條件下離子濃度可在相對於其飽和值之約85%、90%、95%、96%、97%、98%、99%或更高值或更小值內。
在所繪示之實施例中,容器30為一密封盒32,其具有用容許溶解之銅離子離開容器30而將固態形式之銅鹽保留在容器30內的多孔膜36(圖1B)覆蓋之複數個開口34。然而,應瞭解容器30可為對水溶液20供應銅離子以將水溶液20之銅離子濃度維持在約飽和程度同時將固態形式之銅鹽保留在容器30內之任何結構。例如,在一些實施例中,容器30可具有用一多孔膜覆蓋之一單一開口,及/或整個容器30可由容許溶解之銅離子離開容器30而將固態形式之銅鹽保留在容器30內的一多孔膜形成。圖1A亦顯示用於固持銅電鍍槽10之一貯槽40。
在本發明之銅電鍍槽10中可使用各種銅鹽。適於在水溶液20中使用之銅鹽及適於在容器30使用之固態形式的銅鹽包含(例如)硫酸銅、焦磷酸銅、胺基磺酸銅、氯化銅、甲酸銅、氟化銅、硝酸銅、氧化銅、四氟硼酸銅、三氟甲烷磺酸銅、三氟醋酸銅及甲烷磺酸銅,或任何前述化合物之水合物。在一實施例中,在水溶液20中所使用之銅鹽及在容器30所使用之固態形式的銅鹽為硫酸銅。水溶液20中所使用之銅鹽濃度將取決於所使用之特定銅鹽而改變,且可在約10克/升至約400克/升之範圍內。在硫酸銅之情況下,水溶液20中所使用之濃度可在約50克/升至約250克/升之範圍內。容器30中所使用之固態形式的銅鹽量將取決於所使用之特定銅鹽而改變,且在每升水溶液20約10克至約1000克之範圍內。在硫酸銅之情況下,容器30中所使用之量可在每升水溶液20約100克至約600克之範圍內。
在本發明之銅電鍍槽10中可使用各種酸。合適之酸包含(例如)硫酸、甲磺酸、氟硼酸、鹽酸、氫碘酸、氫硼酸、硝酸、磷酸及其他合適之酸。在一實施例中,銅電鍍槽10中所使用之酸為硫酸。銅電鍍槽10中所使用之酸的濃度將取決於所使用之特定酸而改變且可在約10克/升至約300克/升之範圍內。在硫酸之情況下,銅電鍍槽10中所使用之濃度可在約20克/升至約200克/升之範圍內。
本發明之銅電鍍槽10中可視需要包含氯離子。合適之氯離子來源包含(例如)鹽酸、氯化鈉、氯化鉀及任何槽可溶氯鹽。銅電鍍槽10中所使用之氯離子濃度可在約10 ppm至約100 ppm之範圍內。
若需要,則本發明之銅電鍍槽10中可使用加速銅沈積速率之一或多種選用添加劑。合適之添加劑包含(例如)增亮劑,例如,有機硫化物化合物,諸如二硫化雙(鈉-磺丙基)、3-巰基-丙基磺酸鈉鹽、N,N-二甲基-二硫代胺甲醯基丙基磺酸鈉鹽及3-S-異硫脲鎓丙基磺酸鹽、或任何前述化合物之混合物。額外合適之加速劑包含(但不限於)硫脲、烯丙基硫脲、乙醯硫脲、嘧啶、任何前述化合物之混合物或其他合適之加速劑。
電鍍溶液亦可包含添加劑,諸如可改良電鍍溶液之某些電鍍特性之載體、調平劑或兩者。載體可為界面活性劑、抑制劑或濕潤劑。調平劑可為螯合劑、染料或展現任何前述功能之組合之添加劑。載體及調平劑可選自下列試劑:聚醚界面活性劑、非離子界面活性劑、陰離子界面活性劑、陽離子界面活性劑、嵌段共聚物界面活性劑、聚乙二醇界面活性劑、聚丙烯酸、聚胺、胺基羧酸、氫羧酸、檸檬酸、恩特普羅(entprol)、乙二胺四乙酸、酒石酸、四級化聚胺、聚丙烯醯胺、交聯聚醯胺、吩嗪偶氮染料(例如,Janus Green B)、烷氧基化胺界面活性劑、聚合物吡啶衍生物、聚乙烯亞胺、聚乙烯亞胺乙醇、咪唑啉與環氧氯丙烷之聚合物、苯甲基化聚胺聚合物、任何前述抑制劑之混合物或其他合適之抑制劑。在本發明之一更具體之實施例中,將一種加速劑、一種載體及一種調平劑之組合加入電鍍槽以改良某些電鍍特性。
可使用各種材料來建構在本發明之銅電鍍槽10中所使用之容器30。例如,在圖1A所繪示之實施例中,其中容器30係一密封盒32,其具有用一多孔膜36覆蓋之複數個開口34,且密封盒32可由塑膠(諸如高密度聚乙烯)建構,且多孔膜36可為纖維或由諸如PFA、PTFE、PVDF之材料或類似材料製成之過濾膜36。適於建構密封盒32之其他材料包含(例如)纖維袋,而適於多孔膜36之其他材料包含(例如)PFA或PTFE。一般而言,開口34之數量必須足夠高且多孔膜36之孔必須足夠大以容許含有銅離子之水溶液20通過多孔膜36而將固態形式之銅鹽保留在容器30內。在一實施例中,複數個開口34構成密封盒32之表面積的約50%至約90%,且多孔膜36之孔尺寸在約1微米至約10微米之範圍內或在約1微米至約100微米之範圍內。雖然圖1A所繪示之實施例中的密封盒32具有一矩形形狀,但應瞭解在不脫離本發明之範疇下密封盒可具有任何期望之形狀。
在另一實施例中,在本發明之銅電鍍槽10中所使用之容器可具有視需要可用一多孔膜覆蓋之一或多個開口。該一或多個開口可具有適於容許含有銅離子之水溶液20通過多孔膜而使該容器內之一腔室保留固態形式之銅鹽的任何尺寸及形狀。或者或另一方式為該容器可完全由一多孔膜(例如,包圍銅鹽之網狀框架或撓性袋)形成。在此類實施例中,該多孔膜可具有適於保留特定形狀(諸如矩形形狀或盒子形狀)之剛度。在其他實施例中,多孔膜可為足夠撓性使得其在水溶液20中時不保留一特定形狀。
亦欲包含一種將銅電鍍於基板上之方法,係使用上文詳細描述之本發明的銅電鍍槽。在描述此方法時,將參照圖2,其顯示使用本發明之銅電鍍槽將銅電鍍於基板上之例示性方法的方塊圖。
圖2之區塊100表示提供上文詳細描述之本發明之銅電鍍槽。該銅電鍍槽之pH及溫度係經選擇及維持以促進將銅有效率地電鍍於一基板上。關於pH,在一實施例中,銅電鍍槽之pH係低於7。若需要,可用酸(諸如硫酸)或鹼(諸如氫氧化鈉)來調整銅電鍍槽之pH。關於溫度,在一實施例中,銅電鍍槽之溫度在約24℃至約60℃之範圍內。在另一實施例中,銅電鍍槽之溫度為約45℃。
圖2之區塊110表示提供一待電鍍之基板。各種基板可使用根據本發明之銅來電鍍。一般而言,本文所使用之術語「基板」意指將在其上電鍍銅之任何材料。通常,基板為半導體材料,諸如矽晶圓。其他合適之基板包含(例如)具有大直徑及小直徑、高縱橫比之微通孔、穿矽通孔的電路板或具有大直徑及小直徑、高縱橫比之支柱、凸塊及其他孔隙的電路板。
圖2之區塊120及130分別表示:放置基板使其與銅電鍍槽接觸及將銅電鍍於該基板上。為使銅電鍍發生,使用一組電極(即,陽極與陰極)來施加電流至銅電鍍槽。為電鍍銅,陽極通常由銅板或摻雜磷之銅板製成,且陰極通常為該基板。施加至銅電鍍槽之電流量可廣泛變化,惟典型上電流密度在約10 mA/cm2 至約600 mA/cm2 之範圍內。在將期望量之銅電鍍於基板上後,從電鍍槽移除該基板。在一實施例中,該基板在銅電鍍槽中保持約1 min至約90 min之範圍內的一段時間。在其他實施例中,該基板在銅電鍍槽中保持約5 min至約25 min之範圍內的一段時間。
在另一實施例中,提供一種將銅電鍍於基板上之方法,且該方法可包含(例如)將一基板沉浸於一銅電鍍槽中。該基板可為矽晶圓或如前文提及之另一適當基板。固態形式之銅鹽可安置於位於該銅電鍍槽中之一容器內。該容器內之一腔室可與該銅電鍍槽流體連通使得來自銅鹽之銅離子與該銅電鍍槽流體連通而固態形式之銅鹽係保留在該容器中之該腔室內。如上文所描述,該基板可用銅電鍍,且銅鹽可經溶解以對銅電鍍槽供應銅離子使得該銅電鍍槽之銅離子濃度係維持在約飽和程度。
本發明及相關方法之銅電鍍槽可實現高銅電鍍速度。在一實施例中,電鍍速度為約每分鐘6微米或更高。此等高電鍍速度對於將高縱橫比之結構(即,具有高度:直徑比率大於1:1之結構)電鍍於基板上尤為有用。此等高縱橫比之結構包含(例如)銅支柱、銅凸塊、銅穿矽通孔、銅微通孔及溝渠等等。
下列實例說明本發明之某些實施例且不應視為限制本發明。
實例
實例1
使用含有240 g/L之硫酸銅、60 g/L之硫酸、50 ppm之氯化物的電鍍槽及含有固態硫酸銅(每升電鍍槽180 g)之籃子來電鍍測試晶圓使其具有銅支柱,該等銅支柱具有70 μm厚度及0.78:1之縱橫比(高度:直徑)。添加劑包含40 ppm之加速劑二硫化雙(鈉-磺丙基)、100 ppm之載體聚乙二醇:聚丙二醇單丁基醚嵌段共聚物(分子量=750)及100 ppm之調平劑RALUPLATE CL 1000(德國,Ludwigshafen,Raschig GmbH)。在45℃之電鍍槽溫度下,獲得6 μm/min及7 μm/min之電鍍速度。圖3為使用6 μm/min之電鍍速度(電鍍時間為11分鐘)而獲得之電鍍結果的一SEM影像。
實例2
使用與實例1相同之電鍍電解槽條件但不同之添加劑來電鍍測試晶圓使其具有銅支柱,該等銅支柱具有120 μm厚度及1.2:1之縱橫比(高度:直徑)。添加劑包含40 ppm之加速劑二硫化雙(鈉-磺丙基)、100 ppm之載體聚乙二醇及100 ppm之調平劑RaluMer 11(德國,Ludwigshafen,Raschig GmbH)。圖4為使用45℃之電鍍槽溫度及6 μm/min之電鍍速度(電鍍時間為20分鐘)而獲得之電鍍結果的一SEM影像。
應瞭解本發明並不限於本文中所揭示之特定方法、裝置及材料,因為此等方法、裝置及材料在一定程度上可改變。例如,此等銅電鍍槽可用其他金屬之電鍍來實施,其中來自可溶金屬鹽之其他金屬離子可在如本文所述之槽的容器內傳輸。亦應瞭解本文所使用之術語係僅為描述特定實施例而使用,且並不意欲具限制性,因為本發明之範疇僅由隨附申請專利範圍及其等效物而限制。
10...銅電鍍槽
20...水溶液
30...容器
32...密封盒
34...開口
36...多孔膜
40...貯槽
圖1A為根據本發明之一實施例的例示性銅電鍍槽之示意圖;
圖1B為在根據本發明之一實施例的例示性銅電鍍槽中所使用之容器一部分的示意性放大圖;
圖2為繪示根據本發明之一實施實例之將銅電鍍於基板上之例示性方法的一方塊圖;
圖3為根據本發明之一實施實例之具有70微米厚度且已電鍍於半導體晶圓上之若干銅支柱的掃描電子顯微鏡影像,其中顯示樣品I.D.(003203)、電子束能量(2.0 kV)、影像放大倍數(×449)及對應於66.8微米之距離的虛線;及
圖4為根據本發明之一實施實例之具有120微米厚度且已電鍍於半導體晶圓上之若干銅支柱的掃描電子顯微鏡影像,其中顯示樣品I.D.(003246)、電子束能量(2.0 kV)、影像放大倍數(×147)及對應於204微米之距離的虛線。
10...銅電鍍槽
20...水溶液
30...容器
32...密封盒
34...開口
40...貯槽

Claims (36)

  1. 一種銅電鍍槽,其包括:水溶液,其包括銅鹽及至少一種酸;及一容器,其包括固態形式之銅鹽,其中該容器係沉浸於該水溶液中且對該水溶液供應銅離子以將該水溶液之銅離子濃度維持在約飽和程度,同時將固態形式之銅鹽保留在該容器內。
  2. 如請求項1之銅電鍍槽,其中該銅鹽係選自由下列組成之群:硫酸銅、甲烷磺酸銅、氟硼酸銅、醋酸銅、硝酸銅、氧化銅、氫氧化銅及氯化銅。
  3. 如請求項2之銅電鍍槽,其中該銅鹽為硫酸銅。
  4. 如請求項3之銅電鍍槽,其中該水溶液之該硫酸銅濃度為約50克/升至約250克/升之範圍內。
  5. 如請求項1之銅電鍍槽,其中該酸係選自由下列組成之群:硫酸、甲磺酸、氟硼酸、氫硼酸、鹽酸、氫碘酸、磷酸及硼酸。
  6. 如請求項5之銅電鍍槽,其中該酸為硫酸。
  7. 如請求項1之銅電鍍槽,其中該水溶液之該酸濃度為約10克/升至約250克/升之範圍內。
  8. 如請求項6之銅電鍍槽,其中該水溶液之該硫酸濃度為約20克/升至約100克/升之範圍內。
  9. 如請求項1之銅電鍍槽,其中該水溶液進一步包括氯離子。
  10. 如請求項9之銅電鍍槽,其中該水溶液之該氯離子濃度 為約10ppm至約100ppm之範圍內。
  11. 如請求項1之銅電鍍槽,其中該水溶液進一步包括至少一種添加劑以加速該銅電鍍槽之銅沈積速率。
  12. 如請求項11之銅電鍍槽,其中該添加劑為硫化物化合物。
  13. 如請求項1之銅電鍍槽,其中該水溶液進一步包括至少一種抑制劑添加劑。
  14. 如請求項13之銅電鍍槽,其中該抑制劑添加劑係選自由下列組成之群:界面活性劑、螯合劑、調平劑及濕潤劑。
  15. 如請求項1之銅電鍍槽,其中該固態形式之銅鹽係選自由下列組成之群:硫酸銅、甲烷磺酸銅、氟硼酸銅、醋酸銅、硝酸銅、氧化銅、氫氧化銅及氯化銅。
  16. 如請求項15之銅電鍍槽,其中該固態形式之銅鹽為硫酸銅。
  17. 如請求項16之銅電鍍槽,其中固態形式之硫酸銅量為每升水溶液約100克至約300克之範圍內。
  18. 如請求項1之銅電鍍槽,其中該容器包括一膜,其容許溶液中之銅離子離開該容器而將固態形式之該銅鹽保留在該容器內。
  19. 如請求項1之銅電鍍槽,其中該容器包括含有具有自約1μm至約100μm範圍之孔徑之多孔膜材料之封閉件。
  20. 如請求項1之銅電鍍槽,其中該容器包括形成於其中之一或多個開口,該一或多個開口經組態以容許該水溶液 及銅離子流經其中。
  21. 如請求項20之銅電鍍槽,其中該一或多個開口係由一多孔膜覆蓋。
  22. 一種於基板上電鍍銅之方法,該方法包括:將一基板沉浸於一銅電鍍槽中;將固態形式之銅鹽安置於位於該銅電鍍槽中之一容器內,該容器之一腔室係與該銅電鍍槽流體連通;將銅電鍍於該基板上;及溶解該銅鹽以對該銅電鍍槽供應銅離子。
  23. 如請求項22之方法,其中溶解該銅鹽將該銅電鍍槽維持在約飽和程度。
  24. 一種於基板上電鍍銅之方法,該方法包括:提供一銅電鍍槽,其中該銅電鍍槽包括:包括銅鹽及至少一種酸之水溶液,及包括固態形式之銅鹽的一容器,其中該容器係沉浸於該水溶液中且對該水溶液供應銅離子以將該水溶液之銅離子濃度維持在約飽和程度,同時將固態形式之銅鹽保留在該容器內;提供一基板;放置該基板使其與該銅電鍍槽接觸;及將銅電鍍於該基板上。
  25. 如請求項22、23或24中任一項之方法,其中該銅鹽為硫酸銅。
  26. 如請求項25之方法,其中該水溶液之該硫酸銅濃度為約50克/升至約250克/升之範圍內。
  27. 如請求項24之方法,其中該酸為硫酸。
  28. 如請求項27之方法,其中該水溶液之該硫酸濃度為約20克/升至約100克/升之範圍內。
  29. 如請求項24之方法,其中該水溶液進一步包括氯離子。
  30. 如請求項29之方法,其中該水溶液之該氯離子濃度為約10ppm至約100ppm之範圍內。
  31. 如請求項22、23或24中任一項之方法,其中該固態形式之銅鹽為硫酸銅。
  32. 如請求項31之方法,其中固態形式之硫酸銅量為每升水溶液約100克至約300克之範圍內。
  33. 如請求項22、23或24中任一項之方法,其中該銅電鍍槽之溫度在約30℃至約60℃之範圍內。
  34. 如請求項22、23或24中任一項之方法,其中電鍍速度為約每分鐘4微米或更高。
  35. 如請求項22、23或24中任一項之方法,其中於該基板上電鍍一或多個高縱橫比率之銅結構。
  36. 如請求項35之方法,其中該高縱橫比率之結構係選自由下列組成之群:銅支柱、銅凸塊及銅穿矽通孔。
TW099113711A 2009-04-30 2010-04-29 高速銅電鍍槽 TWI491767B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/433,657 US8262894B2 (en) 2009-04-30 2009-04-30 High speed copper plating bath

Publications (2)

Publication Number Publication Date
TW201043735A TW201043735A (en) 2010-12-16
TWI491767B true TWI491767B (zh) 2015-07-11

Family

ID=43029595

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099113711A TWI491767B (zh) 2009-04-30 2010-04-29 高速銅電鍍槽

Country Status (5)

Country Link
US (1) US8262894B2 (zh)
KR (1) KR101627390B1 (zh)
SG (1) SG175406A1 (zh)
TW (1) TWI491767B (zh)
WO (1) WO2010127094A2 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5952093B2 (ja) * 2012-05-31 2016-07-13 ローム・アンド・ハース電子材料株式会社 電解銅めっき液及び電解銅めっき方法
US9476135B2 (en) * 2013-03-12 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Electro chemical plating process
KR20150069858A (ko) * 2013-12-16 2015-06-24 경북대학교 산학협력단 전도성 잉크를 이용한 유연한 기판에서의 고전도도 금속 배선 형성 방법
KR101596437B1 (ko) * 2014-04-25 2016-03-08 주식회사 에이피씨티 플립칩 패키지 구리 필라의 제조 방법과 이를 위한 동계 전기도금액
CA2988797C (en) 2015-06-11 2023-08-01 National Research Council Of Canada Preparation of high conductivity copper films
US10006136B2 (en) 2015-08-06 2018-06-26 Dow Global Technologies Llc Method of electroplating photoresist defined features from copper electroplating baths containing reaction products of imidazole compounds, bisepoxides and halobenzyl compounds
TWI608132B (zh) 2015-08-06 2017-12-11 羅門哈斯電子材料有限公司 自含有吡啶基烷基胺及雙環氧化物之反應產物的銅電鍍覆浴液電鍍覆光阻劑限定之特徵的方法
US10100421B2 (en) 2015-08-06 2018-10-16 Dow Global Technologies Llc Method of electroplating photoresist defined features from copper electroplating baths containing reaction products of imidazole and bisepoxide compounds
US9932684B2 (en) 2015-08-06 2018-04-03 Rohm And Haas Electronic Materials Llc Method of electroplating photoresist defined features from copper electroplating baths containing reaction products of alpha amino acids and bisepoxides
US10988852B2 (en) * 2015-10-27 2021-04-27 Rohm And Haas Electronic Materials Llc Method of electroplating copper into a via on a substrate from an acid copper electroplating bath
KR102527712B1 (ko) * 2016-07-29 2023-05-03 삼성전기주식회사 콜린계 화합물을 포함하는 도금용 평탄제 및 이를 이용한 구리 도금 방법
TW201842088A (zh) 2017-02-08 2018-12-01 加拿大國家研究委員會 可印刷分子油墨
TW201842087A (zh) 2017-02-08 2018-12-01 加拿大國家研究委員會 具改良之熱穩定性的分子油墨
WO2018146619A2 (en) 2017-02-08 2018-08-16 National Research Council Of Canada Silver molecular ink with low viscosity and low processing temperature
US10342142B2 (en) 2017-07-28 2019-07-02 International Business Machines Corporation Implementing customized PCB via creation through use of magnetic pads
CN109112580A (zh) * 2018-09-18 2019-01-01 苏州昕皓新材料科技有限公司 一种具有热力学各向异性的金属材料及其制备方法
CN113166962A (zh) * 2018-11-07 2021-07-23 科文特亚股份有限公司 缎面铜浴和沉积缎面铜层的方法
CN112410833B (zh) * 2020-11-19 2022-03-18 广州三孚新材料科技股份有限公司 一种无氰镀铜光亮剂及其制备方法和应用
CN113279026B (zh) * 2021-04-25 2022-09-02 厦门理工学院 一种用于铜箔盲孔填孔的药水

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6527920B1 (en) * 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
CN1148471C (zh) * 1998-05-20 2004-05-05 亚洲电镀器材有限公司 电镀机

Family Cites Families (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2374289A (en) * 1941-09-06 1945-04-24 Du Pont Electroplating
US2689808A (en) 1950-07-29 1954-09-21 Peen Plate Inc Metal plating
US2695310A (en) 1951-12-12 1954-11-23 Lever Brothers Ltd Preparation of guanidinium mercaptoalkanesulfonate
US2837781A (en) 1956-01-18 1958-06-10 Grand Rapids Hardware Company Window structure or assembly
US3132043A (en) 1963-03-25 1964-05-05 Peen Plate Inc Metal plating
ES316614A1 (es) * 1964-08-24 1966-07-01 Gen Electric Un procedimiento para preparar una composicion electronicamente conductora.
US3460977A (en) 1965-02-08 1969-08-12 Minnesota Mining & Mfg Mechanical plating
US3383293A (en) 1967-03-03 1968-05-14 Plastic Clad Metal Products In Processes for drawing and coating metal substrates
US3615272A (en) 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
US3932659A (en) 1970-07-24 1976-01-13 Beecham Group Limited Biologically active substance
US3832291A (en) 1971-08-20 1974-08-27 M & T Chemicals Inc Method of preparing surfaces for electroplating
US3855085A (en) 1973-06-14 1974-12-17 Du Pont Acid zinc electroplating electrolyte, process and additive
US4049505A (en) 1974-10-14 1977-09-20 Chatterji Arun K Photoconductors for electrostatic imaging systems
US4070256A (en) 1975-06-16 1978-01-24 Minnesota Mining And Manufacturing Company Acid zinc electroplating bath and process
US4049510A (en) 1975-07-07 1977-09-20 Columbia Chemical Corporation Baths and additives for the electrodeposition of bright zinc
US4045305A (en) 1975-07-14 1977-08-30 Minnesota Mining And Manufacturing Company Cadmium electroplating bath and process
US3998707A (en) 1975-07-14 1976-12-21 Minnesota Mining And Manufacturing Company Cadmium electroplating process and bath therefor
DE2610705C3 (de) 1976-03-13 1978-10-19 Henkel Kgaa, 4000 Duesseldorf Saure galvanische Kupferbäder
US4072582A (en) 1976-12-27 1978-02-07 Columbia Chemical Corporation Aqueous acid plating bath and additives for producing bright electrodeposits of tin
US4075066A (en) 1977-01-27 1978-02-21 R. O. Hull & Company, Inc. Electroplating zinc, ammonia-free acid zinc plating bath therefor and additive composition therefor
US4146441A (en) 1977-10-06 1979-03-27 R. O. Hull & Company, Inc. Additive compositions, baths, and methods for electrodepositing bright zinc deposits
US4134803A (en) 1977-12-21 1979-01-16 R. O. Hull & Company, Inc. Nitrogen and sulfur compositions and acid copper plating baths
US4139425A (en) 1978-04-05 1979-02-13 R. O. Hull & Company, Inc. Composition, plating bath, and method for electroplating tin and/or lead
US4146442A (en) 1978-05-12 1979-03-27 R. O. Hull & Company, Inc. Zinc electroplating baths and process
US4162947A (en) 1978-05-22 1979-07-31 R. O. Hull & Company, Inc. Acid zinc plating baths and methods for electrodepositing bright zinc deposits
CA1135903A (en) 1978-09-13 1982-11-23 John F. Mccormack Electroless copper deposition process having faster plating rates
US4218292A (en) 1979-03-22 1980-08-19 Mcgean Chemical Company, Inc. Bright zinc electroplating bath and method
US4512856A (en) 1979-11-19 1985-04-23 Enthone, Incorporated Zinc plating solutions and method utilizing ethoxylated/propoxylated polyhydric alcohols
JPS6021240B2 (ja) 1980-01-12 1985-05-25 株式会社小糸製作所 堆積される銅をメッキ液に補給する方法及び装置
US4285802A (en) 1980-02-20 1981-08-25 Rynne George B Zinc-nickel alloy electroplating bath
US4388160A (en) 1980-02-20 1983-06-14 Rynne George B Zinc-nickel alloy electroplating process
US4374709A (en) 1980-05-01 1983-02-22 Occidental Chemical Corporation Process for plating polymeric substrates
DE3173441D1 (en) 1980-08-26 1986-02-20 Japan Synthetic Rubber Co Ltd Ladder-like lower alkylpolysilsesquioxanes and process for their preparation
US4336114A (en) 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4347108A (en) 1981-05-29 1982-08-31 Rohco, Inc. Electrodeposition of copper, acidic copper electroplating baths and additives therefor
US4376685A (en) 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
US4378281A (en) * 1981-06-25 1983-03-29 Napco, Inc. High speed plating of flat planar workpieces
US4384930A (en) 1981-08-21 1983-05-24 Mcgean-Rohco, Inc. Electroplating baths, additives therefor and methods for the electrodeposition of metals
JPS5881988A (ja) 1981-11-06 1983-05-17 C Uyemura & Co Ltd 梨地状めつき用添加剤
US4417957A (en) 1982-09-03 1983-11-29 Columbia Chemical Corporation Aqueous acid plating bath and brightener mixture for producing semibright to bright electrodeposits of tin
DE3420887A1 (de) 1984-06-05 1985-12-05 Telefunken electronic GmbH, 7100 Heilbronn Solarzelle
US4530741A (en) 1984-07-12 1985-07-23 Columbia Chemical Corporation Aqueous acid plating bath and brightener composition for producing bright electrodeposits of tin
US4545870A (en) 1984-08-27 1985-10-08 Columbia Chemical Corporation Aqueous acid plating bath and brightener composition for producing bright electrodeposits of tin
US4582576A (en) 1985-03-26 1986-04-15 Mcgean-Rohco, Inc. Plating bath and method for electroplating tin and/or lead
US4662999A (en) 1985-06-26 1987-05-05 Mcgean-Rohco, Inc. Plating bath and method for electroplating tin and/or lead
US4898652A (en) 1986-03-03 1990-02-06 Omi International Corporation Polyoxalkylated polyhydroxy compounds as additives in zinc alloy electrolytes
US4665277A (en) 1986-03-11 1987-05-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Floating emitter solar cell
US4778572A (en) * 1987-09-08 1988-10-18 Eco-Tec Limited Process for electroplating metals
US5174887A (en) 1987-12-10 1992-12-29 Learonal, Inc. High speed electroplating of tinplate
US4880132A (en) 1988-07-15 1989-11-14 Mcgean-Rohco, Inc. Process for plating adherent co-deposit of aluminum, zinc, and tin onto metallic substrates, and apparatus
US5051154A (en) 1988-08-23 1991-09-24 Shipley Company Inc. Additive for acid-copper electroplating baths to increase throwing power
US4927770A (en) 1988-11-14 1990-05-22 Electric Power Research Inst. Corp. Of District Of Columbia Method of fabricating back surface point contact solar cells
US4885064A (en) 1989-05-22 1989-12-05 Mcgean-Rohco, Inc. Additive composition, plating bath and method for electroplating tin and/or lead
US4999397A (en) 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5232575A (en) 1990-07-26 1993-08-03 Mcgean-Rohco, Inc. Polymeric leveling additive for acid electroplating baths
US5443727A (en) * 1990-10-30 1995-08-22 Minnesota Mining And Manufacturing Company Articles having a polymeric shell and method for preparing same
DE4126502C1 (zh) 1991-08-07 1993-02-11 Schering Ag Berlin Und Bergkamen, 1000 Berlin, De
US5252196A (en) 1991-12-05 1993-10-12 Shipley Company Inc. Copper electroplating solutions and processes
US5282954A (en) 1991-12-30 1994-02-01 Atotech Usa, Inc. Alkoxylated diamine surfactants in high-speed tin plating
US5698087A (en) 1992-03-11 1997-12-16 Mcgean-Rohco, Inc. Plating bath and method for electroplating tin and/or lead
US5328589A (en) 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5415762A (en) 1993-08-18 1995-05-16 Shipley Company Inc. Electroplating process and composition
DE4436391A1 (de) 1994-10-12 1996-04-18 Bayer Ag Verfahren zur direkten galvanischen Durchkontaktierung von zweilagigen Leiterplatten und Multilayern
JP3881386B2 (ja) 1994-10-21 2007-02-14 多摩化学工業株式会社 炭酸ジアルキルの製造方法
US5627081A (en) 1994-11-29 1997-05-06 Midwest Research Institute Method for processing silicon solar cells
US5656148A (en) 1995-03-02 1997-08-12 Atotech Usa, Inc. High current density zinc chloride electrogalvanizing process and composition
US6685817B1 (en) 1995-05-26 2004-02-03 Formfactor, Inc. Method and apparatus for controlling plating over a face of a substrate
US6709562B1 (en) 1995-12-29 2004-03-23 International Business Machines Corporation Method of making electroplated interconnection structures on integrated circuit chips
US6946716B2 (en) 1995-12-29 2005-09-20 International Business Machines Corporation Electroplated interconnection structures on integrated circuit chips
DE69624846T2 (de) 1996-06-03 2003-03-20 Ebara Udylite Kk Lösung für stromlose kupferplattierung und verfahren zur stromlosen kupferplattierung
US6358388B1 (en) 1996-07-15 2002-03-19 Semitool, Inc. Plating system workpiece support having workpiece-engaging electrodes with distal contact-part and dielectric cover
US5965679A (en) 1996-09-10 1999-10-12 The Dow Chemical Company Polyphenylene oligomers and polymers
US5907766A (en) 1996-10-21 1999-05-25 Electric Power Research Institute, Inc. Method of making a solar cell having improved anti-reflection passivation layer
DE19653681C2 (de) 1996-12-13 2000-04-06 Atotech Deutschland Gmbh Verfahren zur elektrolytischen Abscheidung von Kupferschichten mit gleichmäßiger Schichtdicke und guten optischen und metallphysikalischen Eigenschaften und Anwendung des Verfahrens
US6379745B1 (en) 1997-02-20 2002-04-30 Parelec, Inc. Low temperature method and compositions for producing electrical conductors
US5833820A (en) 1997-06-19 1998-11-10 Advanced Micro Devices, Inc. Electroplating apparatus
US5972192A (en) 1997-07-23 1999-10-26 Advanced Micro Devices, Inc. Pulse electroplating copper or copper alloys
US6024857A (en) 1997-10-08 2000-02-15 Novellus Systems, Inc. Electroplating additive for filling sub-micron features
US6024856A (en) 1997-10-10 2000-02-15 Enthone-Omi, Inc. Copper metallization of silicon wafers using insoluble anodes
US5882498A (en) 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US6110011A (en) 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
US6258241B1 (en) 1997-12-10 2001-07-10 Lucent Technologies, Inc. Process for electroplating metals
DE19758121C2 (de) 1997-12-17 2000-04-06 Atotech Deutschland Gmbh Wäßriges Bad und Verfahren zum elektrolytischen Abscheiden von Kupferschichten
US6344413B1 (en) 1997-12-22 2002-02-05 Motorola Inc. Method for forming a semiconductor device
US6277450B1 (en) 1998-01-26 2001-08-21 Mohammad W. Katoot Method and composition for preventing corrosion
JPH11238704A (ja) * 1998-02-23 1999-08-31 Ideya:Kk 半導体基板の配線溝メッキ方法およびメッキ装置
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6083838A (en) 1998-05-20 2000-07-04 Lucent Technologies Inc. Method of planarizing a surface on a semiconductor wafer
WO2000005747A2 (en) 1998-06-30 2000-02-03 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6093636A (en) 1998-07-08 2000-07-25 International Business Machines Corporation Process for manufacture of integrated circuit device using a matrix comprising porous high temperature thermosets
TW444238B (en) 1998-08-11 2001-07-01 Toshiba Corp A method of making thin film
US6380083B1 (en) 1998-08-28 2002-04-30 Agere Systems Guardian Corp. Process for semiconductor device fabrication having copper interconnects
US6793796B2 (en) 1998-10-26 2004-09-21 Novellus Systems, Inc. Electroplating process for avoiding defects in metal features of integrated circuit devices
US7449098B1 (en) 1999-10-05 2008-11-11 Novellus Systems, Inc. Method for planar electroplating
US6077405A (en) 1998-10-28 2000-06-20 International Business Machines Corporation Method and apparatus for making electrical contact to a substrate during electroplating
US6231989B1 (en) 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
NZ506137A (en) 1998-12-02 2002-10-25 Toyokazu Katabe Screw drum type filtration device
DE19859467C2 (de) 1998-12-22 2002-11-28 Steag Micro Tech Gmbh Substrathalter
US6379522B1 (en) 1999-01-11 2002-04-30 Applied Materials, Inc. Electrodeposition chemistry for filling of apertures with reflective metal
US6544399B1 (en) 1999-01-11 2003-04-08 Applied Materials, Inc. Electrodeposition chemistry for filling apertures with reflective metal
US6362099B1 (en) 1999-03-09 2002-03-26 Applied Materials, Inc. Method for enhancing the adhesion of copper deposited by chemical vapor deposition
US6456606B1 (en) 1999-03-24 2002-09-24 Qualcomm Incorporated Handoff control in an asynchronous CDMA system
US6582578B1 (en) 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6413882B1 (en) 1999-04-14 2002-07-02 Alliedsignal Inc. Low dielectric foam dielectric formed from polymer decomposition
US6204202B1 (en) 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
US20060183328A1 (en) 1999-05-17 2006-08-17 Barstad Leon R Electrolytic copper plating solutions
US6444110B2 (en) 1999-05-17 2002-09-03 Shipley Company, L.L.C. Electrolytic copper plating method
US6743211B1 (en) 1999-11-23 2004-06-01 Georgia Tech Research Corporation Devices and methods for enhanced microneedle penetration of biological barriers
US6423770B1 (en) 1999-07-15 2002-07-23 Lucent Technologies Inc. Silicate material and process for fabricating silicate material
DE60045566D1 (de) * 1999-08-06 2011-03-03 Ibiden Co Ltd Mehrschicht-Leiterplatte
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6709564B1 (en) 1999-09-30 2004-03-23 Rockwell Scientific Licensing, Llc Integrated circuit plating using highly-complexed copper plating baths
US6344129B1 (en) 1999-10-13 2002-02-05 International Business Machines Corporation Method for plating copper conductors and devices formed
US20040045832A1 (en) 1999-10-14 2004-03-11 Nicholas Martyak Electrolytic copper plating solutions
US6605204B1 (en) 1999-10-14 2003-08-12 Atofina Chemicals, Inc. Electroplating of copper from alkanesulfonate electrolytes
KR100659544B1 (ko) 1999-11-12 2006-12-19 에바라 유지라이토 코포레이션 리미티드 비아 필링 방법
US6107357A (en) 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture
EP1111096A3 (en) 1999-12-15 2004-02-11 Shipley Company LLC Seed layer repair method
US6491806B1 (en) 2000-04-27 2002-12-10 Intel Corporation Electroplating bath composition
US6251710B1 (en) 2000-04-27 2001-06-26 International Business Machines Corporation Method of making a dual damascene anti-fuse with via before wire
US6368484B1 (en) 2000-05-09 2002-04-09 International Business Machines Corporation Selective plating process
US20050006245A1 (en) 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
US6395199B1 (en) 2000-06-07 2002-05-28 Graftech Inc. Process for providing increased conductivity to a material
TW562878B (en) * 2000-06-30 2003-11-21 Ebara Corp Copper-plating liquid, plating method and plating apparatus
EP1167582B1 (en) 2000-07-01 2005-09-14 Shipley Company LLC Metal alloy compositions and plating method related thereto
US20020112964A1 (en) 2000-07-12 2002-08-22 Applied Materials, Inc. Process window for gap-fill on very high aspect ratio structures using additives in low acid copper baths
US6511912B1 (en) 2000-08-22 2003-01-28 Micron Technology, Inc. Method of forming a non-conformal layer over and exposing a trench
TW539652B (en) 2000-09-04 2003-07-01 Tsurumi Soda Kk Material for copper electroplating, method for manufacturing same and copper electroplating method
US6746589B2 (en) 2000-09-20 2004-06-08 Ebara Corporation Plating method and plating apparatus
US6350386B1 (en) 2000-09-20 2002-02-26 Charles W. C. Lin Method of making a support circuit with a tapered through-hole for a semiconductor chip assembly
KR100366631B1 (ko) 2000-09-27 2003-01-09 삼성전자 주식회사 폴리비닐피롤리돈을 포함하는 구리도금 전해액 및 이를이용한 반도체 소자의 구리배선용 전기도금방법
US6649038B2 (en) 2000-10-13 2003-11-18 Shipley Company, L.L.C. Electroplating method
EP1197587B1 (en) 2000-10-13 2006-09-20 Shipley Co. L.L.C. Seed layer repair and electroplating bath
US6679983B2 (en) 2000-10-13 2004-01-20 Shipley Company, L.L.C. Method of electrodepositing copper
US20020074242A1 (en) 2000-10-13 2002-06-20 Shipley Company, L.L.C. Seed layer recovery
US20020090484A1 (en) 2000-10-20 2002-07-11 Shipley Company, L.L.C. Plating bath
US6660153B2 (en) 2000-10-20 2003-12-09 Shipley Company, L.L.C. Seed layer repair bath
US6645364B2 (en) 2000-10-20 2003-11-11 Shipley Company, L.L.C. Electroplating bath control
US20020134684A1 (en) 2000-10-25 2002-09-26 Shipley Company, L.L.C. Seed layer processes
US6660154B2 (en) 2000-10-25 2003-12-09 Shipley Company, L.L.C. Seed layer
US6797146B2 (en) 2000-11-02 2004-09-28 Shipley Company, L.L.C. Seed layer repair
US6610192B1 (en) 2000-11-02 2003-08-26 Shipley Company, L.L.C. Copper electroplating
EP1346083A2 (en) 2000-11-03 2003-09-24 Shipley Company LLC Electrochemical co-deposition of metals for electronic device manufacture
JP3967879B2 (ja) * 2000-11-16 2007-08-29 株式会社ルネサステクノロジ 銅めっき液及びそれを用いた半導体集積回路装置の製造方法
US6776893B1 (en) 2000-11-20 2004-08-17 Enthone Inc. Electroplating chemistry for the CU filling of submicron features of VLSI/ULSI interconnect
US6432821B1 (en) 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
EP1219729B1 (en) 2000-12-20 2012-01-18 Shipley Co. L.L.C. Electrolytic copper plating solution and method for controlling the same
US6406794B1 (en) 2001-02-08 2002-06-18 Jsr Corporation Film-forming composition
JP2002248397A (ja) 2001-02-26 2002-09-03 Konica Corp 同時重層用スライド型コータ及びそれらを用いた記録材料の製造方法
US20050081744A1 (en) 2003-10-16 2005-04-21 Semitool, Inc. Electroplating compositions and methods for electroplating
US6740221B2 (en) 2001-03-15 2004-05-25 Applied Materials Inc. Method of forming copper interconnects
US6863795B2 (en) 2001-03-23 2005-03-08 Interuniversitair Microelektronica Centrum (Imec) Multi-step method for metal deposition
WO2002090623A1 (fr) 2001-05-09 2002-11-14 Ebara-Udylite Co., Ltd. Bain galvanoplastique et procede pour substrat de galvanoplastie faisant appel audit bain
DE60226196T2 (de) 2001-05-24 2009-05-14 Shipley Co., L.L.C., Marlborough Zinn-Plattieren
US6551487B1 (en) 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
KR100877923B1 (ko) * 2001-06-07 2009-01-12 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 전해 구리 도금법
US6562555B2 (en) 2001-08-01 2003-05-13 Kodak Polychrome Graphics Llc Method for developing lithographic printing plate precursors using a coating attack-suppressing agent
JP2003142427A (ja) 2001-11-06 2003-05-16 Ebara Corp めっき液、半導体装置及びその製造方法
US8002962B2 (en) 2002-03-05 2011-08-23 Enthone Inc. Copper electrodeposition in microelectronics
US7316772B2 (en) 2002-03-05 2008-01-08 Enthone Inc. Defect reduction in electrodeposited copper for semiconductor applications
US6676823B1 (en) 2002-03-18 2004-01-13 Taskem, Inc. High speed acid copper plating
US6926922B2 (en) 2002-04-09 2005-08-09 Shipley Company, L.L.C. PWB manufacture
US6774051B2 (en) 2002-06-12 2004-08-10 Macronix International Co., Ltd. Method for reducing pitch
TWI316097B (en) 2002-06-21 2009-10-21 Ebara Corp Substrate holder and plating apparatus
US7405163B1 (en) 2003-12-17 2008-07-29 Novellus Systems, Inc. Selectively accelerated plating of metal features
JP3827627B2 (ja) 2002-08-13 2006-09-27 株式会社荏原製作所 めっき装置及びめっき方法
US7084509B2 (en) 2002-10-03 2006-08-01 International Business Machines Corporation Electronic package with filled blinds vias
US20040074775A1 (en) 2002-10-21 2004-04-22 Herdman Roderick Dennis Pulse reverse electrolysis of acidic copper electroplating solutions
US20040138075A1 (en) 2002-11-01 2004-07-15 Brown David W. Coatings for metal containers, metalworking lubricant compositions, compositions for electroplating and electrowinning, latex compositions and processes therefor
EP1422320A1 (en) 2002-11-21 2004-05-26 Shipley Company, L.L.C. Copper electroplating bath
US20040108217A1 (en) 2002-12-05 2004-06-10 Dubin Valery M. Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
US20040256222A1 (en) 2002-12-05 2004-12-23 Surfect Technologies, Inc. Apparatus and method for highly controlled electrodeposition
DE60336539D1 (de) 2002-12-20 2011-05-12 Shipley Co Llc Methode zum Elektroplattieren mit Umkehrpulsstrom
US20040154926A1 (en) 2002-12-24 2004-08-12 Zhi-Wen Sun Multiple chemistry electrochemical plating method
TW571411B (en) 2002-12-25 2004-01-11 Advanced Semiconductor Eng Bumping process
KR20040073974A (ko) 2003-02-14 2004-08-21 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 전기도금 조성물
FR2851181B1 (fr) 2003-02-17 2006-05-26 Commissariat Energie Atomique Procede de revetement d'une surface
US7105082B2 (en) 2003-02-27 2006-09-12 Novellus Systems, Inc. Composition and method for electrodeposition of metal on a work piece
JP4603812B2 (ja) * 2003-05-12 2010-12-22 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 改良されたスズめっき方法
US7429401B2 (en) 2003-05-23 2008-09-30 The United States of America as represented by the Secretary of Commerce, the National Insitiute of Standards & Technology Superconformal metal deposition using derivatized substrates
JP2004346422A (ja) 2003-05-23 2004-12-09 Rohm & Haas Electronic Materials Llc めっき方法
US7128822B2 (en) 2003-06-04 2006-10-31 Shipley Company, L.L.C. Leveler compounds
DE10327374B4 (de) 2003-06-18 2006-07-06 Raschig Gmbh Verwendung von propansulfonierten und 2-Hydroxy-propansulfonierten Alkylaminaloxylaten als Hilfsmittel zur elektrolytischen Abscheidung von metallischen Schichten und galvanische Bäder enthaltend diese
JP5095909B2 (ja) 2003-06-24 2012-12-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 触媒組成物および析出方法
US20050274622A1 (en) 2004-06-10 2005-12-15 Zhi-Wen Sun Plating chemistry and method of single-step electroplating of copper on a barrier metal
DE10337669B4 (de) * 2003-08-08 2006-04-27 Atotech Deutschland Gmbh Wässrige, saure Lösung und Verfahren zum galvanischen Abscheiden von Kupferüberzügen sowie Verwendung der Lösung
US20050045485A1 (en) 2003-09-03 2005-03-03 Taiwan Semiconductor Manufacturing Co. Ltd. Method to improve copper electrochemical deposition
JP4668528B2 (ja) 2003-09-05 2011-04-13 株式会社フジミインコーポレーテッド 研磨用組成物
US7335288B2 (en) 2003-09-18 2008-02-26 Novellus Systems, Inc. Methods for depositing copper on a noble metal layer of a work piece
US20050067297A1 (en) 2003-09-26 2005-03-31 Innovative Technology Licensing, Llc Copper bath for electroplating fine circuitry on semiconductor chips
US6998288B1 (en) 2003-10-03 2006-02-14 Sunpower Corporation Use of doped silicon dioxide in the fabrication of solar cells
US20050077180A1 (en) 2003-10-08 2005-04-14 Zierath Daniel J. Modified electroplating solution components in a high-acid electrolyte solution
US7371311B2 (en) 2003-10-08 2008-05-13 Intel Corporation Modified electroplating solution components in a low-acid electrolyte solution
US20050133376A1 (en) 2003-12-19 2005-06-23 Opaskar Vincent C. Alkaline zinc-nickel alloy plating compositions, processes and articles therefrom
US20050189013A1 (en) 2003-12-23 2005-09-01 Oliver Hartley Process for manufacturing photovoltaic cells
JP4540981B2 (ja) 2003-12-25 2010-09-08 株式会社荏原製作所 めっき方法
US7144751B2 (en) 2004-02-05 2006-12-05 Advent Solar, Inc. Back-contact solar cells and methods for fabrication
KR100621541B1 (ko) 2004-02-06 2006-09-14 삼성전자주식회사 듀얼다마신 배선 형성방법 및 듀얼다마신 공정에서 보호막제거용 식각액
KR100795364B1 (ko) 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
WO2005083799A1 (en) 2004-02-24 2005-09-09 Bp Corporation North America Inc Process for manufacturing photovoltaic cells
US7182849B2 (en) 2004-02-27 2007-02-27 Taiwan Semiconducotr Manufacturing Co., Ltd. ECP polymer additives and method for reducing overburden and defects
US20050199507A1 (en) 2004-03-09 2005-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical structures and compositions of ECP additives to reduce pit defects
US20050211564A1 (en) 2004-03-29 2005-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method and composition to enhance wetting of ECP electrolyte to copper seed
US20050230354A1 (en) 2004-04-14 2005-10-20 Hardikar Vishwas V Method and composition of post-CMP wetting of thin films
DE602005022650D1 (de) 2004-04-26 2010-09-16 Rohm & Haas Elect Mat Verbessertes Plattierungsverfahren
US20050274620A1 (en) 2004-06-15 2005-12-15 Kovarsky Nicolay Y Copper replenishment system for interconnect applications
JP4512779B2 (ja) 2004-06-21 2010-07-28 独立行政法人産業技術総合研究所 低誘電率絶縁膜の形成材料及び形成方法
US7232513B1 (en) 2004-06-29 2007-06-19 Novellus Systems, Inc. Electroplating bath containing wetting agent for defect reduction
US20060003566A1 (en) 2004-06-30 2006-01-05 Ismail Emesh Methods and apparatuses for semiconductor fabrication utilizing through-wafer interconnects
KR100611064B1 (ko) 2004-07-15 2006-08-10 삼성전자주식회사 화학 기계적 연마 공정용 슬러리 조성물, 상기 슬러리조성물을 이용한 화학 기계적 연마 방법 및 상기 방법을이용한 게이트 패턴의 형성 방법
US8349393B2 (en) 2004-07-29 2013-01-08 Enthone Inc. Silver plating in electronics manufacture
CN1997776A (zh) 2004-08-18 2007-07-11 荏原优莱特科技股份有限公司 铜电镀用添加剂及采用该添加剂的电子电路基板的制法
KR20060020830A (ko) 2004-09-01 2006-03-07 삼성코닝 주식회사 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법
US7179736B2 (en) 2004-10-14 2007-02-20 Lsi Logic Corporation Method for fabricating planar semiconductor wafers
US7524347B2 (en) 2004-10-28 2009-04-28 Cabot Microelectronics Corporation CMP composition comprising surfactant
TW200632147A (zh) 2004-11-12 2006-09-16
US7442267B1 (en) 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
US7771579B2 (en) 2004-12-03 2010-08-10 Taiwan Semiconductor Manufacturing Co. Electro chemical plating additives for improving stress and leveling effect
US8686277B2 (en) 2004-12-27 2014-04-01 Intel Corporation Microelectronic assembly including built-in thermoelectric cooler and method of fabricating same
US7368045B2 (en) 2005-01-27 2008-05-06 International Business Machines Corporation Gate stack engineering by electrochemical processing utilizing through-gate-dielectric current flow
US7413976B2 (en) 2005-02-01 2008-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Uniform passivation method for conductive features
KR101139157B1 (ko) 2005-02-07 2012-04-26 삼성전자주식회사 단일종의 입체이성질체 만으로 된 실록산 단량체 또는이의 실록산 중합체를 포함하는 저유전 박막 형성용조성물 및 이들을 이용한 저유전 박막의 제조방법
US20060213780A1 (en) 2005-03-24 2006-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Electroplating composition and method
US20060225605A1 (en) 2005-04-11 2006-10-12 Kloeckener James R Aqueous coating compositions and process for treating metal plated substrates
US7939482B2 (en) 2005-05-25 2011-05-10 Freescale Semiconductor, Inc. Cleaning solution for a semiconductor wafer
KR100664870B1 (ko) 2005-07-11 2007-01-03 동부일렉트로닉스 주식회사 저저항 구리배선 및 그 형성 방법
EP1752216A1 (de) 2005-08-09 2007-02-14 Degussa AG Verwendung von Titandioxid-Mischoxid als Photokatalysator
JPWO2007040065A1 (ja) 2005-09-30 2009-04-16 三洋電機株式会社 太陽電池及び太陽電池モジュール
JP5210177B2 (ja) 2006-02-22 2013-06-12 ビーエーエスエフ ソシエタス・ヨーロピア 短鎖並びに長鎖成分を含有する界面活性剤混合物
US8575474B2 (en) 2006-03-20 2013-11-05 Heracus Precious Metals North America Conshohocken LLC Solar cell contacts containing aluminum and at least one of boron, titanium, nickel, tin, silver, gallium, zinc, indium and copper
US7989347B2 (en) 2006-03-30 2011-08-02 Freescale Semiconductor, Inc. Process for filling recessed features in a dielectric substrate
US7575666B2 (en) 2006-04-05 2009-08-18 James Watkowski Process for electrolytically plating copper
JP4787091B2 (ja) 2006-06-27 2011-10-05 株式会社ディスコ ビアホールの加工方法
US7759166B2 (en) 2006-10-17 2010-07-20 Tessera, Inc. Microelectronic packages fabricated at the wafer level and methods therefor
US9147778B2 (en) 2006-11-07 2015-09-29 First Solar, Inc. Photovoltaic devices including nitrogen-containing metal contact
US7781288B2 (en) 2007-02-21 2010-08-24 International Business Machines Corporation Semiconductor structure including gate electrode having laterally variable work function
US20080230119A1 (en) 2007-03-22 2008-09-25 Hideki Akimoto Paste for back contact-type solar cell
JP5588597B2 (ja) 2007-03-23 2014-09-10 富士フイルム株式会社 導電性材料の製造方法及び製造装置
EP2009147A1 (en) * 2007-06-20 2008-12-31 METAKEM Gesellschaft für Schichtchemie der Metalle GmbH Anode assembly for electroplating
WO2008157612A1 (en) 2007-06-21 2008-12-24 Enthone Inc. Codeposition of copper nanoparticles in through silicon via filling
US20090038947A1 (en) 2007-08-07 2009-02-12 Emat Technology, Llc. Electroplating aqueous solution and method of making and using same
JP5513483B2 (ja) 2008-04-11 2014-06-04 ザ リージェンツ オブ ザ ユニバーシティ オブ ミシガン 最小アクセス器具
US8668961B2 (en) 2008-07-31 2014-03-11 Guardian Industries Corp. Titania coating and method of making same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1148471C (zh) * 1998-05-20 2004-05-05 亚洲电镀器材有限公司 电镀机
US6527920B1 (en) * 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus

Also Published As

Publication number Publication date
KR101627390B1 (ko) 2016-06-13
US8262894B2 (en) 2012-09-11
SG175406A1 (en) 2011-12-29
US20100276292A1 (en) 2010-11-04
KR20120030399A (ko) 2012-03-28
TW201043735A (en) 2010-12-16
WO2010127094A2 (en) 2010-11-04
WO2010127094A3 (en) 2011-02-24

Similar Documents

Publication Publication Date Title
TWI491767B (zh) 高速銅電鍍槽
KR101899621B1 (ko) 구리 도금 방법
TW201233852A (en) Configuration and method of operation of an electrodeposition system for improved process stability and performance
US20140299476A1 (en) Electroplating method
TW201407002A (zh) 用以在控制電解液中之陽離子時電鍍半導體晶圓之方法及設備
WO2023246889A1 (zh) 一种用于pcb通孔金属致密填充的酸性硫酸盐电子电镀铜组合添加剂
CN114364827A (zh) 从电镀溶液中去除副产物
KR102023381B1 (ko) 1,10-페난트롤린 화합물을 함유하는 인듐 전기도금 조성물 및 인듐의 전기도금 방법
TWI639735B (zh) 銦電鍍組合物及用於電鍍銦之方法
JP6427632B2 (ja) 2−イミダゾリジンチオン化合物を含有するインジウム電気めっき組成物、及びインジウムを電気めっきする方法
TWI414643B (zh) 銅電鍍液組成物
TWI298751B (en) Composition for copper electroplating solution
KR101605811B1 (ko) Tsv 충전용 전해 구리 도금액 및 이를 이용한 tsv의 충전방법
EP3272912B1 (en) Indium electroplating compositions containing amine compounds and methods of electroplating indium
Jensen et al. Conformal Open-Air Electroplating of Through-Wafer Vias