TWI487113B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI487113B
TWI487113B TW101148337A TW101148337A TWI487113B TW I487113 B TWI487113 B TW I487113B TW 101148337 A TW101148337 A TW 101148337A TW 101148337 A TW101148337 A TW 101148337A TW I487113 B TWI487113 B TW I487113B
Authority
TW
Taiwan
Prior art keywords
nanowire
source
drain
region
channel
Prior art date
Application number
TW101148337A
Other languages
English (en)
Other versions
TW201344909A (zh
Inventor
Willy Rachmady
Van H Le
Ravi Pillarisetty
Jack T Kavalieros
Robert S Chau
Seung Hoon Sung
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of TW201344909A publication Critical patent/TW201344909A/zh
Application granted granted Critical
Publication of TWI487113B publication Critical patent/TWI487113B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/762Nanowire or quantum wire, i.e. axially elongated structure having two dimensions of 100 nm or less
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/89Deposition of materials, e.g. coating, cvd, or ald
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

半導體裝置及其製造方法
本發明的實施例關於半導體裝置的領域,特別關於非平面閘極全環繞裝置結構及具有變化閘極寬度的此結構的製造方法。
積體裝置製造商持續縮小電晶體裝置的特徵尺寸以取得更大的電流密度及更高的性能,對於下一代裝置,需要管理電晶體驅動電流,並降低例如寄生電容及關閉狀態洩漏等短通道效應。
例如鰭部為基礎的雙及三閘極電晶體等非平面電晶體增進短通道效應的控制。舉例而言,根據三閘極電晶體,閘極形成為相鄰於通道區的三側。由於閘極結構圍繞三表面上的鰭部,所以,電晶體基本上具有控制流經鰭部的通道區的電流之三閘極。歸因於更陡峭的次臨界電流擺幅(SS)及更小的汲極感應障壁下降(DIBL),這些三閘極允許鰭部內更完整的空乏以及造成較低的短通道效應。近年來,已開發纏繞閘極結構,其中,閘極電極及源極/汲極接點纏繞半導體奈米佈線的全周邊,即使驅動電流增加時,仍然能夠更大幅地管理主動區中的洩漏及電容。
藉由改變閘極寬度,使驅動電流典型上調整成適合裝置規格。在雙及三閘極裝置中,改變鰭部高度。但是,新的纏繞電晶體要求不同的策略以改變奈米佈線為基礎的裝 置之閘極寬度,也要求進一步發展能整合於目前製程中的新製造方法。
說明具有可變閘極寬度的閘極全環繞電晶體及此電晶體的形成方法。參考特定細節,說明本發明的實施例,以提供完整瞭解本發明。具有此技藝的一般技術者將瞭解,不用這些特定細節仍能實施本發明。在其它情形中,未特別詳細地說明習知的半導體製程及設備,以免不必要地模糊本發明。此外,圖式中所示的不同實施例是說明表示,不一定依比例繪製。
於此說明具有一或更多主動奈米佈線及一或更多非主動奈米佈線之奈米佈線為基礎的閘極全環繞電晶體裝置。也說明此電晶體的製造方法。針對改變包括具有不同數目的奈米佈線之垂直奈米堆疊的電晶體結構的閘極寬度之方式,說明本發明的一或更多實施例。這些方式包含藉由分開奈米佈線的通道部、藉由掩埋奈米佈線的源極和汲極部、或二者,以使某些數目的奈米佈線非主動(亦即,以致於電流不流經奈米佈線的通道部份)。整體而言,藉由使某些數目的奈米佈線不致動而其它奈米佈線維持主動,改變具有複數奈米佈線之奈米佈線為基礎的結構之閘極寬度。
在一實施例中,藉由蝕刻奈米佈線的通道部份,使垂直奈米佈線堆疊非主動。垂直奈米堆疊具有奈米佈線及犠 牲材料的交錯層。犠牲閘極結構纏繞垂直奈米佈線堆疊,界定電晶體的通道區及在通道區的相對立側上的源極和汲極區。移除犠牲閘極結構以使垂直奈米佈線堆疊曝露,以及,移除犠牲材料以曝露通道區內各奈米佈線的通道部份之周邊。然後,在通道區內形成介電材料,以致於下奈米佈線的通道部份被覆蓋,而上奈米佈線的通道部份在通道區的頂部曝露。然後,藉由蝕刻以分開上奈米佈線的曝露通道部份,形成非主動的奈米佈線。移除介電材料以使下奈米佈線的各未被蝕刻的通道部份曝露。在通道區中形成功能閘極結構,繞圍下、主動的奈米佈線之未被蝕刻的通道部份。
在另一實施例中,藉由隔離非主動的通道部份,而使垂直奈米佈線堆疊中的奈米佈線非主動。在實施例中,蝕刻接點開口以曝露電晶體的源極/汲極區中垂直的奈米佈線堆疊。然後,從奈米佈線的源極/汲極部份之間移除犠牲材料。在接點開口之內形成介電材料,以致於下奈米佈線的源極/汲極部份,亦即,最接近基底的奈米佈線被掩埋。然後,源極/汲極接點形成在接點開口之內,纏繞各上奈米佈線的曝露的源極/汲極部份。未對被掩埋的下奈米佈線的源極/汲極部份作接觸。確切而言,通道部份與源極/汲極區電隔離,以及,奈米佈線是非主動的。
電晶體中各主動奈米佈線的周邊形成通道的導電部份。確切而言,電晶體的全閘極寬度是主動的奈米佈線的周長總合。非主動的奈米佈線對於全閘極寬度沒有貢獻。 因此,對於具有給定數目的奈米佈線之奈米佈線堆疊,藉由改變奈米佈線堆疊之內的主動的及非主動的奈米佈線的數目,以改變全閘極寬度。
圖1A及1B顯示根據本發明的實施例之奈米佈線為基礎的半導體裝置之實施例。圖1A是具有對閘極寬度有貢獻的二主動奈米佈線及一非主動奈米佈線之設有不連續通道部份的電晶體的二維剖面視圖。圖1B是具有對閘極寬度有貢獻的二主動奈米佈線及一非主動奈米佈線之設有掩埋的源極和汲極部份的電晶體的二維剖面視圖。雖然圖1A-1B中顯示三奈米佈線,但是,須瞭解,其它實施例可以包括更多或更少的奈米佈線。
在圖1A中,根據本發明的實施例,複數垂直堆疊的奈米佈線110配置在基底102的上方。閘極結構纏繞各主動奈米佈線110A,界定配置在各主動奈米佈線110A中的通道部份113A及裝置的通道區140。源極和汲極區145配置在各通道區140的相對側上。閘極結構包括閘極介電層143和閘極電極144。藉由完全地纏繞各主動奈米佈線110A的通道部份113,閘極結構藉由完全地切斷寄生電容漏電路徑而比平面及鰭為基礎的電晶體增加閘極控制,藉以改良短通道效應。在本發明的實施例中,非主動的奈米佈線110B具有不連續的通道部份113B,以致於電流不在源極與汲極部份111B之間流動。在實施例中,各奈米佈線110的源極和汲極部份111由犠牲材料112分開。最底部的奈米佈線110A的源極和汲極部份111A設於基部 鰭106上。底部閘極隔離部152隔離閘極結構與基底102。
基底102由適用於半導體裝置製造的材料構成。在一實施例中,使用塊體半導體基底,形成結構。基底102包含但不限於矽、鍺、矽-鍺、或III-V族化合物半導體材料。在另一實施例中,使用絕緣體上矽(SOI)基底,形成結構。SOI基底包含下塊體基底,配置在下塊體基底上的中間絕緣體層,以及頂部單晶層。中間絕緣體層包括二氧化矽、氮化矽、或氧氮化矽。頂部單晶層可為任何適當的半導體材料,例如上述列出用於塊體基底的半導體材料。
在實施例中,奈米佈線110及犠牲材料112均為半導體材料。在一此實施例中,奈米佈線及犠牲材料112是單晶的以及具有晶格常數。在實施例中,犠牲材料112為相對於奈米佈線110而被選擇性地蝕刻的任何材料。在實施例中,犠牲材料112是在奈米佈線110中產生應力的材料。奈米佈線110及犠牲材料112均為例如但不限於矽、鍺、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、及InP等材料。在特定實施例中,奈米佈線110是矽,以及,犠牲材料112是SiGe。在另一特定實施例中,奈米佈線110是鍺,以及,犠牲材料112是SiGe。在實施例中,奈米佈線110包括應力材料,特別是在通道部份113中。
在實施例中,各奈米佈線110可以如下所述般尺寸化 成為佈線或是條紋,以及,具有非方形的或圓化角落。各奈米佈線110的厚度決定電晶體裝置的電特徵、集成度及性能。在實施例中,各奈米佈線110足夠厚以避免造成高通道電阻之過多的表面散射。各奈米佈線110也足夠薄以允許電晶體以完全空乏方式操作。在實施例中,從剖面觀點而言,奈米佈線的尺寸是奈米級。舉例而言,在特定實施例中,奈米佈線110的最小尺寸小於約20 nm。
電晶體的閘極寬度決定電晶體的驅動電流容量。對於奈米佈線為基礎的電晶體,主動的奈米佈線的通道區的周邊之累積長度決定用於該電晶體的閘極寬度。由於奈米佈線的剖面面積受限於空乏及表面散射,所以,藉由增加或降低電晶體中給定尺寸的主動奈米佈線的數目,以增加或降低閘極寬度。藉由增加閘極寬度,較大數目的主動奈米佈線110A允許電晶體裝置較大的驅動電流容量。但是,主動的奈米佈線的數目受限於包括主動的及非主動的奈米佈線之奈米佈線堆疊的總高度之限制。隨著奈米佈線堆疊的高度增加,非集成的風險增加。奈米佈線電晶體具有從1至10的奈米佈線。在實施例中,如圖1A所示,奈米佈線堆疊包括三奈米佈線。
在實施例中,閘極介電層143包括高k介電材料。舉例而言,在一實施例中,閘極介電層143由例如但不限於氧化鉿、氧氮化鉿、矽酸鉿、氧化鑭、氧化鋯、矽酸鋯、氧化鉭、鈦酸鋇鍶、鈦酸鋇、鈦酸鍶、氧化釔、氧化鋁、鉛鈧鉭氧化物、鈮酸鉛鋅、或其組合所構成。
在實施例中,閘極電極144由例如但不限於金屬氮化物、金屬碳化物、金屬矽化物、金屬鋁化物、鉿、鋯、鈦、鉭、鋁、釕、鈀、鈷、鎳、或導電金屬氧化物等材料構成。在特定實施例中,閘極電極由形成於金屬功函數設定層上方的非功函數設定填充材料構成。在實施例中,閘極電極144包括p型功函數金屬。在另一實施例中,閘極電極144包括n型功函數金屬。
在一態樣中,如圖1A所示,奈米佈線110的源極和汲極部份111以及在源極與汲極部份111之間的犠牲材料112形成異質源極和汲極區145。在實施例中,異質源極和汲極區145可以經過摻雜或未經摻雜。
在另一態樣中,從源極與汲極部份111之間移除犠牲層112,以致於源極和汲極區145包括奈米佈線110的源極和汲極部份111。然後,形成金屬接點,纏繞源極和汲極部份111A以建立主動奈米佈線110A。
在另一態樣中,形成同質源極和汲極區145。在實施例中,奈米佈線110和犠牲材料112的源極和汲極部份111都從源極和汲極區145移除。在特定實施例中,從基底生長半導體材料,形成對於各奈米佈線110的通道部份113的接點。在實施例中,同質源極和汲極區145可以經過摻雜或未經摻雜。在另一特定實施例中,在源極和汲極區145之內形成金屬物,形成對奈米佈線110的通道部份113的接點。
側壁間隔器134形成於閘極結構的垂直側壁上,以補 償源極和汲極區的選加摻雜及/或使閘極電極與後續生長於源極和汲極區上的任何磊晶材料相絕緣。側壁間隔器134由例如但不限於二氧化矽、氧氮化矽、或氮化矽等絕緣的介電材料構成。
圖1B顯示本發明的另一實施例,其中,非主動的奈米佈線的通道部份與電晶體的源極和汲極區電隔離。根據本發明的實施例,複數垂直堆疊的奈米佈線110配置在基底102上方。包括閘極電極144及閘極介電質143之閘極結構界定裝置的通道區140以及通道區的相對立側上的源極和汲極區145。主動的奈米佈線110A具有通道部份113A以及源極和汲極部份111A。非主動的奈米佈線110B具有通道部份113B以及非主動的源極和汲極部份111B。
在實施例中,各主動的奈米佈線110A的源極和汲極部份111A由源極和汲極接點148完全圍繞。在實施例中,非主動的奈米佈線110B未電耦合至源極和汲極區145。在實施例中,各非主動的奈米佈線110B的源極和汲極部份111B由介電材料146掩埋,以經過源極和汲極部份11B電隔離通道部份113B。在實施例中,如圖1B所示,所有奈米佈線110均具有完好如初的通道部份113。
在實施例中,源極和汲極接點148由金屬物製成。金屬物可為例如鎳、鈷、或鈀等純金屬或是例如金屬-金屬合金或金屬-半導體合金(例如矽化物材料)等合金。在實施例中,在形成接點金屬之前,在溝槽內形成氮化鈦底 層。
在本發明的另一態樣中,提供奈米佈線為基礎的半導體裝置的製造方法。圖2A-2K顯示代表根據本發明的實施例之製造奈米為基礎的電晶體之方法中的不同操作之三維透視圖及二維剖面視圖。
半導體裝置的製造方法包含在基底上方形成複數奈米佈線。圖2A顯示形成三條奈米佈線的特定實例。設置具有垂直奈米佈線堆疊208配置於上的基底202。在實施例中,垂直奈米佈線堆疊208包括奈米佈線210材料及犠牲材料212的交錯層。在實施例中,奈米佈線堆疊包括三條奈米佈線210及二層犠牲材料212。
在實施例中,藉由在塊體半導體基底的表面上生長交錯的材料層,形成奈米佈線210及犠牲材料212,然後,以例如掩罩及電漿蝕刻製程,將這些層圖型化以形成鰭型式結構。在實施例中,在單晶矽基底上形成交錯層。在另一實施例中,在具有頂部SiGe層的塊體矽基底上形成交錯層。在實施例中,鰭型式結構包括配置在基部鰭206上的垂直奈米佈線堆疊208。在實施例中,基部鰭206從基底202的頂部部份形成,其中,基底材料作為最底部奈米佈線之下方的犠牲材料。在另一實施例中,基部鰭206由與犠牲材料212相同的材料形成。在另一實施例中,基部鰭206由基底202及犠牲材料212等二材料的結合形成。犠牲材料212由基底202的表面形成或是沉積於基底202的表面,以形成塊體鰭206的一部份。塊體鰭206也包括 複數緩衝層,這些緩衝層用以使晶格常數從基底至垂直奈米佈線堆疊208自其生長的表面漸變。
在實施例中,基底202也包含淺溝槽隔離(STI)區204,SIT區204用以降低相鄰裝置之間的漏電流。STI區204由傳統的半導體圖型化方法形成(例如,微影術及蝕刻)。STI區204包括例如氧化矽、氮化矽、氧氮化矽、及其組合等介電材料。
在另一實施例中,垂直奈米佈線堆疊208形成在SOI基底上,SOI基底包括底部基底、中間絕緣層、及頂部單晶半導體層。在實施例中,包括垂直奈米佈線堆疊208的層從頂部單晶半導體層生長,然後,被圖型化成為鰭型式結構。在實施例中,中間絕緣層作為隔離層。
在實施例中,奈米佈線210及犠牲材料212均由具有晶格常數的單晶材料形成。在實施例中,奈米佈線210及犠牲材料212由半導體材料形成。在實施例中,犠牲材料212由相對於奈米佈線210被選擇性地蝕刻之材料形成。在實施例中,選取犠牲材料212以在奈米佈線210中產生應力。理想地,在垂直奈米佈線堆疊208中奈米佈線210及犠牲材料212均由單晶半導體材料形成,單晶半導體材料為例如但不限於Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb及InP。在實施例中,奈米佈線210是矽以及犠牲材料212是SiGe,以形成n型電晶體。在實施例中,奈米佈線210是未經摻雜的鍺以及犠牲材料212是SiGe,以形成p型電晶體。
垂直奈米佈線堆疊208具有高度224、寬度222、及長度220。垂直奈米佈線208形成為具有小於30 nm的寬度222以及理想上小於25 nm。在實施例中,高度224小於例如鰭倒塌、鰭輪廓扭曲、及鰭關鍵尺寸不良均勻性等集成議題開始發生的高度。奈米佈線210及犠牲材料212的厚度也影響高度224。在實施例中,奈米佈線210及犠牲材料212足夠薄以取得小於集成議題開始發生時的高度之高度224。
接著,犠牲閘極介電層228是沉積於垂直奈米佈線堆疊208的頂部及側壁上的覆蓋層。犠牲閘極介電層228沉積至從約10-50的厚度。在特定實施例中,犠牲閘極介電層228是例如氧化矽、氮化矽、及氧氮化矽等介電材料。以習知的化學汽相沉積(CVD)法,沉積犠牲閘極介電層228。如圖2B中所示,然後,犠牲閘極材料250覆蓋沉積於結構上,包含犠牲閘極介電層228。犠牲閘極端250沉積至超過垂直奈米佈線堆疊208的高度。在實施例中,犠牲閘極材料250由例如多晶矽等半導體材料形成。
接著,根據圖2C中所示之本發明的實施例,使用傳統的微影術及蝕刻方法,將犠牲閘極材料250圖型化,形成犠牲閘極電極230。用以形成犠牲閘極電極230之犠牲閘極材料250的蝕刻使垂直奈米佈線堆疊208的源極和汲極區上的犠牲閘極介電層228曝露。在犠牲閘極230的圖型化及形成期間,犠牲閘極介電層228作為蝕刻停止層,藉以防止對垂直奈米佈線堆疊208的源極和汲極區造成傷 害。在實施例中,犠牲閘極介電層228及犠牲閘極電極230由具有充分不同的蝕刻選擇性之材料形成,以致於犠牲閘極介電層228在犠牲閘極電極230的蝕刻期間作為蝕刻停止層。
接著,使用例如傳統的濕蝕刻製程,將犠牲閘極介電層228從垂直奈米佈線堆疊208的頂部及側壁移除。在實施例中,犠牲閘極介電層228是使用稀釋的HF濕蝕刻而被移除的氧化矽層。在犠牲閘極結構的形成之後,舉例而言,如此技藝中熟知般,以尖端佈植或是暈佈植,將奈米佈線210摻雜。
根據圖2C中所示的本發明的實施例,在犠牲閘極電極230的側壁上形成成對的側壁間隔器234,以補償源極和汲極區的選加摻雜及/或使閘極電極與後續生長於源極和汲極區上的任何磊晶材料相絕緣。使用此技藝中所知的形成選取間隔器之習知方法,形成成對的側壁間隔器234。側壁間隔器234可為任何適當的介電材料,例如但不限於氧化矽、氮化矽、氧氮化矽及其組合。在實施例中,側壁間隔器234形成為從20至100厚。
在實施例中,源極和汲極區245是異質的,包括如圖2C中所示的垂直奈米佈線堆疊的源極和汲極部份211及犠牲材料212。在實施例中,在源極和汲極區245之內,在垂直奈米佈線堆疊208的表面上生長磊晶材料。異質的源極和汲極區245可以經過摻雜或未被摻雜。在實施例中,以離子佈植,摻雜異質的源極和汲極區245。
在另一實施例中,源極和汲極區245包括奈米佈線210的源極和汲極部份211。在實施例中,從源極和汲極區245之內移除犠牲材料212及至少部份基部鰭206,以曝露奈米佈線210的源極和汲極部份211的周邊。在實施例中,接點纏繞奈米佈線210的曝露的源極和汲極部份211。在實施例中,接點包括導電金屬物。
在另一實施例中,源極和汲極區245是同質的。移除源極和汲極部份211、犠牲材料212、及至少部份基部鰭206,以形成同質源極和汲極區。然後,材料沉積於源極和汲極區之內,以形成與各奈米佈線210的通道部份213之接觸。在實施例中,從基底生長單晶半導體材料以形成升起的源極和汲極區。在實施例中,同質源極和汲極區245提供應力給奈米佈線210的通道部份213。假使需要時,半導體材料可為原地摻雜的。在另一實施例中,藉由沉積金屬物以形成與奈米佈線210的通道部份213的接觸,形成同質源極和汲極區245。
如圖2D中所示,根據本發明的實施例,層間介電(ILD)層238覆蓋沉積於基底上。使用CVD,沉積覆蓋ILD層238。在實施例中,ILD層238由任何熟知的介電材料形成,例如但不限於未經摻雜的氧化矽、經過摻雜的氧化矽(例如,BPSG、PSG)、氮化矽、及氧氮化矽。然後,使用習知的化學機械平坦化方法,背拋光ILD層238以使犠牲閘極電極230的頂部及側壁間隔器234的頂部曝露。
接著,如圖2E中所示的實施例中所示般,移除犠牲閘極電極230以使通道區240之內的垂直奈米佈線堆疊208上的犠牲閘極介電質228。圖2E是延著線A-A’之圖2D中所示的實施例之二維、剖面視圖。在犠牲閘極電極230的移除期間,ILD層238保護源極和汲極區245之內的垂直奈米佈線堆疊208。此外,在通道區240之內的垂直奈米佈線堆疊208上的犠牲閘極介電層228作為蝕刻停止,在犠牲閘電極230移除期間,保護垂直奈米佈線堆疊208。使用例如電漿乾蝕刻或濕蝕刻等傳統的蝕刻方法,移除犠牲閘電極230。在實施例中,使用例如TMAH溶液等濕蝕刻劑以選擇性地移除犠牲閘電極。
在實施例中,接著移除犠牲閘介電層228以曝露通道區240之內的垂直奈米佈線堆疊208。使用傳統的蝕刻方法,移除犠牲閘極介電層228。在實施例中,犠牲閘極介電層228是可由稀釋的HF濕蝕刻移除之氧化矽。
接著,如圖2F所示般,從通道區240之內的奈米佈線210之間移除犠牲材料212。在實施例中,從奈米佈線210之間完全地蝕刻犠牲材料212。在實施例中,蝕刻製程曝露通道區240之內的奈米佈線210的所有表面。如圖2F所示,犠牲材料212的移除在相鄰的奈米佈線210之間留下空乏。使用對奈米佈線210有選擇性的任何習知的蝕刻劑,移除犠牲材料212。對於犠牲材料相較於奈米佈線材料,蝕刻劑的選擇率大於50:1。在實施例中,選擇率大於100:1。在奈米佈線210是矽及犠牲材料212是矽 鍺的實施例中,使用例如但不限於含水羧酸/硝酸/HF溶液及含水的檸檬酸/硝酸/HF溶液等濕蝕刻劑,選擇性地移除犠牲材料212。在奈米佈線210是鍺及犠牲材料212是矽鍺的實施例中,使用例如但不限於氫氧化銨(NH4 OH)、氫氧化四甲銨(TMAH)、乙二胺鄰苯二酚(EDP)、或氫氧化鉀(KOH)溶液等濕蝕刻劑,選擇性地移除犠牲材料212。
然後,如圖2G中所示的實施例所示般,將介電材料242覆蓋沉積於基底上,圍繞奈米佈線210及完全地填充通道區240。介電材料242完全地填充奈米佈線210之間的空乏,也填充最底部奈米佈線與基底202的頂部表面之間的空間。介電材料242也形成於ILD層238的頂部表面上。在實施例中,介電材料242由例如但不限於氧化矽、氮化矽、及氧氮化矽等任何習知的介電材料形成。在特定實施例中,介電材料242由二氧化矽形成。理想上,使用例如低壓化學汽相沉積(LPCVD)、原子層沉積(ALD)、介電質上旋轉塗敷製程、或是這些製程的組合等高保形沉積方法,形成介電材料242,以確保奈米佈線210之間的空乏被完全填充。
接著,根據本發明的實施例,介電材料242從ILD層238的表面被移除、以及接著部份地凹陷於通道區240之內。介電材料242凹陷至通道區240之內的深度,此深度足以完全地曝露各奈米佈線210的通道部份213。由必須不被致動之奈米佈線210的數目決定曝露的通道部份213 的數目,以取得所需的電晶體閘極寬度。在圖2H所示的實施例中,曝露上奈米佈線210B的通道部份213B的全周邊,而各下奈米佈線210A的通道部份213A維持由介電材料242完全掩埋。在實施例中,使用計時HF濕蝕刻,將介電材料242蝕刻。
然後,根據本發明的實施例,分開上奈米佈線210B的曝露通道部份213B。在實施例中,上奈米佈線210B的曝露通道部份213B被蝕刻達到上奈米佈線210B無法在源極與汲極區245之間導通電流的程度。在實施例中,如圖2I中所示般,完全地移除通道部份213B。以對介電材料242有選擇性的任何蝕刻製程,蝕刻通道部份213B。以濕或乾蝕刻製程,蝕刻通道部份213B。介電材料242保護各下奈米佈線210A的通道部份213A,以致於它們不被蝕刻。
然後,如圖2J中所示的實施例中所示般,介電材料242接著又凹陷以曝露各下奈米佈線210A的受保護通道部份213A。介電材料242餘留在基底202上以形成底部閘極隔離252。底部閘極隔離252的厚度取決於介電材料242被蝕刻的時間長度。在實施例中,足夠長時間地執行濕蝕刻凹陷,以取得厚至足以隔離基底202的頂表面免於電容地耦合後續形成的閘極電極之電容底部閘極隔離厚度。在實施例中,足夠長時間地執行濕蝕刻凹陷,以取得薄至足以允許後續形成的閘極介電層與閘極電極形成為全圍繞各下奈米佈線210A的通道部份213A之底部閘極隔 離厚度。
接著,根據本發明的實施例,閘極介電層243形成為圍繞各下奈米佈線210A的通道部份213A。如先前所述般,閘極介電層243由任何習知的閘極介電材料形成。使用例如原子層沉積(ALD)等高保形沉積製程,形成閘極介電層243,以確保形成圍繞各下奈米佈線210A的通道部份213A之具有均勻厚度的閘極介電層。閘極介電層243可為例如高k介電質等任何適當材料。在特定實施例中,閘極介電層243是沉積至10-60之間的厚度之氧化鉿。
接著,閘極電極材料覆蓋地沉積於閘極介電層243以形成閘極電極244。閘極電極244可由如先前所述之任何習知的閘極電極材料形成。使用例如ALD等高保形沉積製程,沉積閘極電極材料243,以確保閘極電極244形成在閘極介電層243上而圍繞下奈米佈線210A的通道部份213A及在下奈米佈線210A的通道部份213A之間。然後,將ILD層238的頂部上之閘極電極材料及閘極介電層243化學機械地平坦化,直到ILD層238的頂部表面如圖2K中所示地露出為止,而形成電晶體裝置。根據本發明的實施例,各通道部份213A的周邊之累積長度決定電晶體的閘極寬度。
在本發明的另一實施例中,如圖3A-3C所示,藉由蝕刻各別的通道部份,使一條以上的奈米佈線非主動。在一實施例中,提供如參考圖2A-2G之顯示及上述所述般 形成的結構。在實施例中,介電層342從ILD層338的頂表面移除以及凹陷至通道區340內,以曝露各上奈米佈線310B的通道部份313B。通道部份313B的全周邊曝露。下奈米佈線310A的通道部份313A維持掩埋在介電層342中。然後,各上奈米佈線312B的通道部份313B被充分地蝕刻,以致於上奈米佈線312B無法在源極與汲極區345之間。在實施例中,如圖3B中所示般,通道部份313B被完全地蝕刻。以圖2J中的上奈米佈線210B的通道部份213B的蝕刻有關的上述製程,蝕刻各上奈米佈線310B的通道部份313B。
然後,如圖3C中所示的實施例中所示般,介電材料342凹陷於通道區之內以曝露下奈米佈線310A的通道部份313A。在實施例中,將介電材料342蝕刻至足以允許形成全圍繞通道部份313A的全周邊之閘極介電質及閘極電極層之深度。在實施例中,部份介電材料342留在下奈米佈線310A之下方的基底302的表面上以形成底部閘極隔離區352。
然後,根據本發明的實施例,閘極介電質343及閘極電極344形成在通道區340之內。如圖2K中所示,關於閘極電極243及閘極電極244之上述所述般,形成閘極介電質343及閘極電極344。閘極介電質343及閘極電極344全纏繞下奈米佈線310A的通道部份313A,以致於下奈米佈線310A的周邊決定用於顯示之電晶體的實施例之閘極寬度。
在另一實施例中,其中,例如圖3A-3C中所示般,二奈米佈線非主動,介電材料342從ILD層338的頂表面移除以及凹陷至溝槽內,以曝露僅最上方的奈米佈線310B的通道部份313B。然後,以例如上奈米佈線210B有關的上述所述的製程,蝕刻單一曝露的通道部份313B。接著,在實施例中,介電材料342進一步凹陷以曝露第二上奈米佈線310B的通道部份313B。然後,以例如關於上奈米佈線210B之上述所述的製程,蝕刻單一曝露的通道部份313B,以形成圖3B中所示的結構。在實施例中,然後,如同下奈米佈線210A有關的上述所述及圖3C中所示般,介電材料342從下奈米佈線310A的通道部份313A的表面移除,以致於形成功能閘極結構,纏繞完好如初的通道部份313A。因此,形成具有二非主動的上奈米佈線310B及一主動的下奈米佈線310A之電晶體。
如圖2A-2K及3A-3C中上述所示般,具有相同數目的奈米佈線的電晶體的閘極寬度可以改變。在本發明的其它實施例中,奈米佈線堆疊可以含有更多或更少的奈米佈線。一般而言,至少一奈米佈線是主動的,但是,揭示的製程可以用以形成具有零條主動奈米佈線的犠牲電晶體。
在本發明的另一態樣中,藉由將一或更多奈米佈線的通道部份與裝置的源極/汲極區隔離,改變奈米佈線為基礎的電晶體的閘極寬度。圖4A-E顯示代表根據本發明的實施例之奈米佈線為基礎的電晶體之製造方法的不同操作之二維剖面視圖。
如圖4A中所示般,提供包括複數以垂直堆疊沉積於基底402上的奈米佈線410的結構。包括閘極介電質443及閘極電極444的閘極結構纏繞各奈米佈線410的通道區。在實施例中,閘極介電質443是犠牲閘極介電質,以及,閘極電極444是犠牲閘極電極。底部閘極隔離區452將閘極結構與基底402相隔離。各奈米佈線410的源極和汲極部份411由鰭基部鰭部406及犠牲材料412支撐。ILD層438覆蓋源極和汲極區445中的頂部奈米佈線。如同參考圖2A-2K之上述中所述般,形成結構,省略操作,因而奈米佈線的通道部份被分開。
如圖4B中所示般,為形成源極和汲極接點,從ILD層438的表面至基底402的表面蝕刻開口460,移除ILD層438、犠牲材料412及基部鰭部406等的部份,以曝露各奈米佈線410的源極和汲極部份411的全周邊。以對奈米佈線410材料有選擇性的蝕刻製程,移除ILD層438、犠牲材料412、及鰭部406。在實施例中,使用微影製程,蝕刻開口406。
如圖4B所示,在移除犠牲材料412之後,奈米佈線410由閘極結構支撐。在實施例中,奈米佈線410由相鄰於源極/汲極部份411的犠牲閘極結構增加地支撐。在另一實施例中,奈米佈線410又由與相鄰的裝置相關連的功能閘極結構支撐。在另一實施例中,奈米佈線410終止於源極/汲極部份411的端部。
接著,如圖4C所示般,介電材料456覆蓋地沉積於 基底上,完全地填充開口460。然後,如圖4D中所示般,從ILD層438的表面蝕刻介電材料446,且介電材料446凹陷於開口460之內以曝露對應於所需的主動奈米佈線的數目之源極和汲極部份411的數目。在實施例中,各上奈米佈線410A的源極和汲極部份411A的周邊完全地曝露。在實施例中,介電材料446完全地覆蓋在開口460的底部之下奈米佈線410B的源極和汲極部份411B。
如圖4E所示,在實施例中,源極和汲極接點458形成在開口460中。在實施例中,源極和汲極接點458全纏繞各主動奈米佈線410A的源極和汲極部份411A。源極和汲極接點458由任何適合確保各上奈米佈線410A的源極和汲極部份411A之保形接點的方法所形成。在實施例中,以CVD沉積源極和汲極接點458。在實施例中,源極和汲極接點458由關於圖1B中所示的源極和汲極接點158之上述中所述的金屬物形成。在另一實施例中,在形成接點之前,從開口460之內移除源極和汲極部份411A。在實施例中,金屬沉積於開口460之內以形成與通道部份411A的接點。在開口460的底部之介電材料防止源極和汲極接點458與非主動的奈米佈線410B的源極和汲極部份411B電接觸。
在閘極介電質443是犠牲閘極介電質、以及閘極電極444是犠牲閘極電極的實施例中,在形成接點458之後,以更換閘極製程,形成功能閘極。
如此,形成包括二主動奈米佈線410A及一非主動奈 米佈線410B的電晶體。電晶體的閘極寬度等於主動奈米佈線410A的通道部份411A的周邊之結合長度。雖然圖4A-4E顯示通道部份與源極區和汲極區相隔離,但是,須瞭解,僅與源極區或汲極區隔離即足以使奈米佈線非主動。
在奈米佈線堆疊中主動及非主動的奈米佈線之數目可以改變,以取得具有不同閘極寬度的電晶體。在圖5A所示的實施例中,電晶體包括配置在基底502上方的奈米佈線510的垂直堆疊。包括閘極介電層543及閘極電極544的閘極結構纏繞奈米佈線510的通道部份513。閘極結構具有側壁間隔器534,顯示為在奈米佈線的頂部上方。奈米佈線堆疊具有一條主動的奈米佈線510A以及二條非主動的奈米佈線510B,其中,各非主動的奈米佈線510B的源極和汲極部份511B掩埋在介電材料546中,以致於通道部份513B與源極和汲極區545電隔離。源極/汲極接點558形成為纏繞主動的奈米佈線510A的源極和汲極部份511A。主動的奈米佈線510A的通道部份513的周邊決定電晶體的閘極寬度。
在另一實施例中,如圖5B中所示,介電材料546被蝕刻,以致於一部份維持相鄰於閘極結構。介電材料包含在閘極與源極和汲極接點之間的米勒(Miller)電容。在實施例中,在覆蓋沉積介電材料546於結構上之後,如同參考圖4C之上述所述般,介電材料被拋光成與閘極結構的上表面齊平。然後,在相鄰於閘極結構的介電材料上形 成掩罩。然後,接點溝槽被蝕刻以曝露主動的奈米佈線510A的源極和汲極部份,而一部份介電材料546保留在與閘極結構相鄰的源極/汲極區中。在實施例中,溝槽蝕刻曝露部份源極和汲極部份,而源極/汲極部份的另一部份在相鄰於閘極結構的介電材料546之間延伸。然後,接點形成在溝槽中、在覆蓋非主動的奈米佈線510B的源極和汲極部份之介電材料546的上方。相鄰於閘極結構的介電材料的寬度延著溝槽的深度而變,從0至500寬。
在另一實施例中,如圖5C中所示般,ILD層538、犠牲材料512、及基部鰭506等的部份保留成相鄰於閘極結構。在接點溝槽的蝕刻期間,藉由覆蓋ILD層538的部份,可以保留ILD層538、犠牲材料512、及基部鰭506等的部份。在本實施例中,犠牲材料512是絕緣的或半絕緣的,以致於犠牲材料512不產生或實際上不產生漏電路徑、以及以致於非主動的奈米佈線的通道部份維持與裝置的源極和汲極區電隔離。
圖6顯示根據本發明的一實施之計算裝置600。計算裝置600容納主機板602。主機板602包含多個組件,多個組件包括但不限於處理器604及至少一通訊晶片606。處理器604實體地及電耦合至主機板602。在某些實施中,至少一通訊晶片606也實體地及電耦合至主機板602。在另外的實施中,通訊晶片606是處理器604的一部份。
取決於其應用,計算裝置600包含可以或不可以實體 地及電耦合至主機板602的其它組件。這些其它組件包含但不限於依電性記憶體(例如,DRAM)、非依電性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位訊號處理器、密碼處理器、晶片組、天線、顯示器、觸控幕顯示器、觸控幕控制器、電池、音頻編解碼、視頻編解碼、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、陀螺儀、揚音器、相機、及大量儲存裝置(例如硬碟機、光碟(CD)、數位多樣式光碟(DVD)、等等)。
通訊晶片606能夠無線通訊以用於對計算裝置600傳輸資料。「無線」一詞及其衍生詞用以說明經由使用通過非固體介質之調變的電磁輻射來傳輸資料的電路、裝置、系統、方法、技術、通訊通道、等等。此詞並非意指相關連裝置未含有任何接線,但是,在某些實施例中,它們可能未含任何接線。通訊晶片606可以實施任何無線標準或是通信協定,包含但不限於Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、長期進化(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生、以及以3G、4G、5G、及更新的世代來標示的任何其它無線通信協定。計算裝置600包含複數通訊晶片606。舉例而言,第一通訊晶片606可以專用於較短範圍的無線通訊,例如Wi-Fi及藍牙,而第二通訊晶片606可以專用於較長範圍的無線通訊,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO、等等。
計算裝置600的處理器604包含封裝在處理器604之內的積體電路晶粒。在本發明的某些實施中,處理器的積體電路晶粒包含根據本發明的實例之一或更多具有設有至少一非主動的奈米佈線之奈米佈線垂直堆疊的閘極全環繞電晶體。「處理器」一詞意指處理來自暫存器及/或記憶體的電子資料以將電子資料轉換成儲存在暫存器及/或記憶體中的其它電子資料之任何裝置或裝置的一部份。
通訊晶片606也包含封裝於通訊晶片606之內的積體電路晶粒。根據本發明的另一實施,通訊晶片的積體電路晶粒包含根據本發明的實例之一或更多具有設有至少一非主動的奈米佈線之奈米佈線垂直堆疊的閘極全環繞電晶體。
在其它實施中,容納於計算裝置600之內的另一組件含有積體電路晶粒,積體電路晶粒包含根據本發明的實例之一或更多具有設有至少一非主動的奈米佈線之奈米佈線垂直堆疊的閘極全環繞電晶體。
在各式各樣的實施中,計算裝置600可以是膝上型電腦、筆記型電腦、超薄筆記型電腦、智慧型電話、平板電腦、個人數位助理(PDA)、及超薄行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或是數位攝影機。在又其它實施中,計算裝置600可為處理資料的任何其它電子裝置。
102‧‧‧基底
106‧‧‧基部鰭
110‧‧‧垂直堆疊奈米佈線
110A‧‧‧主動奈米佈線
110B‧‧‧非主動奈米佈線
111‧‧‧源極和汲極部份
111A‧‧‧源極和汲極部份
111B‧‧‧源極和汲極部份
112‧‧‧犠牲材料
113‧‧‧通道部份
113A‧‧‧通道部份
113B‧‧‧通道部份
134‧‧‧側壁間隔器
140‧‧‧通道區
143‧‧‧閘極介電層
144‧‧‧閘極電極
145‧‧‧源極和汲極區
146‧‧‧介電材料
148‧‧‧源極和汲極接點
152‧‧‧底部閘極隔離
202‧‧‧基底
204‧‧‧溝槽隔離區
206‧‧‧基部鰭
208‧‧‧垂直奈米佈線堆疊
210‧‧‧奈米佈線
210A‧‧‧下奈米佈線
210B‧‧‧上奈米佈線
211‧‧‧源極和汲極部份
212‧‧‧犠牲材料
213‧‧‧通道部份
213A‧‧‧通道部份
213B‧‧‧通道部份
220‧‧‧長度
222‧‧‧寬度
224‧‧‧高度
228‧‧‧犠牲閘極介電層
230‧‧‧犠牲閘極 電極
234‧‧‧側壁間隔器
238‧‧‧層間介電質
240‧‧‧通道區
242‧‧‧介電材料
243‧‧‧閘極介電層
244‧‧‧閘極電極
245‧‧‧源極和汲極區
250‧‧‧犠牲閘極 材料
252‧‧‧底部閘極隔離
302‧‧‧基底
310A‧‧‧下奈米佈線
310B‧‧‧上奈米佈線
312B‧‧‧上奈米佈線
313A‧‧‧通道部份
313B‧‧‧通道部份
338‧‧‧層間介電層
340‧‧‧通道部份
342‧‧‧介電材料
343‧‧‧閘極介電質
344‧‧‧閘極電極
345‧‧‧源極和汲極區
352‧‧‧底部閘極隔離區
402‧‧‧基底
406‧‧‧鰭基部鰭
410‧‧‧奈米佈線
410A‧‧‧上奈米佈線
410B‧‧‧下奈米佈線
411‧‧‧源極和汲極部份
411A‧‧‧源極和汲極部份
411B‧‧‧源極和汲極部份
412‧‧‧犠牲材料
438‧‧‧層間介電層
443‧‧‧閘極介電質
444‧‧‧閘極電極
446‧‧‧介電材料
452‧‧‧底部閘極隔離區
458‧‧‧源極和汲極接點
460‧‧‧開口
502‧‧‧基底
506‧‧‧基部鰭
510‧‧‧奈米佈線
510A‧‧‧主動奈米佈線
510B‧‧‧非主動奈米佈線
511A‧‧‧源極和汲極部份
511B‧‧‧源極和汲極部份
512‧‧‧犠牲材料
513‧‧‧通道部份
513B‧‧‧通道部份
534‧‧‧側壁間隔器
538‧‧‧層間介電層
543‧‧‧閘極介電層
544‧‧‧閘極電極
545‧‧‧源極和汲極區
546‧‧‧介電材料
558‧‧‧源極/汲極接點
600‧‧‧計算裝置
602‧‧‧主機板
604‧‧‧處理器
606‧‧‧通訊晶片
圖1A顯示根據本發明的實施例之奈米為基礎的半導體結構之二維剖面視圖。
圖1B顯示根據本發明的實施例之奈米為基礎的半導體結構之二維剖面視圖。
圖2A-2D顯示根據本發明的實施例之形成奈米為基礎的電晶體之製程中的操作之三維透視圖。
圖2E-2K顯示根據本發明的實施例之形成奈米為基礎的電晶體之製程中的操作之二維剖面視圖。
圖3A-3C顯示根據本發明的實施例之形成奈米為基礎的電晶體之製程中的操作之二維剖面視圖。
圖4A-4E顯示根據本發明的實施例之形成奈米為基礎的電晶體之製程中的操作之二維剖面視圖。
圖5A-5C顯示奈米為基礎的半導體結構之實施例的二維剖面視圖。
圖6顯示根據本發明的一實施例之計算裝置。
102‧‧‧基底
106‧‧‧基部鰭
110A‧‧‧主動奈米佈線
110B‧‧‧非主動奈米佈線
111A‧‧‧源極和汲極部份
111B‧‧‧源極和汲極部份
112‧‧‧犠牲材料
113A‧‧‧通道部份
113B‧‧‧通道部份
134‧‧‧側壁間隔器
140‧‧‧通道區
143‧‧‧閘極介電層
144‧‧‧閘極電極
145‧‧‧源極和汲極區
152‧‧‧底部閘極隔離

Claims (20)

  1. 一種半導體裝置,包括:複數垂直堆疊的奈米佈線,配置在基底上,其中,該複數奈米佈線中之一是主動奈米佈線以及該複數奈米佈線中之一是非主動奈米佈線;閘極結構,纏繞該主動奈米佈線,界定該裝置的通道區;以及源極區和汲極區,在該通道區的相對側上。
  2. 如申請專利範圍第1項之裝置,其中,該非主動奈米佈線具有在該通道區內的非主動通道部份,以及,其中,該非主動通道部份是不連續的。
  3. 如申請專利範圍第2項之裝置,其中,該源極區和該汲極區由同質材料形成。
  4. 如申請專利範圍第3項之裝置,其中,該同質材料是單晶半導體。
  5. 如申請專利範圍第3項之裝置,其中,該同質材料是金屬。
  6. 如申請專利範圍第2項之裝置,其中,該源極區和該汲極區由複數半導體膜的異質堆疊形成。
  7. 如申請專利範圍第2項之裝置,其中,該主動奈米佈線具有在該裝置的該源極區內的主動源極部份、以及具有在該裝置的該汲極區內的主動汲極部份,以及,其中,金屬源極接點纏繞該主動源極部份以及金屬汲極接點纏繞該主動汲極部份。
  8. 如申請專利範圍第1項之裝置,其中,該非主動奈米佈線具有在該通道區內的非主動通道部份,該非主動通道部份與該源極區和該汲極區中至少之一電隔離。
  9. 如申請專利範圍第8項之裝置,其中,該非主動奈米佈線具有在該源極區內的源極部份以及在該汲極區內的汲極部份,該源極部份與該汲極部份中至少之一由介電材料包封,以致於該非主動奈米佈線的通道部份與該源極區和該汲極區中至少之一電隔離。
  10. 如申請專利範圍第1項之裝置,其中,該主動奈米佈線以及該非主動奈米佈線是矽。
  11. 如申請專利範圍第1項之裝置,其中,該主動奈米佈線以及該非主動奈米佈線是鍺。
  12. 一種半導體裝置的製造方法,包括:設置基底,該基底具有:奈米佈線及犠牲材料的交錯層之垂直堆疊,其中,一奈米佈線是下奈米佈線以及一奈米佈線是上奈米佈線,犠牲閘極,纏繞該垂直堆疊,其中,該犠牲閘極界定該裝置的通道區,以及源極區和汲極區,在該通道區的相對側上;蝕刻該犠牲閘極以曝露該垂直堆疊;蝕刻該通道區內的該犠牲材料以曝露該上奈米佈線的第一通道部份、曝露該下奈米佈線第二通道部份、以及在該第一通道部份與該第二通道部份之間形成空乏; 以介電材料填充該通道區,其中,該介電材料填充該空乏;蝕刻該介電材料以曝露該第一通道部份,而該第二通道部份維持由該介電材料覆蓋;蝕刻該第一通道部份以形成非主動奈米佈線;蝕刻該介電材料以曝露該第二通道部份;以及形成圍繞該第二通道部份的閘極結構以形成主動奈米佈線。
  13. 如申請專利範圍第12項之方法,其中,蝕刻該第一通道部份移除該通道區內的實質上全部該上奈米佈線。
  14. 如申請專利範圍第12項之方法,又包括:形成該源極區和該汲極區內的該垂直堆疊的源極/汲極接點。
  15. 如申請專利範圍第12項之方法,又包括:蝕刻除掉該源極區和該汲極區中的該垂直堆疊以曝露該下奈米佈線下方的半導體表面;以及從該半導體表面生成單晶半導體材料,以形成同質源極/汲極區,其中,該同質源極/汲極區形成該第一通道部份的接點。
  16. 如申請專利範圍第12項之方法,又包括:蝕刻掉該源極區和該汲極區中至少之一中的該犠牲材料,以曝露該主動奈米佈線的主動源極/汲極部份;以及形成纏繞該主動源極/汲極部份的金屬接點。
  17. 一種半導體裝置的製造方法,包括:設置基底,該基底具有:奈米佈線及犠牲材料的交錯層之垂直堆疊,其中,一奈米佈線是下奈米佈線以及一奈米佈線是上奈米佈線,閘極結構,纏繞該垂直堆疊,其中,該閘極結構界定該裝置的通道區,以及源極區和汲極區,在該通道區的相對側上;在該源極/汲極區中至少之一內蝕刻接點開口以曝露該上奈米佈線的第一源極/汲極部份以及該下奈米佈線的第二源極/汲極部份,其中,該犠牲材料從該第一源極/汲極部份與該第二源極/汲極部份之間移除;在該接點開口中形成介電材料,其中,該介電材料覆蓋該第二源極/汲極部份,而該一源極/汲極部份維持曝露在該接點開口內;以及在該接點開口中形成接點,其中,該接點纏繞該第一源極/汲極部份。
  18. 如申請專利範圍第17項之方法,其中,在該接點開口中形成介電材料又包括:覆蓋地沉積該介電材料以填充該接點開口;以及蝕刻該接點開口內的該介電材料,以曝露該第一源極/汲極部份。
  19. 如申請專利範圍第17項之方法,其中,該閘極結構包括閘極介電質及閘極電極。
  20. 如申請專利範圍第17項之方法,又包括:在形成該接點之後,移除該閘極結構;以及形成功能閘極。
TW101148337A 2011-12-30 2012-12-19 半導體裝置及其製造方法 TWI487113B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/068239 WO2013101230A1 (en) 2011-12-30 2011-12-30 Variable gate width for gate all-around transistors

Publications (2)

Publication Number Publication Date
TW201344909A TW201344909A (zh) 2013-11-01
TWI487113B true TWI487113B (zh) 2015-06-01

Family

ID=48698462

Family Applications (3)

Application Number Title Priority Date Filing Date
TW101148337A TWI487113B (zh) 2011-12-30 2012-12-19 半導體裝置及其製造方法
TW104108657A TWI544641B (zh) 2011-12-30 2012-12-19 半導體裝置及其製造方法
TW105116643A TWI589517B (zh) 2011-12-30 2012-12-19 半導體裝置及其製造方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW104108657A TWI544641B (zh) 2011-12-30 2012-12-19 半導體裝置及其製造方法
TW105116643A TWI589517B (zh) 2011-12-30 2012-12-19 半導體裝置及其製造方法

Country Status (5)

Country Link
US (2) US9590089B2 (zh)
CN (1) CN104054181B (zh)
DE (1) DE112011106049B4 (zh)
TW (3) TWI487113B (zh)
WO (1) WO2013101230A1 (zh)

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101595637B1 (ko) * 2011-04-01 2016-02-18 인텔 코포레이션 벡터 친숙형 명령어 형식 및 그의 실행
US8735869B2 (en) * 2012-09-27 2014-05-27 Intel Corporation Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates
US8969145B2 (en) * 2013-01-19 2015-03-03 International Business Machines Corporation Wire-last integration method and structure for III-V nanowire devices
US9059095B2 (en) 2013-04-22 2015-06-16 International Business Machines Corporation Self-aligned borderless contacts using a photo-patternable dielectric material as a replacement contact
US9082788B2 (en) * 2013-05-31 2015-07-14 Stmicroelectronics, Inc. Method of making a semiconductor device including an all around gate
US9401429B2 (en) * 2013-06-13 2016-07-26 United Microelectronics Corp. Semiconductor structure and process thereof
US8841189B1 (en) * 2013-06-14 2014-09-23 International Business Machines Corporation Transistor having all-around source/drain metal contact channel stressor and method to fabricate same
US9219153B2 (en) * 2013-08-21 2015-12-22 Globalfoundries Inc. Methods of forming gate structures for FinFET devices and the resulting semiconductor products
KR102138871B1 (ko) * 2013-09-27 2020-07-28 인텔 코포레이션 Iii-v족 재료 능동 영역과 그레이딩된 게이트 유전체를 갖는 반도체 디바이스
US9263338B2 (en) * 2013-10-23 2016-02-16 Stmicroelectronics, Inc. Semiconductor device including vertically spaced semiconductor channel structures and related methods
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
WO2015094239A1 (en) * 2013-12-18 2015-06-25 Intel Corporation Heterogeneous layer device
US9508712B2 (en) * 2014-01-02 2016-11-29 Globalfoundries Inc. Semiconductor device with a multiple nanowire channel structure and methods of variably connecting such nanowires for current density modulation
US9214553B2 (en) 2014-03-07 2015-12-15 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US9412822B2 (en) 2014-03-07 2016-08-09 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US10305019B1 (en) 2014-03-28 2019-05-28 Intel Corporation Piezoelectric devices fabricated in packaging build-up layers
KR102167517B1 (ko) * 2014-03-28 2020-10-19 인텔 코포레이션 수직 반도체 디바이스들을 제조하기 위한 종횡비 트래핑(art)
US9400862B2 (en) 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
US10037397B2 (en) * 2014-06-23 2018-07-31 Synopsys, Inc. Memory cell including vertical transistors and horizontal nanowire bit lines
US9711596B2 (en) * 2014-06-24 2017-07-18 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device including a semiconductor sheet interconnecting a source region and a drain region
US9306019B2 (en) * 2014-08-12 2016-04-05 GlobalFoundries, Inc. Integrated circuits with nanowires and methods of manufacturing the same
US9698025B2 (en) 2014-09-04 2017-07-04 Globalfoundries Inc. Directed self-assembly material growth mask for forming vertical nanowires
US10186577B2 (en) * 2014-09-04 2019-01-22 Globalfoundries Inc. Multiple directed self-assembly material mask patterning for forming vertical nanowires
US9865682B2 (en) * 2014-09-04 2018-01-09 Globalfoundries Inc. Directed self-assembly material etch mask for forming vertical nanowires
US9318553B1 (en) * 2014-10-16 2016-04-19 International Business Machines Corporation Nanowire device with improved epitaxy
US9614056B2 (en) * 2014-10-28 2017-04-04 Globalfoundries Inc. Methods of forming a tri-gate FinFET device
CN105573542B (zh) * 2014-11-07 2018-07-24 宸鸿光电科技股份有限公司 制作纳米级导电薄膜的方法及其触控显示装置
US20160141360A1 (en) * 2014-11-19 2016-05-19 International Business Machines Corporation Iii-v semiconductor devices with selective oxidation
US9461114B2 (en) * 2014-12-05 2016-10-04 Samsung Electronics Co., Ltd. Semiconductor devices with structures for suppression of parasitic bipolar effect in stacked nanosheet FETs and methods of fabricating the same
CN105789048A (zh) * 2014-12-22 2016-07-20 中国科学院微电子研究所 半导体器件制造方法
EP3238243B1 (en) * 2014-12-26 2021-08-25 Intel Corporation High mobility nanowire fin channel on silicon substrate formed using sacrificial sub-fin
US10097182B2 (en) * 2014-12-31 2018-10-09 Stmicroelectronics, Inc. Integrated circuit layout wiring for multi-core chips
US10102327B2 (en) 2014-12-31 2018-10-16 Stmicroelectronics, Inc. Integrated circuit layout wiring for multi-core chips
US9502414B2 (en) 2015-02-26 2016-11-22 Qualcomm Incorporated Adjacent device isolation
US9780166B2 (en) 2015-03-30 2017-10-03 International Business Machines Corporation Forming multi-stack nanowires using a common release material
US9349860B1 (en) * 2015-03-31 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistors and methods of forming same
US20160372600A1 (en) * 2015-06-19 2016-12-22 International Business Machines Corporation Contact-first field-effect transistors
EP3314637A4 (en) * 2015-06-27 2019-05-15 INTEL Corporation INTEGRATION METHOD FOR MULTI-LEVEL FIELD-EFFECT FIELD-EFFECT TRANSISTOR PRECISELY-CONTROLLED
CN106409907B (zh) * 2015-08-03 2021-06-08 三星电子株式会社 用于半导体装置的堆叠件及其形成方法
CN106504991B (zh) * 2015-09-03 2021-08-27 应用材料公司 用于制造半导体应用的水平全环栅极器件的纳米线的方法
US9437501B1 (en) * 2015-09-22 2016-09-06 International Business Machines Corporation Stacked nanowire device width adjustment by gas cluster ion beam (GCIB)
KR102373620B1 (ko) 2015-09-30 2022-03-11 삼성전자주식회사 반도체 장치
US9685564B2 (en) 2015-10-16 2017-06-20 Samsung Electronics Co., Ltd. Gate-all-around field effect transistors with horizontal nanosheet conductive channel structures for MOL/inter-channel spacing and related cell architectures
CN106611793B (zh) * 2015-10-21 2021-07-06 三星电子株式会社 应变堆叠的纳米片fet和/或量子阱堆叠的纳米片
US9496263B1 (en) * 2015-10-23 2016-11-15 International Business Machines Corporation Stacked strained and strain-relaxed hexagonal nanowires
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9735274B2 (en) 2015-11-20 2017-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including a stacked wire structure
US10164121B2 (en) * 2015-11-25 2018-12-25 Samsung Electronics Co., Ltd. Stacked independently contacted field effect transistor having electrically separated first and second gates
CN105489654A (zh) * 2015-12-01 2016-04-13 商丘师范学院 纳米线晶体管及其制造方法
KR102434993B1 (ko) 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
US9431301B1 (en) 2015-12-10 2016-08-30 International Business Machines Corporation Nanowire field effect transistor (FET) and method for fabricating the same
US9548381B1 (en) * 2015-12-14 2017-01-17 Globalfoundries Inc. Method and structure for III-V nanowire tunnel FETs
KR102409962B1 (ko) 2015-12-16 2022-06-16 삼성전자주식회사 반도체 장치
DE112015007207T5 (de) * 2015-12-22 2018-09-13 Intel Corporation Nanodrahttransistoren mit eingebetteten dielektrischen Abstandshaltern
CN108369948A (zh) 2015-12-23 2018-08-03 英特尔公司 用于改进的静电学的非平面igzo器件的制造
FR3046243B1 (fr) * 2015-12-24 2017-12-22 Commissariat Energie Atomique Capteur nw-fet comportant au moins deux detecteurs distincts a nanofil de semi-conducteur
US10157992B2 (en) 2015-12-28 2018-12-18 Qualcomm Incorporated Nanowire device with reduced parasitics
US9660033B1 (en) * 2016-01-13 2017-05-23 Taiwan Semiconductor Manufactuing Company, Ltd. Multi-gate device and method of fabrication thereof
CN105679662B (zh) * 2016-01-19 2018-11-27 中国科学院微电子研究所 一种堆叠式围栅纳米线器件假栅电极制备方法
KR102461174B1 (ko) * 2016-02-26 2022-11-01 삼성전자주식회사 반도체 소자
KR102413782B1 (ko) * 2016-03-02 2022-06-28 삼성전자주식회사 반도체 장치
US9960232B2 (en) * 2016-05-09 2018-05-01 Samsung Electronics Co., Ltd. Horizontal nanosheet FETs and methods of manufacturing the same
US11004985B2 (en) * 2016-05-30 2021-05-11 Samsung Electronics Co., Ltd. Semiconductor device having multi-thickness nanowire
KR20170135115A (ko) * 2016-05-30 2017-12-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102506426B1 (ko) * 2016-06-07 2023-03-08 삼성전자주식회사 반도체 소자
CN107623033A (zh) * 2016-07-13 2018-01-23 中芯国际集成电路制造(上海)有限公司 多沟道全包围栅极器件及其制造方法
US10026821B2 (en) 2016-08-30 2018-07-17 Stmicroelectronics (Crolles 2) Sas All-around gate field-effect transistor
FR3055469A1 (fr) * 2016-08-30 2018-03-02 St Microelectronics Crolles 2 Sas Transistor a effet de champ a grille entourante
FR3055470B1 (fr) * 2016-08-30 2018-09-14 St Microelectronics Crolles 2 Sas Transistor a effet de champ a grille entourante
US10069015B2 (en) * 2016-09-26 2018-09-04 International Business Machines Corporation Width adjustment of stacked nanowires
US10340340B2 (en) * 2016-10-20 2019-07-02 International Business Machines Corporation Multiple-threshold nanosheet transistors
US9853114B1 (en) * 2016-10-24 2017-12-26 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US10312229B2 (en) 2016-10-28 2019-06-04 Synopsys, Inc. Memory cells including vertical nanowire transistors
KR102393744B1 (ko) 2016-11-14 2022-05-02 도쿄엘렉트론가부시키가이샤 나노와이어 fet 디바이스를 위한 게이트 스페이서를 형성하는 방법
US10290546B2 (en) * 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10886268B2 (en) 2016-11-29 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with separated merged source/drain structure
CN108231590B (zh) * 2016-12-09 2023-03-14 Imec 非营利协会 水平纳米线半导体器件
US10199485B2 (en) * 2017-01-18 2019-02-05 United Microelectronics Corp. Semiconductor device including quantum wires
US10068794B2 (en) * 2017-01-31 2018-09-04 Advanced Micro Devices, Inc. Gate all around device architecture with hybrid wafer bond technique
US10050107B1 (en) * 2017-02-13 2018-08-14 International Business Machines Corporation Nanosheet transistors on bulk material
CN108538914A (zh) * 2017-03-02 2018-09-14 中芯国际集成电路制造(上海)有限公司 场效应晶体管及其制作方法
EP3369702A1 (en) * 2017-03-03 2018-09-05 IMEC vzw Internal spacers for nanowire semiconductor devices
US10103241B2 (en) 2017-03-07 2018-10-16 Nxp Usa, Inc. Multigate transistor
US10269983B2 (en) * 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
US9984936B1 (en) * 2017-07-17 2018-05-29 Globalfoundries Inc. Methods of forming an isolated nano-sheet transistor device and the resulting device
US10297508B2 (en) * 2017-08-31 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10170484B1 (en) * 2017-10-18 2019-01-01 Globalfoundries Inc. Integrated circuit structure incorporating multiple gate-all-around field effect transistors having different drive currents and method
US10867866B2 (en) 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10868127B2 (en) * 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
US11380803B2 (en) 2017-10-30 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
CN109755290B (zh) * 2017-11-03 2022-07-19 中芯国际集成电路制造(上海)有限公司 纳米线晶体管及其制备方法
CN109755312B (zh) * 2017-11-03 2022-03-25 中芯国际集成电路制造(上海)有限公司 纳米线晶体管及其制备方法
US10304832B1 (en) 2017-11-16 2019-05-28 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors and method
US10192819B1 (en) * 2017-11-16 2019-01-29 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors
US10090193B1 (en) 2017-11-16 2018-10-02 Globalfoundries Inc. Integrated circuit structure incorporating a stacked pair of field effect transistors and a buried interconnect and method
US10586853B2 (en) * 2017-11-27 2020-03-10 International Business Machines Corporation Non-planar field effect transistor devices with wrap-around source/drain contacts
KR102437286B1 (ko) * 2017-11-30 2022-08-30 삼성전자주식회사 반도체 소자
EP3729514A4 (en) * 2017-12-20 2021-07-07 INTEL Corporation TRANSISTOR WITH INSULATION UNDER THE SOURCE AND DRAIN
US10727320B2 (en) * 2017-12-29 2020-07-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of manufacturing at least one field effect transistor having epitaxially grown electrodes
US10431663B2 (en) * 2018-01-10 2019-10-01 Globalfoundries Inc. Method of forming integrated circuit with gate-all-around field effect transistor and the resulting structure
WO2019139615A1 (en) * 2018-01-12 2019-07-18 Intel Corporation Stacked thin film transistors with nanowires
US10461078B2 (en) * 2018-02-26 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Creating devices with multiple threshold voltage by cut-metal-gate process
US11195796B2 (en) 2018-05-08 2021-12-07 Mediatek Inc. Semiconductor device structure and method for forming the same
CN110648915B (zh) * 2018-06-27 2023-03-21 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11031395B2 (en) * 2018-07-13 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high performance MOSFETs having varying channel structures
US10403738B1 (en) * 2018-07-20 2019-09-03 Varian Semiconductor Equipment Associates, Inc. Techniques for improved spacer in nanosheet device
KR102516879B1 (ko) * 2018-08-17 2023-03-31 삼성전자주식회사 다양한 선폭을 가지는 반도체 소자 및 이의 제조 방법
US10886368B2 (en) 2018-08-22 2021-01-05 International Business Machines Corporation I/O device scheme for gate-all-around transistors
WO2020046710A2 (en) * 2018-08-24 2020-03-05 Matthew Hartensveld Nanowire light emitting switch devices and methods thereof
KR102537527B1 (ko) * 2018-09-10 2023-05-26 삼성전자 주식회사 집적회로 소자
US12002810B2 (en) * 2018-09-28 2024-06-04 Intel Corporation Gate-all-around integrated circuit structures having depopulated channel structures using bottom-up approach
US11101360B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10665669B1 (en) 2019-02-26 2020-05-26 Globalfoundries Inc. Insulative structure with diffusion break integral with isolation layer and methods to form same
CN109904234B (zh) * 2019-03-01 2022-07-26 中国科学院微电子研究所 一种纳米线围栅器件制造方法
US10811322B1 (en) 2019-04-10 2020-10-20 International Business Machines Corporation Different gate widths for upper and lower transistors in a stacked vertical transport field-effect transistor structure
KR20200135662A (ko) 2019-05-24 2020-12-03 삼성전자주식회사 반도체 장치
KR20210000529A (ko) * 2019-06-25 2021-01-05 삼성전자주식회사 집적 회로 반도체 소자
US10998311B2 (en) 2019-06-28 2021-05-04 International Business Machines Corporation Fabricating gate-all-around transistors having high aspect ratio channels and reduced parasitic capacitance
US11777013B2 (en) * 2019-06-28 2023-10-03 Intel Corporation Channel formation for three dimensional transistors
US11088246B2 (en) * 2019-07-18 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
US11387319B2 (en) * 2019-09-11 2022-07-12 International Business Machines Corporation Nanosheet transistor device with bottom isolation
US11088288B2 (en) 2019-09-13 2021-08-10 International Business Machines Corporation Stacked-nanosheet semiconductor structures with support structures
CN110690290B (zh) * 2019-09-18 2020-12-22 华东师范大学 一种非对称栅氧结构的纳米片环栅场效应晶体管
CN110931514B (zh) * 2019-11-29 2022-04-08 云谷(固安)科技有限公司 阵列基板和显示面板
US11563116B2 (en) 2020-03-16 2023-01-24 Samsung Electronics Co., Ltd. Vertical type transistor, inverter including the same, and vertical type semiconductor device including the same
US11532617B2 (en) 2020-04-07 2022-12-20 Mediatek Inc. Semiconductor structure and method of forming the same
US11348919B2 (en) * 2020-06-25 2022-05-31 Intel Corporation Gate-all-around integrated circuit structures having depopulated channel structures using selective bottom-up approach
US11322505B2 (en) * 2020-06-30 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric random access memory devices and methods
US11245036B1 (en) * 2020-07-21 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Latch-up prevention
CN112018186B (zh) * 2020-09-07 2024-05-17 中国科学院微电子研究所 带自对准隔离部的纳米线/片器件及制造方法及电子设备
US11854960B2 (en) 2021-01-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including decoupling capacitors and methods of manufacturing thereof
US11588018B2 (en) * 2021-01-28 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with nanostructure and method for forming the same
TWI813024B (zh) * 2021-09-23 2023-08-21 旺宏電子股份有限公司 三維記憶體元件的形成方法
CN117580357A (zh) * 2022-08-04 2024-02-20 长鑫存储技术有限公司 半导体结构的形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060024874A1 (en) * 2004-07-30 2006-02-02 Eun-Jung Yun Methods of forming a multi-bridge-channel MOSFET
US20100207208A1 (en) * 2009-02-17 2010-08-19 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US20100295021A1 (en) * 2009-05-21 2010-11-25 International Business Machines Corporation Single Gate Inverter Nanowire Mesh
CN102034863A (zh) * 2009-09-28 2011-04-27 中芯国际集成电路制造(上海)有限公司 半导体器件、含包围圆柱形沟道的栅的晶体管及制造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7495942B2 (en) * 2004-08-13 2009-02-24 University Of Florida Research Foundation, Inc. Nanoscale content-addressable memory
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
DE602005023597D1 (de) * 2005-07-08 2010-10-28 St Microelectronics Srl Verfahren zur Realisierung einer elektrischen Verbindung in einer elektronischen Halbleitervorrichtung zwischen einem nanometrischen Schaltungsarchitektur und elektronischen Standardkomponenten
US8188551B2 (en) 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20080128760A1 (en) * 2006-12-04 2008-06-05 Electronics And Telecommunications Research Institute Schottky barrier nanowire field effect transistor and method for fabricating the same
US7981772B2 (en) * 2008-12-29 2011-07-19 International Business Machines Corporation Methods of fabricating nanostructures
FR2945891B1 (fr) * 2009-05-19 2011-07-15 Commissariat Energie Atomique Structure semiconductrice et procede de realisation d'une structure semiconductrice.
US8422273B2 (en) 2009-05-21 2013-04-16 International Business Machines Corporation Nanowire mesh FET with multiple threshold voltages
JP4922373B2 (ja) 2009-09-16 2012-04-25 株式会社東芝 半導体装置およびその製造方法
US20110147840A1 (en) 2009-12-23 2011-06-23 Cea Stephen M Wrap-around contacts for finfet and tri-gate devices
DE112011105995B4 (de) * 2011-12-23 2020-08-06 Intel Corporation Herstellungsverfahren für eine nicht-planare Rundum-Gate-Schaltung

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060024874A1 (en) * 2004-07-30 2006-02-02 Eun-Jung Yun Methods of forming a multi-bridge-channel MOSFET
US20100207208A1 (en) * 2009-02-17 2010-08-19 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US20100295021A1 (en) * 2009-05-21 2010-11-25 International Business Machines Corporation Single Gate Inverter Nanowire Mesh
CN102034863A (zh) * 2009-09-28 2011-04-27 中芯国际集成电路制造(上海)有限公司 半导体器件、含包围圆柱形沟道的栅的晶体管及制造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
S. Bangsaruntip, G. M. Cohen, A. Majumdar, Y. Zhang, S. U. Engelmann, N. C. M. Fuller, L. M. Gignac, S. Mittal, J. S. Newbury, M. Guillorn, T. Barwicz, L. Sekaric, M. M. Frank, and J. W. Sleight,High Performance and Highly Uniform Gate-All-Around Silicon Nanowire MOSFETs with Wire Size Dependent Scaling,Electron Devices Meeting (IEDM), 2009 IEEE International,IEEE,2009年12月9日,pp. 1~4 *

Also Published As

Publication number Publication date
TW201700395A (zh) 2017-01-01
TW201539763A (zh) 2015-10-16
CN104054181A (zh) 2014-09-17
TW201344909A (zh) 2013-11-01
TWI589517B (zh) 2017-07-01
WO2013101230A1 (en) 2013-07-04
US9590089B2 (en) 2017-03-07
US20170154960A1 (en) 2017-06-01
DE112011106049B4 (de) 2018-02-15
US10038054B2 (en) 2018-07-31
US20130341704A1 (en) 2013-12-26
DE112011106049T5 (de) 2014-09-18
CN104054181B (zh) 2017-10-20
TWI544641B (zh) 2016-08-01

Similar Documents

Publication Publication Date Title
TWI487113B (zh) 半導體裝置及其製造方法
US10790354B2 (en) Self-aligned gate edge and local interconnect
US10283589B2 (en) Integration methods to fabricate internal spacers for nanowire devices
US9935205B2 (en) Internal spacers for nanowire transistors and method of fabrication thereof
US20140209855A1 (en) Nanowire structures having wrap-around contacts
US20230058558A1 (en) Gate-all-around integrated circuit structures having source or drain structures with epitaxial nubs
TW201608726A (zh) 具有非分離的源極及汲極區域的奈米線結構(二)
US20240178226A1 (en) Fabrication of gate-all-around integrated circuit structures having pre-spacer deposition cut gates
US20240030313A1 (en) Device having ferroelectric or negative capacitance material and method of manufacturing the same, and electronic apparatus
TW202137562A (zh) 具有高電壓厚閘極鰭式場效電晶體裝置的共整合高性能奈米帶電晶體
US20230197713A1 (en) Gate-all-around integrated circuit structures having raised wall structures for epitaxial source or drain region confinement
US11869891B2 (en) Non-planar integrated circuit structures having mitigated source or drain etch from replacement gate process