TWI463568B - 用於熱處理形成於基材上之結構的方法及設備 - Google Patents

用於熱處理形成於基材上之結構的方法及設備 Download PDF

Info

Publication number
TWI463568B
TWI463568B TW096108082A TW96108082A TWI463568B TW I463568 B TWI463568 B TW I463568B TW 096108082 A TW096108082 A TW 096108082A TW 96108082 A TW96108082 A TW 96108082A TW I463568 B TWI463568 B TW I463568B
Authority
TW
Taiwan
Prior art keywords
substrate
region
electromagnetic energy
energy
substrate support
Prior art date
Application number
TW096108082A
Other languages
English (en)
Other versions
TW200741881A (en
Inventor
Abhilash Mayur
Mark Yam
Ajit Balakrishna
Paul Carey
Dean Jennings
Stephen Moffatt
William Schaffer
Alexander N Lerner
Timothy N Thomas
Aaron Muir Hunter
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200741881A publication Critical patent/TW200741881A/zh
Application granted granted Critical
Publication of TWI463568B publication Critical patent/TWI463568B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66659Lateral single gate silicon transistors with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Recrystallisation Techniques (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

用於熱處理形成於基材上之結構的方法及設備
本發明之實施例大體來說係有關於一種製造半導體元件的方法。更明確地說,本發明係針對一種熱處理基材的方法。
積體電路(IC)市場持續需求更大的記憶體容量、更快速的開關速度、以及更小的特徵尺寸。業界對於這些需求所採取的主要因應對策之一是從在大的爐管內的批次處理矽晶圓改變為在小的處理室內的單一晶圓處理。
在此種單一晶圓處理期間,通常會將晶圓加熱至高溫,因此可在該晶圓內定義出的多個IC元件中進行各種化學及物理反應。特別重要的是,IC元件之良好的電性需要退火植入區。退火會從先前製作為非晶質(amorphous)之晶圓區域重新產生更加結晶化的結構,並藉由將其原子併入該基材或晶圓的晶格內來活化摻質。熱處理,例如退火,需要在短時間內提供相對大量的熱能給該晶圓,並且之後快速冷卻該晶圓以終止熱處理。目前使用的熱處理的例子包含快速熱處理(RTP)以及瞬間(impulse或spike)退火。隨然此類製程廣為使用,但目前的技術並非最理想的。其傾向使晶圓溫度攀升過於緩慢並且使晶圓暴露在高溫下過久。這些問題隨著晶圓尺寸增加、開關速度增加、及/或特徵尺寸減少而變得更嚴重。
一般來說,這些熱製程在根據預定的熱配方之控制條件下加熱基材。這些熱配方基本上包括:該半導體基材必須被加熱至一溫度;溫度的改變速率,即溫度上升和下降速率;以及該熱處理系統維持在該特定溫度下的時間。例如,熱配方可能要求將該基材從室溫加熱至1200℃或更高的數個確定溫度,而其製程時間高達60秒,或更多。
此外,為了滿足某些目標,例如材料在不同半導體基材區域之間的最小交互擴散,必須限制每一個半導體基材承受高溫的時間。為了達到此目的,溫度改變速率(包括溫度上升及下降兩者)較佳地皆要高。換句話說,傾向於能夠在儘可能短的時間內將該基材的溫度從低溫調整至高溫,反之亦然。
針對高溫度改變速率的要求導致快速熱製程(RTP)的發展,在此典型的升溫速率範圍在200至400℃/秒,與習知爐管的5-15℃/分鐘相比。典型的降溫速率範圍在80至150℃/秒。RTP之一缺點在於其加熱整個晶圓,即使IC元件僅位於該矽晶圓頂部數微米處。這限制了加熱及冷卻晶圓的速度。此外,一旦整個晶圓皆處於高溫,熱只能消散至周圍空間或結構內。因此,今日技藝中的RTP系統艱難的達到400℃/秒的升溫速率以及150℃/秒的降溫速率。
為了解決習知RTP型製程發生的某些問題,使用若干種掃描雷射退火技術來使基材表面退火。一般來說,這些技術傳送固定的能量通量至基材表面的一個小區域上,且同時在相對於傳送至該小區域的能量移動、或掃描基材。因為嚴格的均勻度要求以及最小化基材表面上掃描區域的部分重疊之複雜度,此類型製程在熱處理形成於基材表面上的接觸級元件上是無效的。
鑒於上方所述,需要一種以高升溫及降溫速率退火半導體基材之方法。這會提供較小元件製造上的較佳控制,進而導致效能的增進。
本發明大體來說提供一種熱處理基材的方法,包含:調整由一第一材料形成的一基材內之一或多個區域,其係藉由在一或多個區域內設置一第二材料,其中以第二材料調整一基材內的一或多個區域的步驟係適於降低一或多個區域內所含的第一材料之熔點;在基材內之一或多個區域內設置一第三材料;以及傳送一電磁能量至一基材表面,其係與一或多個區域為熱連通,其中電磁能量係適於使一或多個區域內的第一材料熔化。
本發明之實施例更提供一種熱處理基材的方法,包含:提供具有一或多個經過調整的第一區域之基材,因此每一個第一區域內所含之材料的熔點較基材之一第二區域內所含之材料的熔點來得低,其中該第二區域及每一個第一區域通常係毗鄰基材表面;在基材表面上沉積一塗層,其中該塗層具有與基材表面不同的吸收及反射係數;從基材表面除去一部分的塗層,其通常係毗鄰每一個第一區域或第二區域;以及傳送一電磁能量至該基材表面上含有一或多個第一區域及第二區域的地區,其中電磁能量優先熔化一或多個第一區域內的材料。
本發明之實施例更提供一種熱處理半導體基材的方法,包含:提供由一基材材料形成之基材;在基材表面上形成由一第一材料組成的埋藏區,其中第一材料具有一第一熱傳導係數;在埋藏區上沉積由一第二材料組成的第二層,其中第二材料擁有一第二熱傳導係數;在基材表面上形成一半導體元件,其中所形成的半導體元件之一部分含有第二層的一部分;以及傳送一電磁能量至一基材表面,其係與第二層為熱連通,其中該電磁能量係適於使第二材料與埋藏區為熱連通的部分達到其熔點。
本發明之實施例更提供一種熱處理基材的方法,包含:將一基材置於一基材支撐件上,其中基材具有形成在基材表面上之複數個特徵結構,而基材表面含有一第一區域以及一第二區域;在第一及第二區域上沉積一塗層,其中形成該塗層的材料具有一預期熱容;除去一部分的塗層,而使第一區域上的塗層具有一預期厚度,其中在除去一部分的塗層之後該基材表面上的平均熱容通常是均勻的;以及傳送一電磁能量至含有該第一區域及該第二區域的地區,其中該電磁能量使第一區域內的材料熔化。
本發明之實施例更提供一種熱處理基材的方法,包含:提供一基材,其具有形成在基材表面上的第一特徵結構及第二特徵結構,其中該第二特徵結構含有一第一區域及一第二區域;將基材置於一基材支撐件上;在第一及第二特徵結構上沉積一塗層;除去一部分的塗層,而使該塗層配置在該第二區域上,並且暴露出該第一特徵結構的表面;以及傳送一電磁能量至含有該第一特徵結構及該第二特徵結構的地區,其中該電磁能量使該第二特徵結構的第一區域內的材料熔化。
本發明之實施例更提供一種熱處理基材的方法,包含:以一或多種預期波長傳送一.第一電磁能量至基材後表面,以使通常毗鄰該基材前表面的一或多個區域內的材料熔化,其中該後表面及該前表面係位於該基材的相反側,並且該基材前表面包含形成在其上的一或多個半導體元件。
本發明之實施例更提供一種熱處理基材的方法,包含:傳送一第一電磁能量至一基材表面上之一第一區域,其中該第一電磁能量使第一區域內的基材材料熔化,並且使該結晶基材材料變為非晶質;在該非晶質之第一區域內植入一第二材料;以及傳送一第二電磁能量至第一區域,其中第二電磁能量使第一區域內的材料熔化。
本發明之實施例更提供一種熱處理半導體基材之設備,包含:一基材支撐件,具有一基材支撐表面;一加熱元件,其係適於加熱配置在該基材支撐件上的基材;以及一強光源,其係適於傳送一光線至設置在基材支撐表面上的基材表面上之一區域。
本發明之實施例更提供一種熱處理半導體基材之設備,包含:一第一強光源,其係適於傳送一第一能量至配置在基材支撐表面上的基材表面上之一區域;一第二強光源,其係適於傳送一第二能量至設置在基材支撐表面上的基材表面上之該區域;以及一控制器,其係適於監控傳送至該基材表面上之該區域的第一能量,並且控制傳送該第一及第二能量之間的時間,以及該第二能量的強度,以在該區域內達到預期溫度。
本發明之實施例更提供一種熱處理半導體基材之設備,包含:一基材支撐件,具有一基材支撐表面以及形成在基材支撐件內之一開口;以及一第一光源,其係適於透過形成在該基材支撐件內之開口傳送一光線至該基材之一第一地區,以及與基材前表面相對的基材後表面,其中基材的前表面含有一或多個形成在其上之半導體元件,並且該光線量係適於熔化包含在該第一地區內之一區域。
本發明之實施例更提供一種熱處理基材的方法,包含:將一基材設置在一基材支撐件上;以及傳送複數個電磁能量脈衝至一基材表面上之第一地區,其係與基材之一第一區域為熱連通。其中傳送複數個電磁能量脈衝的步驟包含:傳送一第一(電磁能量)脈衝至基材表面;傳送一第二(電磁能量)脈衝至基材表面;以及調整第一脈衝起始及第二脈衝起始之間的時間,而使包含在第一區域內的材料熔化。
本發明之實施例更提供一種熱處理基材的方法,包含:將一基材設置在一基材支撐件上;以及傳送電磁能量至一基材表面上,其係與基材之一第一區域及一第二區域為熱連通。其中傳送電磁能量的步驟包含:以一第一波長傳送一第一電磁能量,以優先熔化包含在第一區域內而非第二區域內之材料;以及以一第二波長傳送一第二電磁能量,以優先熔化包含在第一區域內而非第二區域內之材料,其中傳送第二電磁能量以及傳送第一電磁能量之時間係重疊。
本發明之實施例更提供一種熱處理基材的方法,包含:將一基材設置在一基材支撐件上;以及傳送電磁能量至一基材表面上,其係與基材之一第一區域及一第二區域為熱連通,其中傳送電磁能量的步驟包含:以時間為函數調整電磁能量脈衝的波形,以優先熔化第一區域內所含的材料。
本發明一般來說改善在一基材上製造半導體元件之製程內所用的植入退火步驟的效能。通常,本發明之方法可用來優先退火所選之基材區域,其係藉由傳送足夠的能量至所選區域以使其再熔化然後凝固。
一般來說,在此所用之「基材」一詞可由擁有某程度自然導電能力的材料或是可經調整以提供導電能力的材料形成。典型的基材材料包含,但不限於,半導體,例如矽(Si)及鍺(Ge),以及展現半導體特性的其他化合物。此類半導體化合物通常包含Ⅲ-V族和Ⅱ-Ⅵ族的化合物。代表性的Ⅲ-V族半導體化合物包含,但不限於,砷化鎵(GaAs)、磷化鎵(GaP)、以及氮化鎵(GaN)。一般來說,半導體基材一詞包含塊體(bulk)半導體基材以及擁有沉積層在其上之基材。為此,運用本發明方法處理的某些半導體基材內的沉積層係利用同質磊晶(例如,在矽層上的矽)或異質磊晶(例如,在矽層上的砷化鎵)成長。例如,本發明方法可與利用異質磊晶方法形成的砷化鎵及氮化鎵基材並用。同樣地,也可運用本發明方法在形成在絕緣基材(例如,絕緣層上覆矽(SOI)基材)上之相對薄的結晶矽層上形成積體電路,例如薄膜電晶體(TFTs)。
在本發明之一實施例中,傳送一能量至該基材表面,以優先熔化該基材之某些預期區域,以除去先前製程步驟造成的不欲保留的損害(例如,來自植入製程的晶體損傷),使摻質更平均地分佈在該基材的各個區域內,及/或活化該基材的各個區域。因為摻質原子在該基材之熔化區內有增加的擴散速率以及溶解度,因此該優先熔化製程使該等摻質可更平均地分佈在該熔化區內。一熔化區的形成因而容許:1)該等摻質原子更均勻地重新分佈,2)除去先前製程步驟造成的缺陷,以及3)形成擁有超陡峭(hyper-abrupt)摻質濃度的區域。擁有超陡峭摻質濃度的區域內之摻質濃度梯度是非常大的(例如,<2奈米/十進位(decade)之濃度),因為濃度在該元件中的不同區域間快速改變。
使用在此所述技術容許形成摻質濃度比習知元件高的接面,此乃因為所形成接面之共同的負面特性(例如因為摻雜程度的提高而在該基材材料內造成缺陷濃度的增加)可利用在此所述之處理技術而輕易地降低至可接受程度。較高的摻質程度以及摻質濃度的急遽改變可因而增加基材各個區域的導電度,進而改善元件速度,而不會對元件產量造成負面影響,同時使得摻質擴散進入該基材的各個區域之情形最小化。所產生的較高摻質濃度增加所形成元件的導電度並改善其效能。通常,用RTP製程形成的元件不會使用大於約1 x 1015 原子/平方公分的摻質濃度,因為較高摻質濃度無法在典型的RTP製程期間輕易地擴散進入該基材的塊體材料內,並且反而會造成摻質原子的群集以及其他類型的缺陷。使用在此所述之一或多種退火製程的實施例,可成功整合多許多的摻質(高達5-10倍多的摻質,即1 x 1016 原子/平方公分)至預期的基材表面上,因為該等基材區域係經優先熔化,故該等摻質會在該等液化區域固化之前更平均地分佈在該液體中。
第1圖示出本發明之一實施例的等角視圖,其中一能量來源20係適於投射一能量至該基材10的預定區域,或是退火區域12上,以優先熔化該退火區域12的某些預期區域。在一範例中,如第1圖所示者,僅有該基材10的一或多個預定區域,例如退火區域12,在任何時間點皆暴露在來自該能量來源20的照射下。在本發明之一實施態樣中,該基材10的多個區域依序暴露在來自該能量來源20之預期能量下,以使該基材10的預期區域優先熔化。一般來說,該基材表面上的該等區域可藉由相對於該電磁輻射來源的輸出移動該基材(例如,習知的X/Y平移台、精密平移台),及/或相對於該基材移動該輻射來源的輸出來依序暴露之。通常,使用一或多個習知電性促動器17(例如,線性馬達、導螺桿及伺服馬達)來控制基材10的移動及定位,而該促動器17可以是一分離的精密平移台(未示出)的一部分。可用來支撐及定位該基材10以及熱交換元件15的習知精密平移台可由加州Rohnert Park的Parker Hannifin公司購得。
在一實施態樣中,該退火區域12係經訂製以符合形成在該基材表面上之晶粒13(例如,第1圖示出40個晶粒),或半導體元件(例如,記憶晶片)的尺寸。在一實施態樣中,該退火區域12的邊界係經對準並訂製尺寸以配合界定每一個晶粒13的邊界之切割線10A(scribe或kurf line)。在一實施例中,在執行該退火製程之前,運用通常可在該基材表面上找到的對準標記和其他習知技術將該基材對準該能量來源20的輸出,因此該退火區域12可適切地與該晶粒13對準。依序安置退火區域12而使其僅在晶粒13之間自然產生的未使用空間/邊界處重疊,例如該等切割線,藉以降低該能量在該基材上該等元件形成的地區內重疊的需要,因此減少該等重疊退火區域之間的製程結果之變異。此技術優於在該基材表面上掃略雷射能重的習知製程,因為其係將重疊限制在晶粒13之間的未使用空間,故嚴格控制相鄰掃描區域的重疊之需要以確保該基材預期區域上的均勻退火並不是問題。相對於使用遍佈該基材的所有地區之相鄰重疊區域的習知掃描退火式方法,本發明將重疊限制在晶粒13之間的未使用空間/邊界也改善製程均勻度結果。因此,從該能量來源20所傳輸之處理該基材之關鍵區域的能量暴露量的不同所造成的製程變異量係被最小化,此乃因為依序安置的退火區域12之間的任何傳輸能量的重疊可以最小化。在一範例中,每一個依序安置的退火區域12係尺寸約22公釐乘約33公釐的矩形區域(例如,面積約726平方公釐)。在一實施態樣中,形成在該基材表面上之每一個依序安置的退火區域12的面積係介於約4平方公釐(例如,2公釐x 2公釐)及約1000平方公釐(例如,25公釐x 40公釐)之間。
該能量來源20通常適於傳輸電磁能量,以優先融化該基材表面的部分預期區域。典型的電磁能量來源包含,但不限於,光學輻射來源(例如雷射)、電子束來源、離子束來源、及/或微波能量來源。在一實施態樣中,該基材10係暴露在來自雷射之能量脈衝下,其以一或多種適當波長發射光線一段預期時間。在一實施態樣中,調整來自該能量來源20的能量脈衝,因此使得傳輸至該退火區域12上的能量及/或在脈衝期間傳輸的能量最佳化,以增強部分預期地區的優先熔化。在一實施態樣中,調整該雷射的波長以使絕大部分的雷射光被配置在該基材10上之矽層吸收。就在含矽基材上執行的雷射退火製程而言,該雷射光波長通常小於約800奈米,並且可以深紫外光(UV)、紅外線(IR)或其他預期波長傳送。在一實施例中,該能量來源20係一強光源,例如雷射,其係適於以約500奈米和約11微米之間的波長傳送光線。在兩種情況中,該退火製程一般在該基材的特定區域上進行一段相對短的時間,例如約一秒或更短的程度。
在一實施態樣中,設定傳送至該基材表面上的能量以使融化深度不會超過該非晶質化植入步驟界定出的非晶深度。較深的熔化深度會促進摻質從該摻雜的非晶層擴散進入未摻雜的熔化層。此種不預期的擴散會劇烈且不利地改變該半導體基材上之電路的電氣特性。在某些退火製程中,能量係經傳送至基材表面一段非常短的時間,以熔化該基材表面至一明確界定的深度,例如少於0.5微米。確實深度係由所製造的電子元件之尺寸決定。
退火製程期間基材的溫度控制
在一實施例中,可能傾向於在熱處理期間藉由將該基材10的表面設置為與一熱交換元件15的基材支撐表面16熱接觸來控制基材溫度,如第1圖所示。該熱交換元件15通常適於在退火製程之前或期間加熱及/或冷卻該基材。在此配置中,該熱交換元件15,例如可由加州聖塔克拉拉的應用材料公司取得的習知基材加熱器,其可用來改善該基材退火區域的製程後特性。通常,該基材10係置於含有該熱交換元件15的製程室(未示出)之一封閉的製程環境內(未示出)。製程期間該基材停留在其內的製程環境可被抽真空或包含有惰性氣體,其在製程期間擁有低的不想要的氣體之分壓,例如氧氣。
在一實施例中,可在執行該退火製程之前先預熱該基材,因此使得達到該熔點所需的能量可最小化,其可減少因為快速加熱及冷卻該基材所引起的任何應力,並且可能也減少該基材再固化地區的缺陷密度。在一實施態樣中,該熱交換元件15含有電阻加熱元件15A及溫度控制器15C,其係適於加熱設置在一基材支撐表面16上的基材。該溫度控制器15C與該控制器21為訊息連通(在後方討論)。在一實施態樣中,可能傾向於將該基材預熱至介於約20℃和約750℃之間的溫度。在一實施態樣中,當該基材係由含矽材料形成時,可能傾向於將該基材預熱至介於約20℃和約500℃之間的溫度。
在另一實施例中,可能傾向於在製程期間冷卻該基材,以減少由於該退火製程期間加諸於基材的能量所造成的任何交互擴散及/或增加熔化之後的再成長速度以增加製程期間各個區域的非晶質化,例如結合第8圖所述者。在一配置中,該熱交換元件15含有一或多個流體通道15B及一低溫冷卻器15D,其係適於冷卻設置在基材支撐表面16上的基材。在一實施態樣中,習知低溫冷卻器15D係與該控制器21為訊息連通,係適於透過該一或多個流體通道15B輸送冷卻流體。在一實施態樣中,可能傾向於將該基材冷卻至介於約-240℃和約20℃之間的溫度。
該控制器21(第1圖)一般係設計來促進在此所述之熱處理技術的控制及自動化,並且通常可包含中央處理單元(CPU)(未示出)、記憶體(未示出)、以及支持電路(或輸入/輸出)(未示出)。該CPU可以是工業裝置中用來控制各種製程和硬體(例如,習知電磁輻射偵測器、馬達、雷射硬體)以及監控該製程(例如,基材溫度、基材支撐溫度、來自該脈衝雷射之能量、偵測器訊號)的電腦處理器之任何形式的一種。該記憶體(未示出)與該CPU連接,並且可以是一或多種可輕易取得的記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、或任何其他類型的數位儲存器,原位或遠端的。軟體指令及資料可以編碼並儲存在該記憶體內以指示該CPU。該等支持電路(未示出)也與該CPU連接,以利用習知方法支持該處理器。該等支持電路可包含習知快取(cache)、電源供應器、時鐘電路、輸入/輸出電路、子系統、以及諸如此類者。可由該控制器讀取的程式(或電腦指令)判定要在基材上執行何種製程。較佳地,該程式係可由該控制器讀取的軟體,並包含程式碼以監視及控制該基材位置、每一個電磁脈衝所傳輸的能量、一或多個電磁脈衝的時間點、以時間為函數的每一個脈衝之強度及波長、該基材各個區域的溫度、及其任何組合。
選擇性熔化
在最小化所形成元件之各個區域之間的交互擴散、除去基材材料中的缺陷、以及使摻質更平均地分佈在該基材的各個區域內的努力中,在該基材的各個區域上執行一或多個製程步驟,以使該些區域在該退火製程期間暴露於來自一能量來源的能量下時優先熔化。此後將調整該基材的第一區域之特性,以使第一、二區域在該退火製程期間都暴露在大約相同的能量下時,第一區域比該基材的第二區域優先熔化,而上述之調整製程係於後描述為在這兩個區域之間造成熔點反差。一般來說,可經調整以使該基材的預期區域能夠優先熔化的基材特性包含:植入、趨入及/或共沉積該基材預期區域內的一或多個元件、在該基材的預定區域造成物理傷害,以及使所形成的元件結構最佳化以在該基材的預期區域內產生熔點反差。於下將輪流探討每一種調整製程。
第2A-2C圖示出在含有本發明之一實施例的元件製造程序之不同階段下的電子元件200的剖面圖。第2A圖示出形成在基材10表面205上的典型電子元件200的側視圖,而其係擁有兩個摻雜區域201(例如摻雜區域201A-201B)(例如MOS元件的源極和汲極區域)、一閘極215,以及一閘極氧化層216。該等摻雜區域201A-201B通常係藉由植入預期摻質材料至該基材10表面205內形成。一般來說,典型的n型摻質(施體型物種)可包含砷(As )、磷(P)、及銻(Sb),而典型的P型摻質(受體型物種)可包含硼(B)、鋁(Al)、及銦(In),其係經導入該半導體基材10內以形成該等摻雜區域201A-201B。第3A圖示出以深度為函數之摻質材料濃度的範例(例如曲線C1 ),而該深度係從表面205開始沿著延伸通過該摻雜區域201A的路徑203而進入該基材10。該摻雜區域201A在該植入製程之後擁有接面深度D1 ,其可被定義為摻質濃度降至可忽略量的位置。應注意到第2A-2F圖僅欲示出本發明之部分實施態樣,而非旨在限制可利用在此所述的本發明之各個實施例形成的元件類型、結構類型、或元件區域。在一範例中,該等摻雜區域201(例如,MOS元件的源極或汲極區域)可相對於該閘極215(例如,MOS元件的閘極)的位置升高或降低,而不會脫離在此所述之本發明的範圍。隨著半導體元件尺寸縮小,形成在基材10表面205上的電子元件200之結構構件的位置及幾何結構可改變,以改善元件可製造性或元件效能。也應注意到僅針對單一個摻雜區域201A的調整,如第2A-2E圖所示者,並非旨在限制在此所述之本發明的範圍,而僅意欲示出可如何運用本發明實施例來製造半導體元件。
第2B圖示出第2A圖所示之電子元件200在一製程步驟期間的側視圖,其係適於選擇性調整該基材10之不連續區域(例如,調整區210)的特性,在此情況中係含有單一摻雜區域201A的區域,以產生熔點反差。在執行該調整製程後,該調整區210和未調整區211之間會產生熔點反差。在一實施例中,該調整製程包含在該基材表面上沉積一層時添加一材料給該層,其中所併入的材料係適於與該基材材料形成合金而降低該調整區210內的區域202的熔點。在一實施態樣中,所併入的材料係在一磊晶層沉積製程期間加入該沉積層。
在另一實施例中,該調整製程包含植入(見第2B圖的”A”)適於與該基材材料形成合金的材料以降低該調整區210內的區域202的熔點之步驟。在一實施態樣中,該調整製程係適於植入該合金形成材料至深度D2 ,如第2B圖所示。第3B圖示出以深度為函數之摻質材料濃度(例如曲線C1 )以及植入的合金形成材料濃度(例如曲線C2 )的範例,而該深度係從表面205開始沿著路徑203通過該基材10。在一實施態樣中,其中該基材10係由含矽材料形成,並且可使用的植入合金形成材料包含,例如,鍺(Ge)、砷(As)、鎵(Ga)、碳(C)、錫(Sn)、以及銻(Sb)。一般來說,該合金形成材料可以是在該基材基底材料的存在下加熱時,使該調整區210內的區域202的熔點相對於該等未調整區211降低的任何材料。在一實施態樣中,一矽基材區係藉由添加約1%至約20%之間的鍺來調整,以降低該調整和未調整區之間的熔點。咸信添加這些濃度的鍺會降低該調整區相對於該等未調整區的熔點約300℃。在一實施態樣中,形成在矽基材內的區域202包含鍺(Ge)和碳(C),因此會形成Six Gey Cz 合金而降低該區域202相對於該等未調整區211的熔點。在另一實施態樣中,藉由添加約1%或更少的砷來調整矽基材之一區域,以降低該調整及未調整區之間的熔點。
在另一實施例中,該調整製程包含在若干調整區內(例如調整區210)引發某些基材10材料損傷,以損壞該基材的結晶結構,因而使這些區域更形非晶質。引發該基材結晶結構的損傷(例如破壞單晶矽基材)會降低此區域相對於一未受損傷區域之熔點,此乃因為該基材內之原子的鍵結結構改變,因而導致該兩個區域之間的熱力學性質差異。在一實施態樣中,對於第2B圖之調整區210的損傷係藉由以可對該基材表面造成傷害的拋射物(projectile)來轟擊該基材10的表面205(見第2B圖的”A”)來執行。在一實施態樣中,該拋射物係植入含矽基材內的矽(Si)原子,以在該調整區210內造成該區域202的損傷。在另一實施態樣中,對於該基材材料的損傷係利用植入製程、離子束或偏壓電漿以氣體原子轟擊該表面來產生,該氣體原子例如氬(Ar)、氪(Kr)、氙(Xe)或甚至是氮(N2 ),以造成該調整區210之區域202的損傷。在一實施態樣中,該調整製程係適於造成擁有引發的損傷至深度D2 處之區域202,如第2B圖所示。咸信約5 x 1014 及約1 x 1016 /平方公分之間的差排(dislocation)或空缺密度對於產生調整區210相對於未調整區211之間的熔點反差可能是有用的。在一實施態樣中,第3B圖示出以深度為函數之摻質材料濃度(例如,曲線C1 )以及缺陷密度(例如,曲線C2 )的範例,而該深度係從表面205開始沿著路徑203通過該基材10。
應注意到雖然第2A-2B圖示出該調整製程在該摻雜製程之後執行的製程順序,此製程順序並不意欲限制在此所述之本發明的範圍。例如,在一實施例中,傾向於在執行第2A圖所述的摻雜製程之前先執行第2B圖所述之調整製程。
第2C圖示出第2B圖所示之電子元件200的側視圖,其係暴露在發自一能量來源之照射”B”下,例如來自雷射的光學照射。在此步驟期間,橫貫該基材10設置之該(等)調整區(例如,調整區210)和未調整區(例如,211)係暴露在一能量下,其導致該(等)調整區210內的區域202在施加照射”B”之脈衝後選擇性熔化並再固化,而該等未調整區211則維持固態。施加該照射”B”的能量總量、能量密度及持續時間可根據對該區域202的預期深度、用來形成該區域202的材料、用來形成該電子元件200的其他材料、以及所形成的電子元件200內的零組件之熱傳輸特性之了解而來設定之,以優先熔化該等區域202。如第2C和3C圖所示,在暴露於照射”B”下時,該區域202的再熔化及固化使該等摻質原子的濃度(例如,曲線C1 )及合金形成原子濃度(例如,曲線C2 )更均勻地分佈在該區域202內。此外,該區域202和該基材塊體材料221之間的摻質濃度有明確界定的邊界(即,超陡峭接面),因此使得擴散進入該基材塊體材料221內的的不想要之現象最小化。在上面討論的實施例中,其中在該基材10內引發損傷以改善熔點反差,則在再固化之後缺陷濃度(例如,曲線C2 )會優先降至可忽略程度。
熱隔離技術
在另一實施例中,調整所形成元件的不同區域之各種熱性質以使一區域相對於另一個區域優先熔化。在一實施態樣中,該熔點反差係藉由以擁有不同熱傳導係數(k)的材料形成該元件的不同區域來產生。應注意以傳導型態的熱傳輸係由方程式決定:Q=kA △T/△x其中Q是熱流經一主體之時間速率(time rate),k是取決於該材料性質以及材料溫度之熱傳導係數,A是熱所流經的面積,△x是熱通過其間的物質之主體厚度,而△T是溫度傳輸經過其間的溫度差異。因此,因為k是該材料的特性,該基材各個區域內的材料之選擇或調整容許控制熱流進及流出該基材的不同區域,以增加各個區域的熔點反差。換句話說,基材之一區域的材料擁有比其他區域內的材料高的熱傳導係數時,其會在雷射退火製程期間經由傳導流失而喪失較多的熱能,因此,不會達到與熱傳導係數較低的另一個區域所達到之相同的溫度。與熱傳導係數較高的區域緊密接觸的區域可避免熔化,而與熱傳導係數較低的區域緊密接觸的其他區域會在該雷射退火製程期間達到其熔點。藉由控制該電子元件200各個區域的熱傳導係數,可增加熔點反差。可藉由在該電子元件200之各個下方層執行習知沉積、圖案化及蝕刻技術來執行擁有不同熱傳導係數之區域的建立,以產生擁有不同熱傳導係數的該等區域。擁有不同熱傳導係數之該等下方層可利用習知化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、植入製程、以及磊晶沉積技術形成。
第2D圖示出擁有埋藏區224之電子元件200的側視圖,該埋藏區224擁有比該基材塊體材料221低的熱傳導係數。在此例中,一能量來源發射出的照射”B”被該基材表面205吸收,並傳導通過該基材10,因此該埋藏區224上方的區域(例如,摻雜區201A)內的熱流(Q1 )低於來自不擁有較低傳導性的埋藏層之區域的熱流(Q2 )。因此,由於該埋藏區224上方的區域之熱流失低於該基材的其他區域,此區域會比該元件的其他區域達到較高的溫度。藉由控制該能量來源20傳送的能量,該埋藏層上方的區域內的溫度可升高至會造成其相對於其他區域優先熔化的水準。在一實施態樣中,該埋藏區224係由絕緣材料製成,例如二氧化矽(SiO2 )、氮化矽(SiN)、鍺(Ge)、砷化鎵(GaAs)、其組合物或其衍生物。因此雖然欲熔化之區域內的基材材料的實際熔點並未改變,但與該基材表面之其他區域相比仍然有可計量並且可重複的熱行為反差,這使其可選擇性地熔化。在另一實施例中,該埋藏區224可擁有比該基材塊體材料221高的熱傳導係數,其於是可使不擁有該埋藏層的區域可相對於該埋藏層上方的區域優先熔化。
調整表面性質
在一實施例中,改變該基材10各個區域202上的表面之性質以改變一或多個預期區域之間的熔點反差。在一實施態樣中,改變該基材表面之一預期區域的發射率(emissivity)以改變製程期間從該基材表面傳送出的能量。在此例中,發射率比其他區域低的區域會達到較高的製程溫度,此乃因為其無法將接收自能量來源20的吸收能量再次照射出。當執行牽涉到基材表面的熔化之退火製程時,該基材表面所達到的製程溫度可以相當高(例如,矽可達到1414℃),因此改變發射率的結果可對該熔點反差造成極大的影響,因為輻射熱傳輸是主要的熱流失機制。因此,基材表面不同區域的發射率之變異可對該基材各個區域最終達到的溫度有顯著影響。在該退火製程期間,發射率較低的區域可上升至高於熔點,而吸收相同能量的發射率較高的區域則實質上可維持低於熔點。改變各個表面的發射率,或發射率反差,可藉由將低或高發射率塗層選擇性沉積至該基材表面上,及/或調整該基材表面(例如,表面氧化、表面粗糙化)來達成。
在一實施例中,改變該基材表面一或多個區域的反射率以改變該基材10暴露在來自該能量來源的能量下時所吸收的能量。藉由改變該基材表面的反射率,則該基材表面及下方之區域所吸收的能量以及從而該基材可達到的最大溫度會基於反射率而不同。在此例中,反射率較低的表面會比反射率較高的表面更容易熔化。改變基材表面的反射率可藉由將低或高反射係數塗層選擇性沉積至該基材表面上,及/或調整該基材表面(例如,表面氧化、表面粗糙化)來達成。可選擇性施加一高度吸收(非反射)塗層至意欲在該退火製程期間熔化的區域。
第2E圖示出一實施例,其中塗層225係經選擇性沉積,或均勻地沉積然後選擇性移除,而在該基材10表面205上形成發射率及/或反射率與其他區域不同的層。在此例中,可基於該塗層性質而相對於該基材其他區域內所吸收的能量(Q2 )來調整位於該塗層225下方之該摻雜區域201A的熱流(Q1 )。以此方式,從該塗層225的熱流失(Q3 )或反射可相對於從其他區域的熱流失(Q4 )而改變。在一實施態樣中,利用CVD沉積製程在該基材表面上沉積含碳塗層。
第2F圖示出一實施例,其中在該基材表面上,例如在第2A圖所示的元件上,沉積有改變該基材表面的光學性質(例如,發射率、反射率)之塗層226,然後除去一部分的材料以產生擁有不同光學性質的區域。例如,如第2F圖所示者,該塗層226已從該閘極215表面移除,因而使該塗層226表面和該閘極表面205暴露在該入射照射”B”下。在此例中,該塗層226和該閘極表面205具有不同的光學性質,例如不同的發射率及/或不同的反射率。用來暴露或產生具有不同光學性質的區域之移除製程可利用習知材料移除製程來執行,例如濕蝕刻或化學機械研磨(CMP)製程。在此例中,可基於該塗層性質而相對於該基材的閘極215區域內的吸收及熱流(Q2 )來調整位於該塗層226下方之該等摻雜區域201A-201B內的吸收及熱流(Q1 )。以此方式,從該塗層226的熱流失(Q3 )或反射可相對於從該閘極215區域的熱流失(Q4 )或反射而改變。
在一實施例中,該塗層226含有一或多個擁有預期厚度的沉積層,其單獨或合併發生作用而調整暴露在一或多種入射照射波長下的基材之各個區域的光學性質(例如,發射率、吸收度、反射率)。在一實施態樣中,該塗層226含有單獨或合併發生作用而優先吸收或反射一或多種入射照射”B”波長的沉積層。在一實施例中,該塗層226含有介電材料,例如氟矽玻璃(FSG)、非晶碳、二氧化矽、碳化矽、矽碳鍺合金(SiCGe)、含氮碳化矽(SiCN)、利用可從加州聖塔克拉拉之應用材料公司購得之製程所製造的BLOkTM 介電材料,或利用化學氣相沉積(CVD)製程或原子層沉積(ALD)製程沉積在該基材表面上的含碳塗層。在一實施態樣中,塗層226含有金屬,例如但不限於,鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、鈷(Co)或釕(Ru)。
應注意到在此所述之一或多個實施例可彼此合併使用,以進一步增加製程範圍(process window)。例如,一選擇性沉積、高吸收塗層可與摻雜某些界定區域並用,以擴大該退火製程的製程範圍。
調整能量來源輸出以達到優先熔化
如上所註,該能量來源20一般係適於輸送電磁能量以優先熔化該基材10的某些預期區域。典型的電磁能量來源包含,但不限於,光學輻射來源(例如,雷射(UV、IR等波長))、電子束來源、離子束來源、及/或微波能量來源。在本發明之一實施例中,該能量來源20係適於傳送光學照射,例如雷射,以選擇性加熱基材的預期區域至熔點。
在一實施態樣中,該基材10係暴露在來自雷射之能量脈衝下,其係以一或多種適當的波長發出光線,並且所發射出的光線擁有預期能量密度(瓦特/平方公分)及/或脈衝時間,以增強某些預期區域的優先熔化。就在含矽基材上執行的雷射退火製程而言,光線的波長通常係小於約800奈米。在任一種情況中,該退火製程通常在該基材的特定區域進行一段相對短的時間,例如約一秒或更短的程度。用於退火製程的預期波長和脈衝波形可根據該基材的材料性質而基於雷射退火製成的光學及熱模型來決定。
第4A-4D圖示出若干實施例,其中以時間為函數調整從能量來源20傳送至退火區域12(第1圖)的脈衝能量之若干屬性,藉以達到改善的熔點反差,並且改善退火製程結果。在一實施例中,傾向於以時間為函數改變雷射脈衝的波形,及/或改變所傳送能量的波長,以增強熱輸入至意欲熔化的基材區域內,並且使熱輸入至其他區域內的情形最小化。在一實施態樣中,可能也傾向於改變傳送至該基材的能量。
第4A圖示出可從能量來源20傳送至基材10(見第1圖)之電磁輻射的單一個脈衝(例如,脈衝401)之傳送能量相對於時間之作圖。第4A圖所示之脈衝通常是一矩形脈衝,其在整個脈衝期間(t1 )傳送固定能量(E1 )。
在一實施態樣中,該脈衝410波形可在其傳送至該基材10時以時間為函數改變。第4B圖示出可從能量來源20傳送至基材10的電磁輻射之波形不同的兩個脈衝401A、401B的作圖。在此範例中,每一個脈衝可包含相同的總能量輸出,如每一個曲線下方的面積所表示者,但是暴露該基材10的區域在相對於另一種脈衝的一種脈衝下的效果可改善退火期間所經歷的熔點反差。因此,藉由改變每一個脈衝的波形、尖峰功率層級及/或所傳送的能量,則可改善該退火製程。在一實施態樣中,該脈衝係一高斯波形。
第4C圖示出梯形的電磁輻射脈衝(例如,脈衝401)。在此例中,在該脈衝401的兩個不同部分(例如,402和404)中,所傳送的能量以時間為函數改變。雖然第4C圖示出一種脈衝401輪廓,或波形,其中能量以線性方式相對於時間改變,這並非意欲限制本發明範圍,因為一脈衝所傳送的能量之時間變異可,例如,擁有二級、三級、或四級波形曲線。在另一實施態樣中,一脈衝以時間為函數所傳送的能量輪廓,或波形可以是二階、三階、或指數形曲線。在另一實施例中,在製程期間使用擁有不同波形的脈衝可能是有利的(例如,矩形及三角形調變脈衝、正弦及矩形調變脈衝、矩形、三角形及正弦調變脈衝等),以達到預期退火結果。
取決於該元件各個區域的性質,可調整所傳送的電磁輻射脈衝波形以改善退火製程結果。參見第4B圖,例如,在欲於退火製程期間熔化的基材之各個區域藉由熱傳導係數低的區域與該元件的其他區域熱隔離的某些情況中,使用波形類似脈衝401B的脈衝可能是有利的。具有較長持續時間的脈衝可能是有利的,因為該基材較為導熱的材料區域會有更多時間藉由傳導來散熱,而欲熔化的區域是較為隔熱的,因而欲熔化的區域之溫度可以上升至熔點溫度。在此例中,可適當選擇該脈衝的持續時間、尖峰功率層級以及總能量輸出,因而使不欲熔化的區域不會達到其熔點。調整該脈衝波形的製程在使用擁有不同發射率的表面以產生熔點反差時也可以是有利的。
參見第4C圖,在一實施例中,調整該部分402的斜率、該部分402的波形、該部分403的波形、一功率層級的時間(例如,能量水準E1 處的部分403)、該部分404的斜率、及/或該部分404的波形以控制該退火製程。應注意到因為微粒及製程結果變異性的考量,通常不傾向於在製程期間使該退火區域內的材料蒸發。因此傾向於調整能量脈衝的波形,以使該退火區域的溫度快速達到其熔點,同時不會使該區域過熱而造成該材料的蒸發。在一實施例中,如第4G圖所示,可調整脈衝401的波形,因此其擁有多個部分(即,部分402、403A、403B、403C、及404),用來使該退火區域快速達到其熔點,然後保持該材料在熔化狀態下一段預期時間(例如,t1 ),同時避免該退火區域內的材料蒸發。該等部分的時間長度、波形以及每一個脈衝部分的持續時間可隨著尺寸、熔化深度、以及該退火區域內所含的材料的改變而改變。
在另一實施態樣中,可組合輻射能量的多種波長以改善傳送至該基材預期區域的能量,以達到改善的熔點反差,及/或改善的退火製程結果。在一實施態樣中,改變每一個組合波長所傳送的能量以改善熔點反差,並且改善退火製程結果。第4D圖示出脈衝401含有兩個波長之範例,其每單位時間可傳送不同的能量至基材10以改善熔點反差及/或改善退火製程結果。在此範例中,在該脈衝期間以一固定水準施加頻率F1至該基材,並且在該脈衝期間之大部分時間內以固定水準施加另一個頻率F2至該基材10,除了達到尖峰持續一段時間的部分之外。
第4E圖示出擁有兩個連續部分的脈衝401之作圖,其以兩種不同頻率F3和F4傳送能量。因此,因為該基材的各個區域可以不同速率吸收不同波長的能量,使用含有能夠傳送可變能量之多種波長的脈衝,如第4D和4E圖所示,可能是有利的,以達到所要的退火製程結果。
在一實施例中,在不同時間傳送兩或多種電磁輻射脈衝至該基材之一區域,因此可輕易控制該基材表面上的區域之溫度。第4F圖示出兩種脈衝401A和401B之作圖,其在不同時間,或期間(t),的不同時距處傳送,以選擇性熔化基材表面上的某些區域。在此配置中,藉由調整該等連續脈衝之間的期間(t),可輕易控制該基材表面上之區域所達到的尖峰溫度。例如,藉由縮短脈衝之間的期間(t),或頻率,該第一脈衝401A所傳送的熱在傳送該第二脈衝401B之前的散熱時間較短,這會使該基材內達到的尖峰溫度比脈衝之間的期間增長時來得高。以此方式,藉由調整該期間,可輕易控制能量及熔化溫度。在一實施態樣中,可能傾向於確保每一個脈衝自身不含有足以使該基材達到熔化溫度的能量,但該等脈衝的組合使該等區域202達到熔化溫度。傳送多個脈衝(例如兩個或多個脈衝)的製程相對於傳送單一個能量脈衝之製程而易於降低該基材材料所經歷到的熱衝擊(thermal shock)。熱衝擊可造成該基材的損傷,並產生微粒,其會在隨後於該基材上執行的製程步驟中造成缺陷。
參見第4F圖,在一實施例中,兩或多個例如雷射的能量來源依序運作,以便以時間為函數塑造基材表面的熱分佈。例如,一雷射或一雷射陣列可傳送將該基材表面升高至溫度T0 一段時間t1 的脈衝401A。在t1 結束之前或結束時,從第二雷射,或是一前一後操作的多個雷射,傳送第二脈衝401B,其使該基材溫度升高至溫度T1 一段時間t2 。因此可藉由控制從該多個雷射傳送出的連續能量脈衝來塑造該熱分佈。此製程可擁有熱製程優勢,例如但不限於,控制摻質擴散以及摻質擴散方向的應用。
電磁輻射脈衝
為了傳送足夠的電磁輻射(光)至含矽基材的表面,或由需要熱製程的另一種材料組成的基材之表面,可使用如下製程控制。
在一實施例中,兩或多個例如雷射的能量來源依序運作,以便利用可校正脈衝和脈衝之間的能量變異的方式塑造受到熱處理以及雷射操作處的基材表面之熱分佈。在一實施態樣中,該來源20(在第1及第9圖中圖示出)含有兩個或多個電磁能量來源,例如但不限於,光學輻射來源(例如雷射)、電子束來源、離子束來源、及/或微波能量來源。來自例如脈衝雷射之元件的脈衝和脈衝之間的能量可能在每一個脈衝皆存有百分比變異。脈衝能量的變異對於基材熱製程而言可能是無法接受的。為了校正此脈衝變異,一或多個雷射傳送至使該基材溫度升高的脈衝。然後利用一電子控制器(例如,第1圖的控制器21),其係適於監控所傳送的脈衝及正在傳送的脈衝之能量,或上升時間,來計算「整理」或調整熱分佈所需的能量(例如,以時間為函數之基材的一區域的溫度),而使其落在製程目標內,並命令一第二較小雷射或一系列的較小雷射傳送最終能量以完成該熱處理。該電子控制器一般使用一或多種習知輻射偵測器來監控傳送至該基材的脈衝之能量及/或波長。該等較小雷射也可能擁有脈衝輸出能量之尖峰對尖峰(peak-to-peak)變異,但是因為實質上其在每個脈衝傳送比該表面處理開始時的起始脈衝(或多個脈衝)少的能量,因此這個誤差一般落在製程限制內。該電子控制器因此適於補償一脈衝所傳送的能量之變異,因而確保該熱製程期間傳送預期的能量水準。
在一實施態樣中,上面討論之該兩個或多個能量來源也可利用擁有彩色頻率的帶寬之單色(波長)雷射光、多重波長、單一或多重時間及空間雷射模式、以及平坦化狀態來植入。
該或該等雷射的輸出極可能不具有正確的傳送至該基材表面之空間及時間能量分佈。因此,使用微鏡來形成該等雷射輸出之系統係經用來在該基材表面產生均勻的空間能量分佈。玻璃類型以及微鏡幾何配置的選擇可補償用來傳送該脈衝雷射能量至該基材表面必須的光學元件串(optical train)之熱致透鏡效應(thermal lensing effect)。
在基材表面處的脈衝能量之高頻率變異,稱為光斑(speckle),係因為相鄰的入射能量之建設性及破獲性相位干擾而產生。光斑補償可包含如下:一表面聲波元件,用以快速改變該基材處的相位,因而此快速變異實質上係比該或該等雷射脈衝的熱製程時間快;雷射脈衝的脈衝相加;改變雷射脈衝的極化,例如,傳送多個同步或延遲的脈衝,其係線性極化但在不平行情況下擁有其極化狀態(極化向量;e-vector)。
形成在圖案化基材上的熱穩定結構
在一實施例中,如第5A-5C圖所示,在該基材表面上沉積一均質層(第5B圖的物件120),以在該基材表面暴露在從一電磁輻射來源(未示出)傳送的電磁能量150下時,降低熔化的矽區域112的深度、或體積變異。熔化區域的深度、或體積變異受到圖案化基材之各個區域的質量密度變異、該輻射能量照射的材料之吸收係數、以及該材料的若干物理及熱性質(例如,導熱性、熱容、材料厚度)影響。一般來說,該電磁輻射來源係經設計以傳送電磁能量至基材表面,以熱處理或退火該基材表面的一部分。典型的電磁輻射來源可包含,但不限於,光學輻射來源(例如,雷射)、電子束、離子束、或微波來源。
形成在第5A-5C圖和第6A-6C圖所示之基材100表面102上的元件結構並不意欲限制在此所述之本發明的範圍,因此,例如,該矽區域112(例如,MOS元件的源極或汲極區域)可相對於該特徵結構101(例如,MOS元件的閘極)的位置升高或降低,而不會背離此所述之本發明的範圍。隨著半導體元件尺寸降低,形成在基材表面上的元件之結構構件的位置及幾何構造也改變,以改善元件可製造度或元件效能。
第5A圖示出基材100的剖面圖,其擁有複數個特徵結構101及矽區域112形成在該基材100表面102上。如第5A圖所示,該表面102具有多個特徵結構101,其係橫向相隔不同的距離。在一實施態樣中,該等特徵結構101係「閘極」,而該等矽區域112係「源極和汲極區域」,其係用來在該基材表面上形成金屬氧化物半導體(MOS)元件。在第5A圖所示配置中,該入射電磁能量150照射該表面102,使該基材表面102的某些區域吸收該入射能量,並且可能形成熔化區113。暴露在該入射電磁能量150下的各個材料之物理、熱及光學性質決定該表面102上的各區是否會在暴露於所傳送的能量下時熔化。咸信當該等特徵結構101是多晶矽閘極時,其在波長<800奈米所吸收之來自雷射的能量會顯著低於含有N型或P型摻雜的矽(例如在MOS元件的源極或汲極區域找到者)之矽區域112所吸收的能量。因此,咸信因為該等特徵結構101的熱容及熱質量(thermal mass),以及其關於矽區域112的相對位置,在毗鄰該等特徵結構101的地區內所傳輸之電磁能量150會保持較冷,此乃因為熱擴散離開該熔化區113。熱流失至該等特徵結構101會降低可用來形成該熔化區113的能量,因此影響該熔化區113的深度及/或體積。因此,需要一種方式來減少該基材表面上圖案化密度的變異。
第5B圖示出基材100之剖面圖,其擁有複數個特徵結構101、矽區域112及均質層120形成在該基材100表面102上。第5B圖與第5A圖類似,除了其增設有均質層120。一般來說,該均質層120係用來使該基材100表面102的熱容更均勻。在一實施例中,該均質層120的形成厚度及材料係經選擇以平衡該基材表面的熱容,以降低該基材表面上改變的質量密度的影響,因而減少該熔化區113的深度及/或體積的變異。一般來說,該均質層120材料係經選擇以使其不會在隨後的退火製程期間熔化,並且可在執行該退火製程後從該基材表面選擇性地除去。在一實施態樣中,該均質層120係成分與形成該等特徵結構101的材料者類似的材料,例如,含多晶矽材料。在另一實施態樣中,該均質層120係含碳化矽之材料或金屬(例如,鈦、氮化鈦、鉭、鎢)。
較佳地,該均質層120的厚度(例如d1 )係經選擇而使得該元件結構的熱容係為均勻。在一實施態樣中,該均質層120的厚度係由如下方程式控制:d1 =(α1 )0.5 x[d2 /((α2 )0.5 )]其中d2 =該等特徵結構101的厚度(見第5B圖)α1 =κ1 /(ρ1 Cp1 )並且α2 =κ2 /(ρ2 Cp2 )其中κ1 等於用來形成該均質層120之材料的熱傳導係數,ρ1 等於用來形成該均質層120之材料的質量密度,Cp1 等於用來形成該均質層120之材料的熱容,κ2 等於用來形成該等特徵結構101之材料的熱傳導係數,ρ2 等於用來形成該等持徵結構101之材料的質量密度,Cp2 等於用來形成該等特徵結構101之材料的熱容。
第6A圖示出一系列的方法步驟,其可用來在該基材100表面102上形成該均質層120。在步驟190,於第6A和6B圖示出,利用習知沉積製程而在該基材100表面102(例如,特徵結構101)上沉積該均質層120,例如化學氣相沉積(CVD)、電漿輔助CVD、原子層沉積(ALD)、電漿輔助ALD、或旋轉塗佈式沉積製程。在步驟192,於第6A和6C圖示出,利用化學機械研磨(CMP)製程平坦化含有該均質層120之該基材100表面102。在步驟194,於第6A和6D圖示出,利用選擇性材料移除製程而選擇性蝕刻該均質層,例如濕蝕刻或乾蝕刻式製程,直到達到預期厚度d1 為止。接著,可傳送一入射電磁能量至該基材表面,以使該熔化區113內含之材料均勻的退火/熔化。
均質層上的吸收層
第5C圖係基材100之剖面圖,其含有第5B圖所示之元件,連同沉積在其上的添加層125,以調整該基材表面上的各個區域之光學性質。在一實施態樣中,添加該層125以改善傳送至該基材100之各個區域的電磁能量150的吸收。在一實施例中,該層125係與上述之塗層225或226相同。如第5C圖所示,該層125優先形成在該均質層120上,以改善傳送至該等矽區域112之能量的選擇性。該層125之預期厚度可隨著所傳送的電磁能量150之波長改變而改變。
參見第6A-6G圖,在一實施例中,於執行步驟190至194後,可用步驟196及198來形成一選擇性沉積的吸收層125。在步驟196,如第6E和6F圖所示,該層125係沉積在該等特徵結構101以及如上述般在步驟190-194形成之該均質層120的上方。在步驟198,於第6E和6G圖示出,藉由執行材料移除步驟將該層125從該等特徵結構101之上表面除去,例如通常利用化學機械研磨(CMP)製程完成之平坦化製程。在一實施態樣中,利用所沉積的層125來改變該基材表面上一或多個預期區域之間的熔點反差,而其係藉由容許不同量的熱被吸收及傳送至該等熔化區113以及該等熔化區113之間的區域(其並不與該層125及該均質層120直接接觸)。
繞射光柵
不同尺寸、形狀和間隔距離之特徵結構暴露在電磁輻射下時的一個問題在於取決於該電磁輻射的波長,施加至該等特徵結構的能量可能經歷建設性或破壞性干涉,肇因於繞射效應,其不預期地改變傳送至預期區域的能量,或能量密度(例如,瓦/平方公尺)。參見第7圖,該等特徵結構101之間的間隔可能不同,而使該表面上的入射雷射之波長改變,造成傳送至該基材100表面102上的能量密度之變異。
在一實施例中,如第7圖所示,一層726係經成長至超過所有的特徵結構101高度之厚度,以減少因為形成在該基材表面上的元件(例如,特徵結構101)之間的不規則間隔所造成的繞射效應。在一實施態樣中,未示出,進一步平坦化該層726的表面720(例如,CMP製程),以減少該基材10表面720的任何固有的構形(topographical)變異。一般來說,傾向於減少該基材表面的構形變異,以擁有低於退火製程期間所傳送能量之波長之約四分之一(<1/4 λ)的基材表面之波峰對波谷變異(peak-to-valley variation;見第7圖之”PV”)。也傾向於擁有大於退火製程期間所傳送能量之波長之約五倍(例如,>5 λ)的基材表面波峰之間(見第7圖之”PP”)的平均週期。在一範例中,當使用波長800奈米的雷射來源時,預期降低表面720的固有構形變異至低於約200奈米之波峰對波谷變異,以及大於約4000奈米的波峰變異之間的週期。在一實施態樣中,該層726係利用CVD沉積製程沉積的碳層,或是上面關於層125、塗層225、及層226所討論的材料。
在一實施例中,形成在暴露於入射電磁輻射下的基材表面上之元件的設計係經特別構思及配置而產生預期繞射圖案,以改善不同區之間的熔點反差。因此為用來退火該基材表面之入射照射”B”(第7圖)的波長,或多個波長,調整該等特徵結構的物理配置。
在基材內形成非晶質區
在一實施例中,執行一或多個製程步驟以在原先單晶或多晶的材料內選擇性形成非晶質區140,以減少隨後植入製程期間所產生的損傷量,並增加該非晶質區140相對於該基材之其他區域的熔點反差。在一非晶質區(例如非晶矽層)內植入摻質,易於均勻化在固定離子能量下預期摻質的植入深度,此乃因為缺乏在結晶質晶格結構(例如,單晶矽)內可發現的各平面上的密度變異。一非晶質層的植入傾向於減少通常在結晶結構之習知植入製程中發現的結晶損傷。因此,當隨後利用退火型製程再熔化該非晶質區140時(如上所述),所形成的區域可以更加均質的摻雜輪廓以及減少的缺陷數量而再結晶。該再熔化製程也除去該植入製程所造成的任何損傷。該非晶質區140的形成也降低所影響區域的熔點,因而可改善該非晶質區140和相鄰的單晶區域141之間的熔點反差。
在一實施例中,傳送一短能量劑量(第7圖之物件”B”)至基材10,以在一預期區域內(例如,非晶質區140)選擇性調整及形成一非晶矽層。在一實施態樣中,傳送一電磁能量脈衝,或劑量,至該預期區域一段足夠短的時間,以造成所影響的非晶質區140的快速熔化和冷卻,以在該基材內產生一非晶質區。在此例中,該能量脈衝的時間如此短,而使其在該加熱區內造成高再成長速度以產生一非晶質區。在一實施態樣中,該加熱區內的再成長速度大於約12公尺/秒。
在一實施態樣中,傳送一能量脈衝至矽基材的預期區域一段低於約10-8 秒的時間。在此實施態樣中,該能量脈衝可從一雷射傳送,其傳送大於109 瓦特/平方公分的尖峰功率,並且較佳地在約109 至約1010 瓦特/平方公分之間一段低於約10-8 秒的時間。在一實施態樣中,可改變用於產生該非晶矽層所傳送的劑量之功率、脈衝時間、波形,以達到擁有預期尺寸、形狀及深度的非晶質區140。在一實施態樣中,所傳送的能量劑量之波長係經選擇或改變以達到預期熔化輪廓。在一實施態樣中,該波長可以是UV或IR波長。在一實施態樣中,該雷射的波長可低於約800奈米。在另一實施態樣中,該波長可以是約532奈米或約193奈米。
在一實施例中,使用一光罩來在該基材表面之若干區域上優先形成該非晶質區。
電磁輻射傳送
第9圖係製程室之一區域的剖面圖,其示出能量來源20適於從該背側表面901傳送能量至該基材10的退火區域12以優先熔化該退火區域12內之某些預期區域的實施例。在一實施態樣中,該基材之一或多個界定區域(例如退火區域12)在任何特定時間暴露在來自該能量來源20的照射下。在一實施態樣中,該基材10的多個區域依序暴露在該能量來源20透過該背側表面901傳送的預期能量下,以造成該基材預期區域的優先熔化。在一實施態樣中,該退火區域12係經訂製以符合該晶粒尺寸(例如,第1圖的物件13),或符合形成在該基材10上表面902上之半導體元件的尺寸。在一實施態樣中,該退火區域12的邊界係經對準並訂製尺寸以配合界定每一個晶粒的邊界之切割線10。因此,起因於來自該能量來源20的能量暴露量的不同,而使得製程變異量被最小化,此乃因為依序安置的退火區域12之間的任何重疊可以最小化。在一範例中,該退火區域12係尺寸約22公釐乘約33公釐的矩形區域。
在一實施例中,該基材10係設置在形成於一基材支撐件910上的基材支撐區911上,該基材支撐件910擁有容許該基材10的背側表面901接收從該能量來源20傳送來的能量之開口912。在此配置中,從該能量來源20發射出的照射”B”係加熱適於吸收一部分的放射能量之區域903。該能量來源20通常係適於傳送電磁能量以優先熔化該基材表面之某些預期區域。典型的電磁能量來源包含,但不限於,光學輻射來源(例如雷射)、電子束來源、離子束來源、及/或微波能量來源。在一實施態樣中,該基材10係暴露在來自雷射之能量脈衝下,其以一或多種適當波長發射光線一段預期時間。在一實施態樣中,調整來自該能量來源20的能量脈衝,因此使得傳輸穿過該退火區域12上的能量及/或在脈衝期間傳輸的能量最佳化,以增強某些預期區域的優先熔化。在一實施態樣中,調整該雷射的波長以使絕大部分的雷射光被配置在該基材10上之矽層吸收。就在含矽基材上執行的雷射退火製程而言,該光線波長通常小於約800奈米,並且可以在深紫外光(UV)、紅外線(IR)或其他預期波長傳送。在兩種情況中,該退火製程一般在該基材的特定區域上進行一段相對短的時間,例如約一秒或更短的程度。
在一實施態樣中,該能量來源20所發射出的光線之波長係經選擇,以使形成該基材的塊體材料對該入射光而言比欲藉由對該入射之發射光的暴露優先熔化之接近該上表面902的區域更為可穿透。在一實施態樣中,欲優先熔化的區域含有吸收透過該基材背側傳送之能量的材料,例如摻質材料或植入製程期間造成的離子化結晶損傷(例如,結晶缺陷、Frenkel缺陷、空缺)。一般來說,該摻質材料可以是硼、磷、或其他半導體製程常用的摻質材料。在一實施例中,形成該基材的塊體材料係含矽材料,而所發射光的波長大於約1微米。在另一實施態樣中,該能量來源20含有二氧化碳雷射,其適於發射集中在9.4和10.6微米左右的主要波長帶。在又另一實施例中,該能量來源20係適於傳送紅外線區的波長,其通常是在約750奈米和約1微米之間。
在一實施例中,一吸收塗層(未示出)係配置在該基材10之該退火區域12上,因此透過該基材背側傳送的入射光可在其穿透過該基材前被吸收。在一實施態樣中,該吸收塗層係金屬,例如鈦、氮化鈦、鉭、或其他適合的金屬材料。在另一實施態樣中,該吸收塗層係碳化矽材料、非晶碳材料,或半導體元件製造中常用的其他適合材料。
在一實施例中,傳送兩種光波長至該基材的預期區域,使得該第一光波長係用來在該基材中從摻質或可在該預期退火區域中發現的其他離子化結晶損傷產生自由載子(例如,電子或電洞),因而所產生的自由載子會吸收以第二波長透過該基材背側傳送之能量。在一實施態樣中,該第一波長係「綠光」波長(例如,約490奈米至約570奈米)及/或較短的波長。在一實施例中,該第一波長係從與該能量來源20位於該基材之相反側的第二來源920以預期的功率密度(瓦特/平方公分)傳送至該基材的預期區域,在第9圖示出。在另一實施例中,該兩波長(例如,第一及第二波長)係從該來源20透過該基材背側傳送。在又另一實施例中,在預期功率密度(瓦特/平方公分)下之該兩波長(例如第一及第二波長)係從兩個不同的電磁能量來源(未示出)透過該基材背側傳送。
雖然前述者係針對本發明之實施例,但本發明之其他及進一步實施例可在不背離其基本範圍下設計出,並且其範圍係由如下申請專利範圍決定。
10、100...基材
10A...切割線
12...退火區域
13...晶粒
15...熱交換元件
15A...電阻加熱元件
15B...流體通道
15C...溫度控制器
15D...低溫冷卻器
16...基材支撐表面
17...電性促動器
20...(能量)來源
21...控制器
101...特徵結構
102、205...表面
112...矽區域
113...熔化區
120...均質層
125...(添加)層/吸收層
140...非晶質區
141...單晶區域
150...電磁能量
200...電子元件
201、201A-201B...摻雜區域
202、903...區域
203...路徑
210...調整區
211...未調整區
215...閘極
216...閘極氧化層
221...基材塊體材料
224...埋藏區
225、226...塗層
401、401A、401B...脈衝
402、403、403A、403B、403C、404...(脈衝)部分
720...層表面
726...層
901...背側表面
902...上表面
910...基材支撐件
911...基材支撐區
912...開口
920...第二來源
C1 、C2 ...曲線
D1 ...接面深度
D2 ...深度
F1、F2、F3、F4...頻率
因此可以詳細瞭解上述本發明之特徵的方式,即簡短地在前面概述過對本發明更明確的描述係可以藉由參考實施例來得到,其中某些在附圖中示出。但是需要注意的是,附圖僅示出本發明之一般實施例,因此不應被視為對其範圍之限制,因為本發明可允許其他等效實施例。
第1圖示出一能量來源之等角視圖,其係適於投射一能量至本發明之一實施例中所述的基材之一預定區域上;第2A-2F圖示出本發明之一實施例中所述的基材表面上之一區域的簡要側視圖;第3A圖示出濃度對於本發明之一實施例中之第2A圖所示之基材之一區域內的深度之作圖;第3B圖示出濃度對於本發明之一實施例中之第2B圖所示之基材之一區域內的深度之作圖;第3C圖示出濃度對於本發明之一實施例中之第2C圖所示之基材之一區域內的深度之作圖;第4A-4G圖係本發明之一實施例中所述的電磁能量脈衝之簡要示圖;第5A-5C圖示出本發明之一實施例中所述的基材表面上之一區域的簡要側視圖;第6A圖示出在本發明之一實施例中所述的基材表面上形成一或多層預期沉積層之方法;第6B-6D圖示出關於在此所述之一實施例中的第6A圖示出方法所述之一基材之一區域的簡要側視圖;第6E圖示出在本發明之一實施例中所述的基材表面上形成一或多層預期沉積層之方法;第6F-6G圖示出關於在此所述之一實施例中的第6E圖示出方法所述之一基材之一區域的簡要側視圖;第7圖示出本發明之一實施例中所述的基材表面上之一區域的簡要側視圖;第8圖示出本發明之一實施例中所述的基材表面上之一區域的簡要側視圖;第9圖示出擁有適於投射能量至本發明之一實施例中所述之該基材之一界定區域上的能量來源之系統的簡要側視圖。
10...基材
10A...切刻線
12...退火區域
13...晶粒
15...熱交換元件
15A...電阻加熱元件
15B...流體通道
15C...溫度控制器
15D...低溫冷卻器
16...基材支撐表面
17...電性促動器
20...(能量)來源
21...控制器

Claims (43)

  1. 一種熱處理一基材之方法,其至少包含:以一或多種預期波長傳送一第一電磁能量至該基材的一後表面,以使通常毗鄰該基材之一前表面的一或多個區域內的一材料熔化,其中該後表面及該前表面係位於該基材的相反側,並且該基材之該前表面包含形成在其上的一或多個半導體元件。
  2. 如申請專利範圍第1項所述之方法,其中該一或多種預期波長皆大於約1微米。
  3. 如申請專利範圍第1項所述之方法,其中該基材係由一材料形成,該材料係選自由矽、鍺、砷化鎵、磷化鎵、及氮化鎵所組成之群組。
  4. 如申請專利範圍第1項所述之方法,其中該一或多個區域內的該材料更包含一材料,該材料係選自由鍺、砷、鎵、碳、錫、和銻所組成之群組。
  5. 如申請專利範圍第1項所述之方法,更包含以低於約570奈米的一波長傳送一第二電磁能量至該基材的一表面。
  6. 一種熱處理一基材之方法,其至少包含:傳送一第一電磁能量至一基材的一表面上之一第一區域,其中該第一電磁能量使該第一區域內的一基材材料熔化,並且使該結晶基材材料變為非晶質;在該非晶質之第一區域內植入一第二材料;以及傳送一第二電磁能量至該第一區域,其中該第二電磁能量使該第一區域內的材料熔化。
  7. 如申請專利範圍第6項所述之方法,更包含加熱一基材支撐件,而使設置在該基材支撐件上的該基材在該第二電磁能量傳送至該基材的該表面之前處於約20℃和約600℃之間的一溫度下。
  8. 如申請專利範圍第6項所述之方法,更包含冷卻一基材支撐件,而使設置在該基材支撐件上的該基材在該第二電磁能量傳送至該基材的該表面之前處於約-240℃和約20℃之間的一溫度下。
  9. 一種熱處理一半導體基材之設備,其至少包含:一基材支撐件,具有一基材支撐表面;一加熱元件,其係適於加熱設置在該基材支撐件上的一基材;一或多個冷卻通道,該一或多個冷卻通道係形成在該 基材支撐件內;一第一強光源,該第一強光源經定位以傳送一第一退火能量至設置在該基材支撐表面上之該基板的一區域的一前表面上,其中所傳送的該第一退火能量係經選擇,以熔化該基材之該前表面上的該區域,其中該第一強光源以一第一光波長提供退火能量;以及一第二強光源,該第二強光源經定位以在傳送該第一退火能量的同時傳送一第二退火能量至該基材的該區域的一後表面上,其中該後表面係相反於該基材之該前表面。
  10. 如申請專利範圍第9項所述之設備,其中該基材的該表面上之該區域係介於約4平方公釐和約1000平方公釐之間。
  11. 如申請專利範圍第9項所述之設備,其中該加熱元件係適於加熱該基材支撐件至約20℃和約600℃之間的一溫度。
  12. 如申請專利範圍第9項所述之設備,其中形成在該基材支撐件內的該一或多個冷卻通道係適於接收一熱交換流體,該熱交換流體將該基材支撐件冷卻至介於約-240℃和約20℃之間的一溫度。
  13. 如申請專利範圍第9項所述之設備,更包含裝設至該基材支撐件的一平台;其中該平台係適於將該基材設置在通常與該基材支撐表面平行的至少一方向上。
  14. 如申請專利範圍第9項所述之設備,其中該強光源係適於以介於約500奈米和約11微米之間的一波長傳送該光線。
  15. 一種熱處理一半導體基材之設備,其至少包含:一第一強光源,其係適於傳送一第一能量至設置在一基材支撐表面上的該基材之一表面上之一區域;一第二強光源,其係適於傳送一第二能量至設置在該基材支撐表面上的該基材之該表面上之該區域;以及一控制器,其係適於監控傳送至該基材的該表面上之該區域的該第一能量,並且控制傳送該第一能量及該第二能量之間的時間,以及該第二能量的強度,以在該區域內達到一預期溫度。
  16. 如申請專利範圍第15項所述之設備,更包含:該基材支撐表面係形成在一基材支撐件上;以及一加熱元件,其係與該基材支撐表面為熱連通,並適於加熱設置在該基材支撐件上的該基材。
  17. 如申請專利範圍第15項所述之設備,其中該基材的該表面上之該區域係介於約4平方公釐和約1000平方公釐之間。
  18. 如申請專利範圍第16項所述之設備,其中該加熱元件係適於加熱該基材支撐件至約20℃和約600℃之間的一溫度。
  19. 如申請專利範圍第16項所述之設備,更包含一或多個冷卻通道形成在該基材支撐件內,其係適於接收將該基材支撐件冷卻至約-240℃和約20℃之間的一溫度之一熱交換流體。
  20. 一種熱處理一半導體基材之設備,其至少包含:一基材支撐件,具有一基材支撐表面以及形成在該基材支撐件內之一開口;以及一第一光源,其係適於透過形成在該基材支撐件內之該開口傳送一光線至該基材之一第一地區,以及與該基材之一前表面相對的該基材之一後表面,其中該基材的該前表面含有一或多個形成在其上之半導體元件,並且該光線的量係適於熔化包含在該第一地區內之一區域。
  21. 如申請專利範圍第20項所述之設備,其中該第一光源 係適於以大於約1微米之一波長傳送該光線。
  22. 如申請專利範圍第20項所述之設備,其中該第一光源係適於以介於約500奈米和約11微米之間的一波長傳送該光線。
  23. 如申請專利範圍第20項所述之設備,其中該第一地區係介於約4平方公釐和約1000平方公釐之間。
  24. 如申請專利範圍第20項所述之設備,更包含裝設至該基材支撐件的一平台;其中該平台係適於將該基材設置在通常與該基材支撐表面平行的至少一方向上。
  25. 如申請專利範圍第20項所述之設備,更包含一第二光源,其係適於以一預期波長傳送一電磁輻射至該基材的該第一地區。
  26. 如申請專利範圍第25項所述之設備,其中該第二光源係適於以低於約590奈米之一波長傳送該電磁輻射至該第一地區。
  27. 如申請專利範圍第25項所述之設備,其中該第二光源毗鄰該基材之該前表面。
  28. 一種熱處理一基材的方法,其至少包含:將一基材設置在一基材支撐件上;以及傳送複數個電磁能量脈衝至一基材的一表面上之一第一地區,其係與該基材之一第一區域為熱連通,其中傳送該些電磁能量脈衝的步驟包含:傳送一第一電磁能量脈衝至該基材的該表面;傳送一第二電磁能量脈衝至該基材的該表面;以及調整該第一電磁能量脈衝起始及該第二電磁能量脈衝起始之間的時間,而使包含在該第一區域內的材料熔化。
  29. 如申請專利範圍第28項所述之方法,其中該第一電磁能量脈衝之能量以及該第二電磁能量脈衝之能量本身不足以使該第一區域內含的材料熔化。
  30. 如申請專利範圍第28項所述之方法,更包含控制該基材支撐件的溫度,而使設置在其上的該基材在該電磁能量傳送至該基材的該表面之前處於約20℃和約600℃之間的溫度下。
  31. 如申請專利範圍第28項所述之方法,更包含調整該基 材的該表面上之該第一區域,以使該第一區域內含之材料的熔點較該基材的該表面上之一第二區域內含的材料的熔點來得低。
  32. 如申請專利範圍第31項所述之方法,其中上述之調整該第一區域的步驟包含在該第一區域內設置一摻雜材料,其中該摻雜材料係選自由鍺、砷、鎵、碳、錫、及銻所組成之群組。
  33. 如申請專利範圍第28項所述之方法,其中該第一電磁能量脈衝所傳送的電磁輻射波長與該第二電磁能量脈衝所傳送的電磁輻射波長不同。
  34. 如申請專利範圍第28項所述之方法,更包含:傳送複數個電磁能量脈衝至該基材的該表面上之一第二地區,其係與該基材之一第二區域為熱連通,其中該第二地區毗鄰該第一地區,並且傳送該些電磁能量脈衝的步驟包含:傳送一第三電磁能量脈衝至該基材的該表面;傳送一第四電磁能量脈衝至該基材的該表面;以及調整該第三電磁能量脈衝起始及該第四電磁能量脈衝起始之間的時間,而使包含在該第二區域內的材 料熔化。
  35. 如申請專利範圍第34項所述之方法,其中該第一地區及該第二地區之邊界係與形成在該基材的該表面上的一或多條切刻線(scribe line)對齊。
  36. 如申請專利範圍第34項所述之方法,其中該基材的該表面上之該第一地區係介於約4平方公釐和約1000平方公釐之間。
  37. 如申請專利範圍第34項所述之方法,其中該第一電磁能量脈衝及該第三電磁能量脈衝係以一第一波長傳送,而該第二電磁能量脈衝及該第四電磁能量脈衝係以一第二波長傳送。
  38. 如申請專利範圍第34項所述之方法,其中該第一地區包含當暴露在該第一或第二電磁能量脈衝下時一或多個優先熔化之第一區域以及不熔化之一第二區域,以及該第二地區包含當暴露在該第三或第四電磁能量脈衝下時一或多個優先熔化之第三區域以及不熔化之一第四區域。
  39. 一種熱處理一基材的方法,其至少包含: 將一基材設置在一基材支撐件上;以及傳送一電磁能量至一基材的一表面上,其係與該基材之一第一區域及一第二區域為熱連通,其中傳送該電磁能量的步驟包含:以一第一波長傳送一第一電磁能量,以優先熔化包含在該第一區域內而非該第二區域內之材料;以及以一第二波長傳送一第二電磁能量,以優先熔化包含在該第一區域內而非該第二區域內之材料,其中傳送該第二電磁能量以及傳送該第一電磁能量之步驟的時間係重疊。
  40. 如申請專利範圍第39項所述之方法,更包含控制該基材支撐件的溫度,而使設置在其上的該基材在該電磁能量傳送至該基材的該表面之前處於約20℃和約600℃之間的一溫度下。
  41. 如申請專利範圍第39項所述之方法,更包含調整該基材的該表面上之該第一區域,以使該第一區域內含之材料的熔點較該基材的該表面上之該第二區域內含的材料的熔點來得低。
  42. 如申請專利範圍第41項所述之方法,其中上述之調整該第一區域的步驟包含在該第一區域內設置一摻雜材料, 其中該摻雜材料係選自由鍺、砷、鎵、碳、錫、及銻所組成之群組。
  43. 一種熱處理一基材的方法,其至少包含:將一基材設置在一基材支撐件上;以及傳送一電磁能量至一基材的一表面上,其係與該基材之一第一區域及一第二區域為熱連通,其中傳送該電磁能量的步驟包含:以時間為函數調整一電磁能量脈衝的波形,以優先熔化該第一區域內所含的材料。
TW096108082A 2006-03-08 2007-03-08 用於熱處理形成於基材上之結構的方法及設備 TWI463568B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US78074506P 2006-03-08 2006-03-08
US11/459,847 US7569463B2 (en) 2006-03-08 2006-07-25 Method of thermal processing structures formed on a substrate
US11/459,852 US20070221640A1 (en) 2006-03-08 2006-07-25 Apparatus for thermal processing structures formed on a substrate
US11/459,856 US20070212859A1 (en) 2006-03-08 2006-07-25 Method of thermal processing structures formed on a substrate

Publications (2)

Publication Number Publication Date
TW200741881A TW200741881A (en) 2007-11-01
TWI463568B true TWI463568B (zh) 2014-12-01

Family

ID=40494875

Family Applications (3)

Application Number Title Priority Date Filing Date
TW103116586A TWI521571B (zh) 2006-03-08 2007-03-08 用於熱處理形成於基材上之結構的方法
TW096108082A TWI463568B (zh) 2006-03-08 2007-03-08 用於熱處理形成於基材上之結構的方法及設備
TW100142755A TWI446452B (zh) 2006-03-08 2007-03-08 用於熱處理形成於基材上之結構的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103116586A TWI521571B (zh) 2006-03-08 2007-03-08 用於熱處理形成於基材上之結構的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW100142755A TWI446452B (zh) 2006-03-08 2007-03-08 用於熱處理形成於基材上之結構的方法

Country Status (4)

Country Link
US (6) US7569463B2 (zh)
JP (1) JP5931039B2 (zh)
CN (2) CN101395712B (zh)
TW (3) TWI521571B (zh)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7569463B2 (en) 2006-03-08 2009-08-04 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US7659187B2 (en) * 2006-11-03 2010-02-09 Applied Materials, Inc. Method of forming PN junctions including a post-ion implant dynamic surface anneal process with minimum interface trap density at the gate insulator-silicon interface
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7825441B2 (en) * 2007-06-25 2010-11-02 International Business Machines Corporation Junction field effect transistor with a hyperabrupt junction
US9498845B2 (en) 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US7800081B2 (en) * 2007-11-08 2010-09-21 Applied Materials, Inc. Pulse train annealing method and apparatus
US20090120924A1 (en) * 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus
US7754518B2 (en) * 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US20100075499A1 (en) * 2008-09-19 2010-03-25 Olsen Christopher S Method and apparatus for metal silicide formation
US8372667B2 (en) * 2009-04-20 2013-02-12 Applied Materials, Inc. Fiber laser substrate processing
TWI528418B (zh) * 2009-11-30 2016-04-01 應用材料股份有限公司 在半導體應用上的結晶處理
US20110177665A1 (en) * 2010-01-21 2011-07-21 Chan-Lon Yang Thermal process
CN102142365A (zh) * 2010-01-28 2011-08-03 联华电子股份有限公司 热处理制作工艺
KR102143469B1 (ko) * 2010-07-27 2020-08-11 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작 방법
US20120325784A1 (en) 2011-06-24 2012-12-27 Applied Materials, Inc. Novel thermal processing apparatus
TW201310551A (zh) * 2011-07-29 2013-03-01 Applied Materials Inc 熱處理基材的方法
JP5820766B2 (ja) * 2012-05-16 2015-11-24 信越化学工業株式会社 フォトマスクブランクの製造方法、フォトマスクブランク、フォトマスク、および、パターン転写方法
TWI582837B (zh) * 2012-06-11 2017-05-11 應用材料股份有限公司 在脈衝式雷射退火中使用紅外線干涉技術之熔化深度測定
TWI614346B (zh) * 2012-11-28 2018-02-11 應用材料股份有限公司 熱處理方法及熱處理裝置
US8691598B1 (en) * 2012-12-06 2014-04-08 Ultratech, Inc. Dual-loop control for laser annealing of semiconductor wafers
US20140238958A1 (en) * 2013-02-28 2014-08-28 Ultratech, Inc. Systems and methods for material processing using light-emitting diodes
JP6008763B2 (ja) * 2013-03-13 2016-10-19 富士フイルム株式会社 有機半導体膜の形成方法
US9012315B2 (en) * 2013-08-09 2015-04-21 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
US9401274B2 (en) 2013-08-09 2016-07-26 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
US9443728B2 (en) 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
US9178088B2 (en) * 2013-09-13 2015-11-03 Tsmc Solar Ltd. Apparatus and methods for fabricating solar cells
DE102013224693A1 (de) * 2013-12-02 2015-06-03 Eos Gmbh Electro Optical Systems Verfahren zur beschleunigten Herstellung von Objekten mittels generativer Fertigung
TW201528379A (zh) * 2013-12-20 2015-07-16 Applied Materials Inc 雙波長退火方法與設備
US9349609B2 (en) * 2014-03-31 2016-05-24 Globalfoundries Inc. Semiconductor process temperature optimization
US20150287824A1 (en) * 2014-04-03 2015-10-08 GlobalFoundries, Inc. Integrated circuits with stressed semiconductor substrates and processes for preparing integrated circuits including the stressed semiconductor substrates
US9518934B2 (en) * 2014-11-04 2016-12-13 Kla-Tencor Corp. Wafer defect discovery
TWI564099B (zh) 2014-12-24 2017-01-01 財團法人工業技術研究院 複合光束產生裝置及其用於粉體熔融或燒結的方法
CN106158668B (zh) * 2015-03-31 2019-08-13 旺宏电子股份有限公司 制造半导体装置的方法
JP2017055046A (ja) * 2015-09-11 2017-03-16 トヨタ自動車株式会社 半導体装置の製造方法
CN107644111B (zh) * 2016-07-22 2021-02-26 鸿之微科技(上海)有限公司 表面电子结构的计算方法及其系统
CN107470095B (zh) * 2017-09-05 2019-07-16 苏州威格尔纳米科技有限公司 一种防止衬底背面溶液扩散的平台
US11011394B2 (en) * 2017-11-21 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for annealing die and wafer
CN109378269B (zh) * 2018-10-08 2021-11-26 南开大学 一种对半导体表面过饱和掺杂且保持其晶格结构的制备方法
EP3900027B1 (en) 2018-12-17 2024-05-22 Applied Materials, Inc. Methods for controlling etch depth by localized heating
TW202236550A (zh) * 2020-11-25 2022-09-16 美商應用材料股份有限公司 用於低溫處理的補充能量

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4439245A (en) * 1982-01-25 1984-03-27 Rca Corporation Electromagnetic radiation annealing of semiconductor material
US4475027A (en) * 1981-11-17 1984-10-02 Allied Corporation Optical beam homogenizer
US6265291B1 (en) * 1999-01-04 2001-07-24 Advanced Micro Devices, Inc. Circuit fabrication method which optimizes source/drain contact resistance
US20020025659A1 (en) * 1988-09-28 2002-02-28 Shunpei Yamazaki Method for crystallizing semiconductor material without exposing it to air
US20020192914A1 (en) * 2001-06-15 2002-12-19 Kizilyalli Isik C. CMOS device fabrication utilizing selective laser anneal to form raised source/drain areas
JP2003229568A (ja) * 2002-02-04 2003-08-15 Hitachi Ltd 半導体装置の製造方法および半導体装置
US20030157813A1 (en) * 2001-11-28 2003-08-21 Downey Daniel F. Athermal annealing with rapid thermal annealing system and method
US20040108588A1 (en) * 2002-09-24 2004-06-10 Cookson Electronics, Inc. Package for microchips
JP2005129930A (ja) * 2003-10-17 2005-05-19 Interuniv Micro Electronica Centrum Vzw 半導体基盤に活性化不純物の階層構造を提供する方法
WO2005104265A1 (en) * 2004-04-27 2005-11-03 Koninklijke Philips Electronics, N.V. Method of forming an organic semiconducting device by a melt technique

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US588888A (en) * 1897-08-24 Storm dooe structure
US3633999A (en) * 1970-07-27 1972-01-11 Richard G Buckles Removing speckle patterns from objects illuminated with a laser
US4234356A (en) * 1979-06-01 1980-11-18 Bell Telephone Laboratories, Incorporated Dual wavelength optical annealing of materials
JPS5696835A (en) 1979-12-29 1981-08-05 Fujitsu Ltd Manufacture of semiconductor device
JPS5727035A (en) 1980-07-25 1982-02-13 Hitachi Ltd Manufacture of semiconductor device
US4390392A (en) * 1980-09-16 1983-06-28 Texas Instruments Incorporated Method for removal of minute physical damage to silicon wafers by employing laser annealing
US4511220A (en) * 1982-12-23 1985-04-16 The United States Of America As Represented By The Secretary Of The Air Force Laser target speckle eliminator
US4619508A (en) * 1984-04-28 1986-10-28 Nippon Kogaku K. K. Illumination optical arrangement
GB8515814D0 (en) 1985-06-21 1985-07-24 British Telecomm Fabrication of optical waveguides
US4744615A (en) * 1986-01-29 1988-05-17 International Business Machines Corporation Laser beam homogenizer
US4849371A (en) * 1986-12-22 1989-07-18 Motorola Inc. Monocrystalline semiconductor buried layers for electrical contacts to semiconductor devices
JPH0786647B2 (ja) 1986-12-24 1995-09-20 株式会社ニコン 照明装置
JPS6439723A (en) * 1987-08-06 1989-02-10 Seiko Epson Corp Selectively heating method for substrate
US5307207A (en) * 1988-03-16 1994-04-26 Nikon Corporation Illuminating optical apparatus
US4953982A (en) * 1988-07-20 1990-09-04 Applied Materials, Inc. Method and apparatus for endpoint detection in a semiconductor wafer etching system
US5182170A (en) * 1989-09-05 1993-01-26 Board Of Regents, The University Of Texas System Method of producing parts by selective beam interaction of powder with gas phase reactant
US5109465A (en) 1990-01-16 1992-04-28 Summit Technology, Inc. Beam homogenizer
US5061025A (en) 1990-04-13 1991-10-29 Eastman Kodak Company Hologon scanner with beam shaping stationary diffraction grating
US5224200A (en) 1991-11-27 1993-06-29 The United States Of America As Represented By The Department Of Energy Coherence delay augmented laser beam homogenizer
US5233460A (en) * 1992-01-31 1993-08-03 Regents Of The University Of California Method and means for reducing speckle in coherent laser pulses
US5328785A (en) * 1992-02-10 1994-07-12 Litel Instruments High power phase masks for imaging systems
JPH06140704A (ja) * 1992-10-26 1994-05-20 Mitsubishi Electric Corp レーザ光照射装置
JP3431647B2 (ja) * 1992-10-30 2003-07-28 株式会社半導体エネルギー研究所 半導体装置とその作製方法およびメモリ装置の作製方法およびレーザードーピング処理方法
US5315427A (en) * 1992-12-14 1994-05-24 Xerox Corporation Pair of binary diffraction optics for use in overfilled raster output scanning systems
US5316969A (en) * 1992-12-21 1994-05-31 Board Of Trustees Of The Leland Stanford Junior University Method of shallow junction formation in semiconductor devices using gas immersion laser doping
JPH06232069A (ja) 1993-02-04 1994-08-19 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
KR100255689B1 (ko) * 1993-05-27 2000-05-01 윤종용 반도체 레이져 소자 및 그 제조방법
US5610733A (en) * 1994-02-28 1997-03-11 Digital Optics Corporation Beam-homogenizer
JPH07249591A (ja) 1994-03-14 1995-09-26 Matsushita Electric Ind Co Ltd 半導体薄膜のレーザーアニール方法及び薄膜半導体素子
US5453814A (en) 1994-04-13 1995-09-26 Nikon Precision Inc. Illumination source and method for microlithography
JP3326654B2 (ja) * 1994-05-02 2002-09-24 ソニー株式会社 表示用半導体チップの製造方法
US5866238A (en) * 1994-05-05 1999-02-02 Minolta Co., Ltd. Ferroelectric thin film device and its process
US5747245A (en) * 1994-06-14 1998-05-05 La Jolla Cancer Research Foundation Nucleic acids encoding Fas associated proteins and screening assays using same
JPH0837139A (ja) * 1994-07-21 1996-02-06 Sony Corp 露光照明装置
FR2725766B1 (fr) * 1994-10-13 1997-01-10 Alliedsignal Europ Services Ensemble d'un element de friction, d'un ressort et d'un indicateur d'usure pour frein a disque de vehicule automobile
US5621529A (en) * 1995-04-05 1997-04-15 Intelligent Automation Systems, Inc. Apparatus and method for projecting laser pattern with reduced speckle noise
US5654213A (en) * 1995-10-03 1997-08-05 Integrated Device Technology, Inc. Method for fabricating a CMOS device
US6051483A (en) * 1996-11-12 2000-04-18 International Business Machines Corporation Formation of ultra-shallow semiconductor junction using microwave annealing
US6555449B1 (en) * 1996-05-28 2003-04-29 Trustees Of Columbia University In The City Of New York Methods for producing uniform large-grained and grain boundary location manipulated polycrystalline thin film semiconductors using sequential lateral solidfication
US5699191A (en) * 1996-10-24 1997-12-16 Xerox Corporation Narrow-pitch beam homogenizer
US5852693A (en) 1996-11-26 1998-12-22 Ultratech Stepper, Inc. Low-loss light redirection apparatus
US5754278A (en) * 1996-11-27 1998-05-19 Eastman Kodak Company Image transfer illumination system and method
US5888888A (en) * 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
US6297135B1 (en) * 1997-01-29 2001-10-02 Ultratech Stepper, Inc. Method for forming silicide regions on an integrated device
US6387803B2 (en) * 1997-01-29 2002-05-14 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
JPH10253916A (ja) 1997-03-10 1998-09-25 Semiconductor Energy Lab Co Ltd レーザー光学装置
US6423585B1 (en) * 1997-03-11 2002-07-23 Semiconductor Energy Laboratory Co., Ltd. Heating treatment device, heating treatment method and fabrication method of semiconductor device
US5918140A (en) * 1997-06-16 1999-06-29 The Regents Of The University Of California Deposition of dopant impurities and pulsed energy drive-in
JPH11204788A (ja) * 1998-01-19 1999-07-30 Toshiba Corp 半導体装置およびその製造方法
WO2000070660A1 (fr) * 1999-05-18 2000-11-23 Nikon Corporation Procede et dispositif d'exposition, et dispositif d'eclairage
US6246524B1 (en) * 1998-07-13 2001-06-12 Semiconductor Energy Laboratory Co., Ltd. Beam homogenizer, laser irradiation apparatus, laser irradiation method, and method of manufacturing semiconductor device
US5956603A (en) * 1998-08-27 1999-09-21 Ultratech Stepper, Inc. Gas immersion laser annealing method suitable for use in the fabrication of reduced-dimension integrated circuits
KR20000048110A (ko) * 1998-12-15 2000-07-25 카네코 히사시 고체촬상장치 및 그 제조방법
US6324195B1 (en) 1999-01-13 2001-11-27 Kaneka Corporation Laser processing of a thin film
US6191887B1 (en) * 1999-01-20 2001-02-20 Tropel Corporation Laser illumination with speckle reduction
US6348076B1 (en) * 1999-10-08 2002-02-19 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US6956878B1 (en) * 2000-02-07 2005-10-18 Silicon Light Machines Corporation Method and apparatus for reducing laser speckle using polarization averaging
US6366308B1 (en) * 2000-02-16 2002-04-02 Ultratech Stepper, Inc. Laser thermal processing apparatus and method
US6300208B1 (en) * 2000-02-16 2001-10-09 Ultratech Stepper, Inc. Methods for annealing an integrated device using a radiant energy absorber layer
US6825101B1 (en) * 2000-03-27 2004-11-30 Ultratech, Inc. Methods for annealing a substrate and article produced by such methods
US6645838B1 (en) * 2000-04-10 2003-11-11 Ultratech Stepper, Inc. Selective absorption process for forming an activated doped region in a semiconductor
US6570656B1 (en) * 2000-04-10 2003-05-27 Ultratech Stepper, Inc. Illumination fluence regulation system and method for use in thermal processing employed in the fabrication of reduced-dimension integrated circuits
US6420264B1 (en) * 2000-04-12 2002-07-16 Ultratech Stepper, Inc. Method of forming a silicide region in a Si substrate and a device having same
US6274488B1 (en) * 2000-04-12 2001-08-14 Ultratech Stepper, Inc. Method of forming a silicide region in a Si substrate and a device having same
US6388297B1 (en) 2000-04-12 2002-05-14 Ultratech Stepper, Inc. Structure and method for an optical block in shallow trench isolation for improved laser anneal control
AU6004101A (en) * 2000-04-24 2001-11-07 Beijing Normal University Method for fabricating silicon-on-insulator
US6376806B2 (en) 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
JP3578046B2 (ja) * 2000-05-16 2004-10-20 日産自動車株式会社 車速制御装置
US6635588B1 (en) * 2000-06-12 2003-10-21 Ultratech Stepper, Inc. Method for laser thermal processing using thermally induced reflectivity switch
US6303476B1 (en) * 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6635541B1 (en) * 2000-09-11 2003-10-21 Ultratech Stepper, Inc. Method for annealing using partial absorber layer exposed to radiant energy and article made with partial absorber layer
US6479821B1 (en) * 2000-09-11 2002-11-12 Ultratech Stepper, Inc. Thermally induced phase switch for laser thermal processing
US6365476B1 (en) * 2000-10-27 2002-04-02 Ultratech Stepper, Inc. Laser thermal process for fabricating field-effect transistors
JP2002141301A (ja) * 2000-11-02 2002-05-17 Mitsubishi Electric Corp レーザアニーリング用光学系とこれを用いたレーザアニーリング装置
US6576870B2 (en) * 2000-11-13 2003-06-10 Hannstar Display Corp. Apparatus with double laser beams for cutting two bonded glass substrates and method thereof
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
US6486066B2 (en) * 2001-02-02 2002-11-26 Matrix Semiconductor, Inc. Method of generating integrated circuit feature layout for improved chemical mechanical polishing
EP1317766A1 (en) 2001-02-12 2003-06-11 Hitachi Kokusai Electric Inc. Ultra fast rapid thermal processing chamber and method of use
US20020121094A1 (en) * 2001-03-02 2002-09-05 Vanhoudt Paulus Joseph Switch-mode bi-directional thermoelectric control of laser diode temperature
JP2002280323A (ja) * 2001-03-16 2002-09-27 Semiconductor Energy Lab Co Ltd レーザ照射装置
JP2003059858A (ja) * 2001-08-09 2003-02-28 Sony Corp レーザアニール装置及び薄膜トランジスタの製造方法
US20030040130A1 (en) 2001-08-09 2003-02-27 Mayur Abhilash J. Method for selection of parameters for implant anneal of patterned semiconductor substrates and specification of a laser system
US6594090B2 (en) 2001-08-27 2003-07-15 Eastman Kodak Company Laser projection display system
US6777317B2 (en) * 2001-08-29 2004-08-17 Ultratech Stepper, Inc. Method for semiconductor gate doping
US7063978B2 (en) * 2001-11-01 2006-06-20 3M Innovative Properties Company Coated film laminate having an electrically conductive surface
US7105048B2 (en) * 2001-11-30 2006-09-12 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
EP1459366A2 (en) 2001-11-30 2004-09-22 Koninklijke Philips Electronics N.V. Method of forming a doped region in a semiconductor body comprising a step of amorphization by irradiation
US6577429B1 (en) * 2002-01-15 2003-06-10 Eastman Kodak Company Laser projection display system
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7005601B2 (en) 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US6747245B2 (en) * 2002-11-06 2004-06-08 Ultratech Stepper, Inc. Laser scanning apparatus and methods for thermal processing
US7154066B2 (en) * 2002-11-06 2006-12-26 Ultratech, Inc. Laser scanning apparatus and methods for thermal processing
US7097709B2 (en) 2002-11-27 2006-08-29 Mitsubishi Denki Kabushiki Kaisha Laser annealing apparatus
DE602004020538D1 (de) * 2003-02-28 2009-05-28 Semiconductor Energy Lab Verfahren und Vorrichtung zur Laserbestrahlung, sowie Verfahren zur Herstellung von Halbleiter.
US6844250B1 (en) * 2003-03-13 2005-01-18 Ultratech, Inc. Method and system for laser thermal processing of semiconductor devices
US6936505B2 (en) * 2003-05-20 2005-08-30 Intel Corporation Method of forming a shallow junction
JP2004363355A (ja) 2003-06-05 2004-12-24 Hitachi Ltd 半導体装置及びその製造方法
US7148159B2 (en) * 2003-09-29 2006-12-12 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US7098155B2 (en) 2003-09-29 2006-08-29 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US6911376B2 (en) 2003-10-01 2005-06-28 Wafermasters Selective heating using flash anneal
JP4700324B2 (ja) * 2003-12-25 2011-06-15 シルトロニック・ジャパン株式会社 半導体基板の製造方法
US7145104B2 (en) 2004-02-26 2006-12-05 Ultratech, Inc. Silicon layer for uniformizing temperature during photo-annealing
US7049544B2 (en) * 2004-03-26 2006-05-23 Ultratech, Inc. Beamsplitter for high-power radiation
JP2006024735A (ja) * 2004-07-08 2006-01-26 Seiko Instruments Inc 半導体膜の結晶化方法、及び、表示装置の製造方法
TW200605229A (en) * 2004-07-28 2006-02-01 Adv Lcd Tech Dev Ct Co Ltd Method of manufacturing semiconductor device
JPWO2006013898A1 (ja) * 2004-08-04 2008-05-01 松下電器産業株式会社 半導体装置の製造方法
JP4763983B2 (ja) * 2004-08-09 2011-08-31 シャープ株式会社 光変調素子、結晶化装置、結晶化方法、薄膜半導体基板の製造装置、薄膜半導体基板の製造方法、薄膜半導体装置、薄膜半導体装置の製造方法、表示装置及び位相シフタ
US7438468B2 (en) * 2004-11-12 2008-10-21 Applied Materials, Inc. Multiple band pass filtering for pyrometry in laser based annealing systems
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
EP1708008B1 (en) * 2005-04-01 2011-08-17 Semiconductor Energy Laboratory Co., Ltd. Beam homogenizer and laser irradition apparatus
US7135392B1 (en) * 2005-07-20 2006-11-14 Applied Materials, Inc. Thermal flux laser annealing for ion implantation of semiconductor P-N junctions
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
JP2007110064A (ja) 2005-09-14 2007-04-26 Ishikawajima Harima Heavy Ind Co Ltd レーザアニール方法及び装置
US20070080141A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. Low-voltage inductively coupled source for plasma processing
US7569463B2 (en) * 2006-03-08 2009-08-04 Applied Materials, Inc. Method of thermal processing structures formed on a substrate

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4475027A (en) * 1981-11-17 1984-10-02 Allied Corporation Optical beam homogenizer
US4439245A (en) * 1982-01-25 1984-03-27 Rca Corporation Electromagnetic radiation annealing of semiconductor material
US20020025659A1 (en) * 1988-09-28 2002-02-28 Shunpei Yamazaki Method for crystallizing semiconductor material without exposing it to air
US6265291B1 (en) * 1999-01-04 2001-07-24 Advanced Micro Devices, Inc. Circuit fabrication method which optimizes source/drain contact resistance
US20020192914A1 (en) * 2001-06-15 2002-12-19 Kizilyalli Isik C. CMOS device fabrication utilizing selective laser anneal to form raised source/drain areas
US20030157813A1 (en) * 2001-11-28 2003-08-21 Downey Daniel F. Athermal annealing with rapid thermal annealing system and method
JP2003229568A (ja) * 2002-02-04 2003-08-15 Hitachi Ltd 半導体装置の製造方法および半導体装置
US20040108588A1 (en) * 2002-09-24 2004-06-10 Cookson Electronics, Inc. Package for microchips
JP2005129930A (ja) * 2003-10-17 2005-05-19 Interuniv Micro Electronica Centrum Vzw 半導体基盤に活性化不純物の階層構造を提供する方法
WO2005104265A1 (en) * 2004-04-27 2005-11-03 Koninklijke Philips Electronics, N.V. Method of forming an organic semiconducting device by a melt technique

Also Published As

Publication number Publication date
US20070212859A1 (en) 2007-09-13
US10141191B2 (en) 2018-11-27
TWI446452B (zh) 2014-07-21
CN101395712A (zh) 2009-03-25
US20070218644A1 (en) 2007-09-20
US20120145684A1 (en) 2012-06-14
US20190139773A1 (en) 2019-05-09
US8518838B2 (en) 2013-08-27
TW200741881A (en) 2007-11-01
US20100323532A1 (en) 2010-12-23
CN103295896A (zh) 2013-09-11
TWI521571B (zh) 2016-02-11
CN101395712B (zh) 2013-06-12
US7569463B2 (en) 2009-08-04
US20070221640A1 (en) 2007-09-27
TW201216369A (en) 2012-04-16
TW201432797A (zh) 2014-08-16
CN103295896B (zh) 2016-01-20
JP2014060423A (ja) 2014-04-03
JP5931039B2 (ja) 2016-06-08
US10840100B2 (en) 2020-11-17

Similar Documents

Publication Publication Date Title
TWI463568B (zh) 用於熱處理形成於基材上之結構的方法及設備
JP6525919B2 (ja) パルス列アニーリング方法および装置
US8247317B2 (en) Methods of solid phase recrystallization of thin film using pulse train annealing method
CN107123597B (zh) 脉冲序列退火方法和设备
JP2005520340A (ja) レーザー熱処理用の熱誘導反射率スイッチ
KR101113533B1 (ko) 기판상에 형성되는 구조체의 열적 처리를 위한 장치 및 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees