TWI437639B - Film forming device - Google Patents

Film forming device Download PDF

Info

Publication number
TWI437639B
TWI437639B TW097113819A TW97113819A TWI437639B TW I437639 B TWI437639 B TW I437639B TW 097113819 A TW097113819 A TW 097113819A TW 97113819 A TW97113819 A TW 97113819A TW I437639 B TWI437639 B TW I437639B
Authority
TW
Taiwan
Prior art keywords
gas
film forming
diffusion chamber
forming apparatus
material gas
Prior art date
Application number
TW097113819A
Other languages
English (en)
Other versions
TW200849399A (en
Inventor
Masanobu Hatanaka
Osamu Irino
Michio Ishikawa
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Publication of TW200849399A publication Critical patent/TW200849399A/zh
Application granted granted Critical
Publication of TWI437639B publication Critical patent/TWI437639B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Description

成膜裝置
本發明,係有關於成膜裝置。
CVD法或ALD法,係為使用原料氣體以及反應氣體(支援氣體)等之2以上的氣體來進行成膜的方法。在實施此些之方法的成膜裝置中,由於若是原料氣體與反應氣體在被導入至成膜空間內之前即被混合,則會產生CVD反應(化學反應),因此,為了防止此,係有必要以使原料氣體成為在經由蓮蓬頭構造而被導入至成膜空間內之時才初次與其他氣體相接觸的方式來作構成。作為滿足此種要件之裝置,係週知有:在蓮蓬頭構造內將原料氣體擴散室與反應氣體擴散室分離區隔並各別設置,而以使各氣體不被混合地來經由蓮蓬頭構造而被導入至成膜空間內的方式而被構成之成膜裝置(例如,參考專利文獻1)。
[專利文獻1]日本特開2005-129712號公報(圖1、段落0017等)。
但是,在上述成膜裝置中,通連於反應氣體(支援氣體)用之第2擴散室的反應氣體導入口,和通連於原料氣體用之第1擴散室的原料氣體導入口,由於係在成膜裝置之 天花板部隔開有間隔地被設置,並通連於蓮蓬頭本體內之各擴散室,因此,原料氣體,係成為由從原料氣體用之擴散室的中央而稍微偏移的場所而被導入至擴散室中,其結果,在從擴散室而通過噴嘴並被導入至處理空間內後,係無法被均一地供給至晶圓上。故而,若是使用此裝置而進行成膜,則會有無法形成均一之膜的問題。
於此,本發明之課題,係為解決上述先前技術之問題點,並提供一種能夠均一地將氣體導入至基板上並形成均一之膜的CVD用又或是ALD用之成膜裝置。
本發明之成膜裝置,係為具備有成膜處理室與蓮蓬頭部之成膜裝置,其特徵為:前述蓮蓬頭部,係具備有原料氣體擴散室與反應氣體擴散室,連接前述原料氣體擴散室與原料氣體導入管之氣體通路,係被構成為1段以上之多段,各段係具備有以2n-1 (n為段數)來作表示之氣體通路,第1段之氣體通路,係被連接於前述原料氣體導入管,第2段以後之各氣體通路,係與前段之氣體通路相通連,最後段之各氣體通路,係被連接於原料氣體擴散室。藉由如此這般地構成氣體通路,成為能夠均一地將原料氣體導入至成膜處理室內,並形成均一之膜。
於此情況,較理想之情況,前述第1段之氣體通路,係於其中心處被連接有前述原料氣體導入管,前述第2段以後之各氣體通路,係於其中心處連接被設置於前段之氣 體通路的兩端之連接孔,而與前段之氣體通路相通連,前述最後段之各氣體通路,係藉由被形成於該各氣體通路之兩端的連接孔,而被連接於原料氣體擴散室,又,較理想之情況,前述原料氣體擴散室,係被配置於反應氣體擴散室之底部,原料氣體導入管,係被設置於反應氣體擴散室之壁面,於各段處被形成之前述各氣體通路,係被形成為圓弧狀。
較理想之情況,前述氣體通路,係被構成為2段,第1段之氣體通路,係於其中央被連接有前述原料氣體導入管,第2段之各氣體通路,係於其中央處,連接有被設置於第1段的氣體通路之兩端的連接孔,而與第1段之氣體通路相通連,且,藉由被形成於其之各氣體通路的兩端之連接孔,而被連接於四角形狀之原料氣體擴散室的四角隅處。
本發明之成膜裝置,較理想之情況,係於前述蓮蓬頭部之上流側具備有電漿產生手段,該電漿產生手段,係由具備有在用以導入反應氣體之非金屬管的外周之上部以及下部被分離而設置之導體的同軸型共振空腔、和微波供給電路所構成,前述同軸型共振空腔內部之高度,係為激勵波長之1/2的整數倍,從非金屬管之其中一端所注入的氣體,係在非金屬管之未設置有前述導體的區域處,被藉由微波而激勵,並從另外一端而電漿化並被放出,將藉由此電漿產生手段所電漿化之氣體,導入至前述反應氣體擴散室處。藉由具備有此電漿產生手段,能夠簡單地將反應氣體激勵。又,由於前述同軸型共振空腔內部係為激勵波長 之1/2的整數倍之高度,因此,在電漿產生前後,震盪頻率係不會變化,而能夠在驅動電漿產生手段的同時,來產生電漿。
較理想之情況,係於前述同軸型共振空腔處設置冷卻手段,並將冷卻氣體導入至空腔內部,而對非金屬管之未設置有導體的區域作冷卻,又,較理想之情況,前述非金屬管之側壁係為2重,於此側壁間,係具備有使冷卻用流體循環之流體循環手段。藉由具備有此種冷卻手段,能夠藉由如此一般地進行冷卻,來抑制非金屬管內壁之蝕刻,並提升自由基之產生效率。
又,較理想之情況,係於前述蓮蓬頭部之上流部,具備有:觸媒室,其係具備有反應氣體導入管、和金屬線、和金屬線之加熱手段,從反應氣體導入管所導入之氣體,係經由被加熱之金屬線而被激勵,此被激勵之氣體,係被導入至前述反應氣體擴散室處。
若藉由本發明之成膜裝置,則由於係能夠均一地將氣體導入至原料氣體擴散室內,並均一地將原料氣體供給至真空處理室內,因此,係可得到能夠形成均一之膜的優良效果。
首先,針對本發明之第1實施型態的成膜裝置中所使 用之第1蓮蓬頭構造,參考圖1來作說明。
蓮蓬頭構造1,係由上部之同軸型共振空腔11、和被連接於同軸型共振空腔11之底部而設置的反應氣體導入室12、和被連接於反應氣體導入室12而設置之蓮蓬頭部13所成。
同軸型共振空腔11,例如係為鋼製或鋁製,於此同軸型共振空腔11中,係貫通空腔之天花板壁與底壁,而被設置有非金屬管111。於此非金屬管111之上部,係隔著流量控制手段而被連接有未圖示之反應氣體的氣體源。作為此非金屬管111,係可使用石英管、藍寶石管又或是氧化鋁管,但是,為了更加降低粒子數,係以使用藍寶石管或是氧化鋁管為理想。
在此非金屬管111之上部,係以包覆其之周圍的方式,而被設置有同心圓狀之可自由移動的上部導體112,又,在此上部導體112之下方,同軸型共振空腔11之底壁,係作為下部導體113而起作用。在2個的導體間,非金屬管111係為露出,於此露出部111a,係被照射微波。另外,在圖1中,同軸型共振空腔11之底壁,雖係作為下部導體13而起作用,但是,亦可將下部導體113作為另外之構件而設置在同軸型共振空腔11之底部。
為了在非金屬管111之露出部111a的區域處產生電漿,微波供給手段14,係被設置在對應於同軸型共振空腔11之側壁面的露出部11a的位置處。此微波供給手段14,係由:震盪微波之磁控管141、和用以使此磁控管141動作之 微波電源142、和被連接於磁控管141,並將從磁控管141所震盪之共振頻率(例如,2.45GHz)之微波供給至同軸型共振空腔11處的天線143、和將天線143與磁控管141作連接之同軸纜線144所成。若是使微波電源142動作,則從磁控管141係震盪微波,此微波係透過同軸纜線144而到達被設置於壁面之天線143。而,若是微波從天線143而被供給至同軸型共振空腔11內,則從非金屬管111上部所導入之反應氣體,係在露出部111a之區域中變化為電漿狀態,並從身為氣體之流路的非金屬管111之下部,而作為被電漿化後之氣體來供給至反應氣體導入室12內。如此這般,在本裝置中,由於係並未設置有用以傳播微波之導波管,因此,若是震盪微波,則係能夠立即產生電漿。另外,在圖1中,雖係對僅設置有1個的天線143之例子作了說明,但是,亦可設置2個以上。又,如上述一般,由於上部導體112係為可動,因此,藉由改變其位置並改變上述導體112與下部導體113之間的電場之產生狀態,能夠對電漿之產生狀態作改變。
但是,一般而言,若是在電漿產生空間中被產生有電漿,則電漿產生空間之電場分佈係變化,而使共振頻率變化,電漿之產生效率係變差。此時,若是對微波供給手段作調整,則在微波震盪與電漿的產生之間會產生有時間延遲(time lag)。
於此,在第1成膜裝置中,係以在電漿產生之前後而不使共振頻率變化的方式,而以使同軸型共振空腔11內之 高度L成為激勵波長之1/2的整數倍的方式來作構成。此係有鑑於同軸型共振空腔11之電場分佈在電漿產生前雖係為TM模式,但是在電漿產生後係成為TEM模式一事,而從各模式中之電性等價電路來求取出電漿產生前後之各共振頻率,並藉由以使此些之共振頻率成為相等的方式來作計算所得者。藉由上述構成,在電漿產生前後,能夠對共振頻率之變化作抑制。
但是,就算是如此這般地對同軸型共振空腔11內之高度L作設定,在電漿產生後,在空腔內之頻率係仍會有些微的變動,因此,係以在第1蓮蓬頭構造1之微波供給手段14處,設置激磁電流控制電路為理想。此控制電路,係構成為:對同軸型共振空腔11內之電漿產生前後的頻率作監測,當此頻率有所變化的情況時,接受對應於變化量之訊號,並將相當於此訊號之電流作為激磁電流來送至磁控管141內之未圖示的激磁線圈,藉由此來使微波之波長成為一定。
又,亦可設置陽極電壓控制電路,其係當在同軸型共振空腔11內之頻率有所變化的狀態下震盪微波,而在電漿產生室內部產生有反射波的情況時,檢測出此反射波,並將相當於此反射波與所震盪之微波間的相位差之電壓,重疊並施加於磁控管內之陽極電極處,以使其接近於共振頻率。此時,由於反射波係在微波供給手段處被變換為熱,因此,當設置陽極電壓控制電路時,係有必要注意不要因為起因於反射波之熱而使電路受到損傷。進而,在下部導 體133之中,係亦可設置相當於震盪波長之1/4長度的抗流(choke)構造,來對從露出部11a所漏洩之微波作抑制。
此種第1蓮蓬頭構造,係以使同軸型共振空腔11內之高度L成為激勵波長之1/2的整數倍的方式而構成,並構成為在能夠震盪一定之共振頻率的同時,藉由設置激磁電路控制電路以及陽極電壓控制電路,而就算是在電漿產生前後共振頻率有所偏差,亦能夠將頻率自動地作匹配。進而,此第1成膜裝置,由於在微波震盪與電漿產生中係不會發生時間延遲,因此,能夠將電漿之產生,以極短之例如0.5秒左右的間隔來作控制,而非常適合於將吸著工程以及改質工程作多次之反覆進行來進行成膜的ALD法。
在此同軸型共振空腔11之非金屬管111內而藉由電漿所激勵之反應氣體,係經過反應氣體導入室12,而被導入至蓮蓬頭部13處。反應氣體導入室12,例如係為鋁製,於其內壁,係以為了防止粒子之產生而設置有石英製襯墊為理想。此時,雖係可如圖1中所示一般,在氣體導入室12之內壁的下方區域(蓮蓬頭部13側)來設置石英製襯墊,但是,較理想,係在內壁全面設置石英製襯墊。又,亦可以使自由基狀態之氣體難以成為非活性化的方式,來對反應氣體導入室12之內壁表面進行氧皮鋁(alumite)加工。
又,反應氣體導入室12,係亦可經由未圖示之冷卻手段來作冷卻。在反應氣體導入室12與蓮蓬頭部13之間,係被設置有陶瓷凸緣122(例如,厚度10mm),並藉由固定具123以及124而被固定。此陶瓷凸緣122,係以不使反應氣 體導入室12由於蓮蓬頭部13之熱而被加熱的方式,而用以將熱遮斷所設置者,從真空密封性、耐熱性、熱遮斷性來看,係以氧化鋁陶瓷為理想。
蓮蓬頭部13,係由圓盤狀構件13a、和環狀構件13b、和第1噴淋板13c、和第2噴淋板13d所成,並適宜地藉由固定具123而作固定。在圓盤狀構件13a處,較理想,係被設置有未圖示之加熱器以及熱電偶,並構成為:藉由加熱器,將蓮蓬頭部13加熱至成為特定之溫度(例如150℃左右),並將此被加熱之溫度藉由熱電偶來作測定,而成為能夠作監測。又,在圓盤狀構件13a處,係被構成有反應氣體擴散室131,該反應氣體擴散室131,係被形成有與反應氣體倒入室12相通連之開口部,從此開口部和環狀構件13b之開口部,反應氣體係被導入並擴散。反應氣體擴散室131,係在內壁全體設置有石英製之襯墊,於其底面,係被形成有複數之反應氣體噴出孔132。此反應氣體噴出孔132,係貫通第1噴淋板13c以及第2噴淋板13d,並到達蓮蓬頭部13之底面。
進而,在圓盤狀構件13a處,係被設置有連接於原料氣體導入裝置之原料氣體導入管,此原料氣體導入管133,係經由氣體通路134,亦即是經由被設置在環狀構件13b之外周部的氣體通路134a以及被設置在第1噴淋板13c之外周部的氣體通路134b,而被連接於被形成在第2噴淋板13d處之原料氣體擴散室135。此氣體通路134,係被構成為1以上之多段,各段,係具備有以2n-1 (n係為段數)來作 表示之數量的氣體通路134a以及134b。而,氣體通路134,係以使從前述原料氣體導入管133與第1段之氣體通路134a的連接位置起直到最終段之氣體通路134b與原料氣體擴散室135間之各連接位置為止的距離全部成為相等的方式來構成為理想。針對此氣體通路134,使用圖2以及圖3來作詳細說明。圖2,係為(a)環狀構件13b、(b)第1噴淋板13c以及(c)第2噴淋板13d之橫剖面圖,圖3,係為用以對原料氣體導入管133、氣體通路134以及原料氣體擴散室135之配置關係作說明的說明圖。
氣體通路134,係由被設置在環狀構件13b處的圓弧狀之1個的氣體通路134a、和被設置在第1噴淋板13c之被形成有反應氣體噴出孔132的區域之周邊部的圓弧狀之2個的氣體通路134b所成。在氣體通路134a之中央上部,係被連接有原料氣體導入管133。而,在氣體通路134a之兩端的底部,係分別被形成有連接孔134c,此各連接孔134c,係被連接於被設置在第1噴淋板13c處之氣體通路134b的各別之中央上部,氣體通路134a與氣體通路134b係相互通連。
又,在氣體通路134b之各別的兩端之底部,係被形成有連接孔134d,此連接孔134d,係被連接於被設置在第2噴淋板13d處之原料氣體擴散室135的4角隅之上部,並以使原料氣體經由連接孔134d而均勻地被吐出至原料氣體擴散室135處的方式而被構成。
如此這般,連接前述原料氣體擴散室135與原料氣體 導入管133之氣體通路134,係為2段構成,第1段之氣體通路134a,係於其中心被連接有前述原料氣體導入管133,第2段之氣體通路134b,係為在其中心處,與被設置在前段之氣體通路134a之兩端的底部處之連接孔134c相連接,而與前述之氣體通路134a相通連,且,藉由該被形成於各氣體通路134b之兩端的底部處之連接孔134d,而被連接於原料氣體擴散室135,如此地,作為1個的氣體通路而被構成。而,此氣體通路134,由於係以使從原料氣體導入管133起直到各連接孔134d為止的距離成為均為相等的方式而構成,因此,原料氣體係同時地以同量而到達原料氣體擴散室,而能夠均勻地擴散至原料氣體擴散室135處。另外,在圖中,雖係將氣體通路設為2段構成,並設置4個的連接孔134d,但是,亦可將氣體通路構成為3段以上,並增加連接孔134d之數量。例如,亦可構成為:在第1噴淋板之底部,形成與第1噴淋板同樣的反應氣體噴出孔,且,設置形成有4個的氣體通路之第3噴淋板,並在此第3噴淋板之4個的氣體通路之各中央上部,使第1噴淋板之連接孔與其相連接,而在此第3噴淋板之各氣體通路的兩端部,分別形成對第2噴淋板之原料氣體擴散室作連接之連接孔,亦即是,設置8個的連接孔,並與其配合地來設計原料氣體擴散室之形狀,來更加均等地使氣體擴散至原料氣體擴散室內。又,於圖中,雖係將原料氣體擴散室設為四角形,但是,亦可為圓形或其他之多角形。
於此原料氣體擴散室135中,係被設置有原料氣體噴 出孔136,此原料氣體噴出孔136,亦係貫通至蓮蓬頭部13之底面。此時,係以使原料氣體均勻地被噴出至真空處理室內的方式,來將噴出孔之傳導率(Conductance)設為較小為理想。例如,在圖1以及圖2所示之裝置中,係將原料氣體噴出孔136,設為孔徑Φ 0.7~1mm左右,孔深10mm左右,而構成為能夠將原料氣體均勻地供給至真空處理室內。
由於反應氣體噴出孔132係貫通至蓮蓬頭部13之底面,因此,在此蓮蓬頭部13之底面處,反應氣體噴出孔與原料氣體噴出孔,係分別空出有一定之距離,而並排為矩陣狀,藉由此,而構成為將原料氣體以及反應氣體無偏差地照射至基板上。各原料氣體噴出孔136之中心間距離與各反應氣體噴出孔132之中心間距離,係被設定為相同之距離(例如,14mm)。此時,反應氣體噴出孔132之直徑,係為較原料氣體噴出孔136之直徑更大,例如,若是將原料氣體噴出孔136之直徑設為1mm,則反應氣體噴出孔132之直徑係為5mm。此係因為,在改質工程中,反應氣體之流量,相較於原料氣體之流量,係為較多之故。
在如此這般所構成之蓮蓬頭部13中,從非金屬管111經過反應氣體導入室12而被導入至蓮蓬頭部13處之反應氣體,係在反應氣體擴散室131的全體中擴散,並經過各反應氣體噴出孔132,而被供給至真空處理室內。又,從原料氣體導入管133所導入之原料氣體,係在氣體通路134處從氣體通路134a之中心部而被導入,並被在氣體通路134a 均等地被分為左右而經由連接孔134c來擴散至被形成於下段之各氣體通路134b。而後,在氣體通路134b處被均等地分為左右並前進,而從連接孔134d來均勻地擴散至原料氣體擴散室135,而後,從原料氣體擴散室135之各原料氣體噴出孔136來均勻地供給至真空處理室內。
以下,針對本發明之第2實施型態的成膜裝置中所使用之第2蓮蓬頭構造作說明。
圖4,係為第2蓮蓬頭構造2之模式圖,對於與圖1相同之構成要素,係附加相同之參考符號。若是使用具備有圖1所示之蓮蓬頭構造1的成膜裝置來對極為多量之基板作處理並進行成膜,則非金屬管111之內側,會有如同圖5之SEM照片所示一般之被蝕刻的情況。故而,為了對蝕刻作抑制,此第2蓮蓬頭構造2,係至少具備有1個的在蓮蓬頭構造1中所未具備之冷卻手段。亦即是,第2蓮蓬頭構造2,與第1蓮蓬頭構造1,在具備有將同軸型共振空腔內作冷卻之冷卻氣體導入手段21之點,以及將非金屬管111變更為形成有使冷卻用流體流動之流路的非金屬(例如,氧化鋁或石英)製的2重管22之點上,係為相異,且為具備有此些之冷卻手段中之至少一個者。以下,針對變更點作說明。
冷卻氣體導入手段21,係具備有氣體源211、和被連接於氣體源211之氣體管212、和被介在設置於氣體管211處之閥213,並被安裝設置於同軸型共振空腔11處。藉由此冷卻氣體導入手段21,能夠將冷卻氣體導入至同軸型共 振空腔11之內部,而使其內部充滿冷卻氣體,並藉由為圖示之排氣手段,來一面對冷卻氣體作排氣,一面使其循環。如此這般,成為能夠將同軸型共振空腔11內部之溫度保持在一定,並將2重管22之露出部111a附近作冷卻。
作為從氣體源211而導入至同軸型共振空腔11內的冷卻氣體,係使用不會對人體造成影響而能夠放出至清淨室內的冷卻氣體,例如,係可使用乾N2 氣體、乾Ar氣體、乾He氣體、乾O2 氣體等。
接下來,針對2重管22,使用圖6來作說明。圖6(a),係為2重管22之縱剖面圖,圖6(b),係為從圖6(a)中之線A-A’所見的剖面圖,圖6(c),係為從圖6(b)中之線B-B’間所見之剖面圖。如圖6(a)所示一般,2重管22,其側壁係成為2重,於側壁221之內側,係被形成有冷卻用流體通路222。於此冷卻用流體通路222之上壁,係被設置有流入口223以及流出口224。而後,如圖6(b)所示一般,在冷卻用流體通路222處,係被插入有2個的區隔板225,如圖6(c)所示一般,在此區隔板225之下部,係被設置有開口部226。藉由此,冷卻用流體通路222之流入口223側的上流部222a,和流出口224側之下流部222b係相互通連,從流入口223所流入之流體,係在冷卻用流體通路222之上流部222a從上而流向下方,並通過開口部226,而進入下流部222b,並將此由下而朝上地填滿,而從流出口224來流出至側壁221之外部。如此這般,由於冷卻用流體通路222係將2重管內部之氣體流路227的外周幾乎完全包覆,因此,能夠 將氣體通路由上至下而完全冷卻。另外,在圖中,雖係將流入口223以及流出口224設置在2重管22之上面,但是,係亦可分別設置在側面。
此時,冷卻用流體,係有必要使用不會與微波之震盪頻率產生共振的流體媒體,例如,當震盪頻率為2.45GHz的情況時,係可以使用3M公司製.商品名Fluorinert的FC-87、FC-72、FC-84、FC-77、FC-75、FC-3283、FC-40、FC-43、FC-70、FC-5312,或是Ausimont公司製,商品名GALDEN(登錄商標)。又,亦可使用乙二醇或者是以乙二醇為主體之液體媒體。進而,亦可使用乾N2 氣體、乾Ar氣體、乾He氣體、乾O2 氣體等之氣體。
在使用上述第2蓮蓬頭構造2來進行成膜時,係藉由冷卻氣體導入手段21而持續導入冷卻氣體,以及/又或是藉由2重管,來持續對氣體通路227作冷卻,而進行成膜。若是如此這般地一面進行冷卻一面進行成膜,則如同圖5所示一般之氣體通路227內面的蝕刻係被抑制,同時,自由基之產生效率係提升。而,就算是自由基之產生效率提升,氣體通路227內面亦不會被蝕刻的原因,是因為內壁被充分的冷卻之故。
如此這般,藉由設置冷卻氣體導入手段21以及/又或是2重管22,在能夠對2重管22內部之氣體通路227的內面之蝕刻作抑制的同時,亦可防止在露出部111a之區域所形成的電漿中之自由基由於熱而去活化(deactive),而能夠提升自由基之產生效率,並有效率地實施成膜。
以下,針對本發明之第3實施型態的成膜裝置中所使用之第3蓮蓬頭構造,使用圖7來作說明。圖中,針對與圖1相同之構成要素,係附加同樣的參考符號。本發明之第3蓮蓬頭構造3,係與第1成膜裝置的情況相異,在為了激勵反應氣體,並不使用同軸型共振空腔11以及微波產生手段14,而係具備有具備觸媒激勵之觸媒室31一點上,係為相異。
在觸媒室31之上部,係被設置有反應氣體導入管32,在此反應氣體導入管32之下流側,係被設置有金屬線33。金屬線33,係由公知之觸媒金屬,例如由鎢等所成,並被構成為能夠加熱至所期望之溫度。在觸媒室31之側壁,係被設置有未圖示之溫度控制系統,而能夠將觸媒室31內保持為特定之溫度。在觸媒室31之下流測,係存在有反應氣體導入室12,兩者係相通連。
從反應氣體導入管32而被控制流量並導入之反應氣體,若是被導入至觸媒室31中,則係經由金屬線33而被激勵,並成為自由基,而被導入至反應氣體導入室12中。另外,當金屬線33未被加熱至特定的溫度時,由於氣體係難以被激勵,因此,係不會被自由基化。
然而,隨著原料氣體之不同,由於若是超過特定之溫度,則可能會產生熱分解,因此,係有必要在特定之溫度以下的溫度來使其氣化並作導入。在此種情況時,在第1~第3蓮蓬頭構造1~3中所被使用之原料氣體導入裝置,係以如圖8以及圖9所示一般地被構成為理想。以下,參考 圖8以及圖8並作說明。
圖8(a)以及(b),係為展示原料氣體導入裝置15之構成的模式圖。當做為原料氣體而使用Zr(BH4 )4 的情況時,此原料,係被保持在較融點(28.7℃)為更低之溫度的-10℃~25℃,特別是以保持在-5℃~5℃為理想。此係因為,Zr(BH4 )4 ,由於其安定性係非常差,因此,若是較25℃為更高,則會藉由自我分解而在原料槽中分解為ZrB2 或B2H6 等,而另一方面,若是未滿-10℃,則在作為ALD之原料來使用時,蒸氣壓會成為不滿2mmHg而為過低之故。例如,在被保持在0℃(蒸氣壓3.7mmHg)之槽41內,設置網格為細之網42,並在該網上乘載顆粒狀之原料43,再將作為起泡(bubbling)氣體之Ar、He等的惰性氣體,經由質量流控制器44而供給至槽41之下方,並使惰性氣體從網之下方朝向上方而流至原料43內,藉由此發泡而使原料43昇華,並與發泡氣體一共地將原料氣體經由原料氣體導入管133以及氣體通路134而導入至原料氣體擴散室135內(圖8(a)),又或是,例如將顆粒狀之原料43以設置在被保持於0℃左右之槽41內的2枚之網42a以及42b之間來作挾持,並將作為起泡氣體之Ar、He等的惰性氣體,經由質量流控制器44而從槽41內之網42a來朝向網42b地流動至原料內,藉由此發泡而使原料43昇華,再與發泡氣體一共地將原料氣體經由原料氣體導入管133以及氣體通路134而導入至原料氣體擴散室135內(圖8(b))。
又,原料氣體之導入,係可藉由使用有低差壓質量流 計之於圖9中所示的原料氣體導入裝置4,來如下述一般的進行。亦即是,例如將原料放入被保持在0℃(蒸氣壓3.7mmHg)左右的槽41內,並使用如同低差壓質量流控制器一般之質量流控制器44,來將此原料,一面直接對原料43之氣化氣體的流量作控制,一面經由原料氣體導入管133以及氣體通路134而導入至原料氣體擴散室135內。此時,在將原料氣體導入至處理室內時,一定要將處理室內的壓力設為較原料氣體之蒸氣壓為更低。例如,當將原料槽冷卻保溫在0℃的情況時,由於原料氣體之蒸氣壓係為3.7mmHg,因此,係將處理室壓力設為較3.7mmHg為更低。
針對上述之使用有第1蓮蓬頭構造1(圖1)的成膜裝置,參考圖10並於以下作說明。
成膜裝置,係由成膜處理室5、和被設置在成膜處理室5之天花板部的蓮蓬頭構造1所成。成膜處理室5,係於下部被設置有排氣手段51,而能夠對從天花板部所導入之原料氣體以及反應氣體作適當排氣,而設定為特定之真空度。在成膜處理室5之與蓮蓬頭構造1相對向的位置處,係被設置有基板載置部52,在此基板載置部52處,係被設置有加熱手段53,並能夠將被載置於基板載置部52處之基板S加熱至特定之溫度,例如,當實施CVD法時,係為300℃以上,當實施ALD法時,係為未滿300℃。
此種成膜裝置之構成,當代替第1蓮蓬頭構造1而使用第2、第3蓮蓬頭構造2、3(圖4,圖7)的情況時,亦為相同 。
在具備有上述之蓮蓬頭構造1、2、3之任一者的成膜裝置,亦可實施使用有原料氣體以及反應氣體之2種類,或者是使用有更多種之氣體的成膜方法。作為成膜方法,例如,係可列舉有CVD法或是ALD法。
為了使用本發明之成膜裝置而實施CVD法,例如,係在具備有蓮蓬頭構造1又或是2的成膜裝置中,將基板S載置在基板載置台52上,並藉由加熱手段53來將基板溫度加熱為180~未滿260℃,而後,做為反應氣體,將N2 氣體以10~5000sccm的條件來導入至非金屬管111(又或是2重管22)中,同時,對於原料槽內之Zr(BH4 )4 ,將作為發泡氣體之Ar氣體以1000sccm來作導入,而將由發泡所得之Zr(BH4 )4 所成的原料氣體,從原料氣體導入管133來導入。同時,若是藉由微波供給手段14,來將投入功率設為0.1~5kW,而震盪微波並激勵反應氣體,並進行5~180秒之成膜,則能夠形成所期望之ZrBN膜。
又,在具備有蓮蓬頭構造3的成膜裝置中,例如,若是將基板S載置在基板載置台52上,並將基板溫度加熱為400℃,又,將金屬線33加熱至1500~2000℃,而後,做為反應氣體,將N2 氣體以10~5000sccm的條件來作導入,同時,對於原料槽內之Zr(BH4 )4 ,將作為發泡氣體之Ar氣體以1000sccm來作導入,而將由此發泡所得之Zr(BH4 )4 所成的原料氣體,從原料氣體導入管133來導入,並一面經由金屬線33來激勵反應氣體,一面進行5~180秒 之成膜,則能夠形成所期望之ZrBN膜。
在實施ALD法的情況時,例如,係在具備有蓮蓬頭構造1又或是2的成膜裝置中,將基板S載置在基板載置台上,並將基板溫度加熱為150℃,而後,做為反應氣體,將H2 氣體以1~100sccm的條件來作導入,同時,對於原料槽內之Zr(BH4 )4 ,將作為發泡氣體之Ar氣體以1000sccm來作導入,而將由此發泡所得之Zr(BH4 )4 所成的原料氣體,從原料氣體導入管133來導入(吸著工程)。在特定時間後,停止原料氣體,並將反應氣體之流量提升至10~500sccm,同時,將投入功率設為0.1~5kW,而震盪微波,並激勵反應氣體而作導入(改質工程),將此些之工程反覆進行數次~數百次,而能夠形成所期望之厚度的ZrB2 膜。
[產業上之利用可能性]
若藉由本發明,則在實施CVD法又或是ALD法的情況時,能夠形成均一之膜厚的膜。故而,本發明,係可利用在半導體技術中。
1‧‧‧第1蓮蓬頭構造
2‧‧‧第2蓮蓬頭構造
3‧‧‧第3蓮蓬頭構造
11‧‧‧同軸型共振空腔
12‧‧‧氣體導入室
13‧‧‧蓮蓬頭部
13a‧‧‧圓盤狀構件
13b‧‧‧環狀構件
13c‧‧‧噴淋板
13d‧‧‧噴淋板
14‧‧‧微波供給手段
15‧‧‧原料氣體導入裝置
31‧‧‧觸媒室
32‧‧‧反應氣體導入管
33‧‧‧金屬線
41‧‧‧槽
42‧‧‧網
42a、42b‧‧‧網
43‧‧‧原料
44‧‧‧質量流控制器
111‧‧‧非金屬管
111a‧‧‧露出部
112‧‧‧上部導體
113‧‧‧下部導體
122‧‧‧陶瓷凸緣
123、124‧‧‧固定具
131‧‧‧反應氣體擴散室
132‧‧‧反應氣體噴出孔
133‧‧‧原料氣體導入管
134‧‧‧氣體通路
134a‧‧‧氣體通路
134b‧‧‧氣體通路
134c‧‧‧連接孔
134d‧‧‧連接孔
135‧‧‧原料氣體擴散室
136‧‧‧原料氣體噴出孔
137‧‧‧氣體導入口
141‧‧‧磁控管
142‧‧‧微波電源
143‧‧‧天線
144‧‧‧同軸纜線
211‧‧‧氣體源
212‧‧‧氣體管
213‧‧‧閥
221‧‧‧側壁
222‧‧‧冷卻用流體通路
222a‧‧‧上流部
222b‧‧‧下流部
223‧‧‧流入口
224‧‧‧流出口
225‧‧‧板
226‧‧‧開口部
227‧‧‧氣體通路
[圖1]用以對在本發明之實施中所使用的成膜裝置之蓮蓬頭構造1作說明的剖面模式圖。
[圖2](a)環狀構件13b、(b)第1噴淋板13c、以及(c)第2噴淋板13d之橫剖面圖。
[圖3]用以對原料氣體導入管133、氣體通路134以及原料氣體擴散室135之配置關係作說明的說明圖。
[圖4]用以對第2蓮蓬頭構造2作說明之剖面模式圖。
[圖5]展示非金屬管內面被蝕刻後之狀態的SEM照片。
[圖6]針對2重管22作說明之剖面模式圖,(a),係為2重管22之縱剖面圖,(b),係為從圖6(a)中之線A-A’所見的剖面圖,(c),係為從圖6(b)中之線B-B’所見之剖面圖。
[圖7]用以對第3蓮蓬頭構造3作說明之剖面模式圖。
[圖8]係關於在本發明中之原料氣體的產生,(a)係為用以對其之一例作說明的模式構成圖,(b)係為用以對另外之例作說明的模式構成圖。
[圖9]展示用以對本發明中之原料氣體的產生作說明之另外其他例子的模式構成圖。
[圖10]展示本發明之成膜裝置的剖面模式圖。
1‧‧‧第1蓮蓬頭構造
5‧‧‧成膜處理室
11‧‧‧同軸型共振空腔
12‧‧‧氣體導入室
13‧‧‧蓮蓬頭部
13a‧‧‧圓盤狀構件
13b‧‧‧環狀構件
13c‧‧‧噴淋板
13d‧‧‧噴淋板
14‧‧‧微波供給手段
51‧‧‧排氣手段
52‧‧‧基板載置部
53‧‧‧加熱手段
111‧‧‧非金屬管
111a‧‧‧露出部
112‧‧‧上部導體
113‧‧‧下部導體
122‧‧‧陶瓷凸緣
132‧‧‧反應氣體噴出孔
133‧‧‧原料氣體導入管
134a‧‧‧氣體通路
135‧‧‧原料氣體擴散室
136‧‧‧原料氣體噴出孔
137‧‧‧氣體導入口
141‧‧‧磁控管
142‧‧‧微波電源
143‧‧‧天線
144‧‧‧同軸纜線
S‧‧‧基板

Claims (7)

  1. 一種成膜裝置,係為具備有成膜處理室與蓮蓬頭部之成膜裝置,其特徵為:前述蓮蓬頭部,係具備有原料氣體擴散室與反應氣體擴散室,連接前述原料氣體擴散室與原料氣體導入管之氣體通路,係被構成為2段,各段係具備有以2n-1 (n為段數)來作表示之氣體通路,第1段之氣體通路,係於其之中央被連接有原料氣體導入管,第2段之氣體通路,係於其中央處,連接有被設置於第1段的氣體通路之兩端的連接孔,而與第1段之氣體通路相通連,且,藉由被形成於第2段之各氣體通路的兩端之連接孔,而被連接於四角形狀之原料氣體擴散室的四角隅處。
  2. 如申請專利範圍第1項所記載之成膜裝置,其中,前述原料氣體擴散室,係被配置於反應氣體擴散室之底部,原料氣體導入管,係被設置於反應氣體擴散室之壁面,於各段處被形成之前述各氣體通路,係被形成為圓弧狀。
  3. 如申請專利範圍第1項或第2項所記載之成膜裝置,其中,係構成為:於前述蓮蓬頭部之上流側具備有電漿產生手段,該電漿產生手段,係由具備有在用以導入反應氣體之非金屬管的外周之上部以及下部被分離而設置之導體的同軸型共振空腔、和微波供給電路所構成,前述同軸型共振空腔內部之高度,係為激勵波長之1/2的整數倍,從非金屬管之其中一端所注入的氣體,係在非金屬管之未設置有 前述導體的區域處,被藉由微波而激勵,並從另外一端而電漿化並被放出,將藉由此電漿產生手段所電漿化之氣體,導入至前述反應氣體擴散室處。
  4. 如申請專利範圍第3項所記載之成膜裝置,其中,係構成為:於前述同軸型共振空腔處設置冷卻手段,並將冷卻氣體導入至空腔內部,而對非金屬管之未設置有導體的區域作冷卻。
  5. 如申請專利範圍第3項所記載之成膜裝置,其中,前述非金屬管之側壁係為2重,於此側壁間,係具備有使冷卻用流體循環之流體循環手段。
  6. 如申請專利範圍第4項所記載之成膜裝置,其中,前述非金屬管之側壁係為2重,於此側壁間,係具備有使冷卻用流體循環之流體循環手段。
  7. 如申請專利範圍第1項或第2項所記載之成膜裝置,其中,係構成為:於前述蓮蓬頭部之上流部,具備有:觸媒室,其係具備有反應氣體導入管、和金屬線、和金屬線之加熱手段,從反應氣體導入管所導入之氣體,係經由被加熱之金屬線而被激勵,此被激勵之氣體,係被導入至前述反應氣體擴散室處。
TW097113819A 2007-04-17 2008-04-16 Film forming device TWI437639B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007108027 2007-04-17

Publications (2)

Publication Number Publication Date
TW200849399A TW200849399A (en) 2008-12-16
TWI437639B true TWI437639B (zh) 2014-05-11

Family

ID=39875506

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097113819A TWI437639B (zh) 2007-04-17 2008-04-16 Film forming device

Country Status (6)

Country Link
US (1) US8419854B2 (zh)
JP (1) JP5179476B2 (zh)
KR (1) KR101125086B1 (zh)
CN (1) CN101657565A (zh)
TW (1) TWI437639B (zh)
WO (1) WO2008129977A1 (zh)

Families Citing this family (444)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR101136539B1 (ko) * 2010-04-01 2012-04-17 주식회사 누리텍 챔버 후랜지 구조를 갖는 패럴린 코팅 장치
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2011158781A1 (ja) * 2010-06-14 2011-12-22 株式会社アルバック 成膜装置
JP5474193B2 (ja) * 2010-07-12 2014-04-16 株式会社アルバック 成膜装置
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR101394916B1 (ko) * 2013-02-22 2014-05-14 주식회사 테스 박막증착장치
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9548227B2 (en) * 2013-10-30 2017-01-17 Nisene Technology Group Microwave induced plasma decapsulation using a dielectric plasma discharge tube
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) * 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102251209B1 (ko) * 2016-06-15 2021-05-11 어플라이드 머티어리얼스, 인코포레이티드 고 전력 플라즈마 에칭 프로세스들을 위한 가스 분배 플레이트 조립체
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108385071B (zh) * 2017-02-03 2020-08-21 台湾积体电路制造股份有限公司 气体供应装置及方法
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
FI128427B (en) * 2018-04-12 2020-05-15 Beneq Oy Nozzle head and device
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
KR102225657B1 (ko) * 2019-11-14 2021-03-10 피에스케이 주식회사 배플 유닛, 이를 포함하는 기판 처리 장치
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2021195580A (ja) * 2020-06-10 2021-12-27 東京エレクトロン株式会社 成膜装置および成膜方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN114256046A (zh) * 2020-09-22 2022-03-29 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN116194616A (zh) * 2020-09-25 2023-05-30 朗姆研究公司 高温工艺用轴向冷却金属喷头
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11742185B2 (en) * 2021-03-26 2023-08-29 Applied Materials, Inc. Uniform in situ cleaning and deposition
CN113189638B (zh) * 2021-04-21 2024-04-05 中国科学院国家空间科学中心 一种基于粒子径迹成像云室的粒子运动轨迹成像装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115389096A (zh) * 2022-08-26 2022-11-25 江苏微导纳米科技股份有限公司 气体压力探测装置及沉积设备

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS53130108A (en) 1977-04-20 1978-11-13 Takeda Chemical Industries Ltd Paper contained seed
JPS5956724A (ja) * 1982-09-27 1984-04-02 Isamu Kato マイクロ波プラズマによる薄膜形成方法
JPS63186875A (ja) * 1987-01-29 1988-08-02 Tadahiro Omi 表面反応成膜装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
FR2762748B1 (fr) * 1997-04-25 1999-06-11 Air Liquide Dispositif d'excitation d'un gaz par plasma d'onde de surface
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
KR100733237B1 (ko) * 1999-10-13 2007-06-27 동경 엘렉트론 주식회사 처리 장치
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
JP4849705B2 (ja) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
JP3946641B2 (ja) * 2001-01-22 2007-07-18 東京エレクトロン株式会社 処理装置
KR100735932B1 (ko) * 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
JP2003041365A (ja) 2001-07-31 2003-02-13 Hitachi Kokusai Electric Inc 基板処理装置
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
JP3861036B2 (ja) * 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
CN1202282C (zh) * 2002-09-20 2005-05-18 烽火通信科技股份有限公司 耐高温等离子体谐振腔
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
KR100901892B1 (ko) * 2003-09-03 2009-06-10 도쿄엘렉트론가부시키가이샤 가스 처리 장치 및 처리 가스 토출 구조체
JP4265762B2 (ja) * 2003-09-08 2009-05-20 キヤノンアネルバ株式会社 プラズマ処理装置
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
JP2006299294A (ja) * 2005-04-15 2006-11-02 Tokyo Electron Ltd ガス供給装置及び成膜装置
US7715312B2 (en) * 2005-04-25 2010-05-11 Verizon Services Corp. Methods and systems for maintaining quality of service (QOS) levels for data transmissions
US7668107B2 (en) * 2006-03-22 2010-02-23 Marvell Israel (M.I.S.L.) Ltd. Hardware implementation of network testing and performance monitoring in a network device
JP4877748B2 (ja) * 2006-03-31 2012-02-15 東京エレクトロン株式会社 基板処理装置および処理ガス吐出機構
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US20110048325A1 (en) * 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same

Also Published As

Publication number Publication date
KR101125086B1 (ko) 2012-03-21
US20100180819A1 (en) 2010-07-22
US8419854B2 (en) 2013-04-16
WO2008129977A1 (ja) 2008-10-30
CN101657565A (zh) 2010-02-24
JPWO2008129977A1 (ja) 2010-07-22
TW200849399A (en) 2008-12-16
KR20100015936A (ko) 2010-02-12
JP5179476B2 (ja) 2013-04-10

Similar Documents

Publication Publication Date Title
TWI437639B (zh) Film forming device
KR101064354B1 (ko) 장벽막 형성 방법
JP7028956B2 (ja) プラズマエッチングプロセスでのコーティング部品を使用するプロセスウィンドウの拡大
JP6651576B2 (ja) マルチゾーン温度制御および多パージ機能を有するペデスタル
JP5438205B2 (ja) プラズマ処理装置用の天板及びプラズマ処理装置
TW201522696A (zh) 使用遠端電漿cvd技術的低溫氮化矽膜
KR20140034115A (ko) 이중 전달 챔버 디자인
TW201435138A (zh) 具高清洗效率的對稱氣體分配設備及方法
JP4502639B2 (ja) シャワープレート、プラズマ処理装置、及び、製品の製造方法
WO2005064998A1 (ja) プラズマ処理装置
JP5140321B2 (ja) シャワーヘッド
JP4583618B2 (ja) プラズマ処理装置
TWI790507B (zh) 用於處理腔室的多區域流動氣箱
US20100307685A1 (en) Microwave plasma processing apparatus
TW200804618A (en) Microwave plasma treatment apparatus and its manufacturing method, and plasma treatment method
JP2021515964A (ja) 半導体プロセス及び機器向けの磁気誘導プラズマ源
US11532461B2 (en) Substrate processing apparatus
TW202129800A (zh) 用於沉積腔室的氣體分佈陶瓷加熱器
JP2020068373A (ja) 基板処理装置
TWI814291B (zh) 均勻的原位清洗及沉積
CN113921451A (zh) 载置台、处理基片的装置和对基片进行温度调节的方法
TW202310684A (zh) 具有電感耦合電漿源的反應器
TW202342806A (zh) 具有加熱噴頭的噴頭組件
TW202316914A (zh) 電漿處理裝置
JP2000208424A (ja) 処理装置とその方法