TWI389236B - Substrate processing device - Google Patents

Substrate processing device Download PDF

Info

Publication number
TWI389236B
TWI389236B TW095144032A TW95144032A TWI389236B TW I389236 B TWI389236 B TW I389236B TW 095144032 A TW095144032 A TW 095144032A TW 95144032 A TW95144032 A TW 95144032A TW I389236 B TWI389236 B TW I389236B
Authority
TW
Taiwan
Prior art keywords
processed
transfer
module
wafer
relay unit
Prior art date
Application number
TW095144032A
Other languages
English (en)
Other versions
TW200729380A (en
Inventor
Gaku Ikeda
Keiji Osada
Kunio Takano
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200729380A publication Critical patent/TW200729380A/zh
Application granted granted Critical
Publication of TWI389236B publication Critical patent/TWI389236B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

基板處理裝置
本發明係關於多反應室方式的基板處理裝置,尤其是關於將2個多反應室裝置串聯連接之基板處理裝置。
於先前,在半導體製造裝置的領域中,為了一貫性進行複數之半導體製造工程,係採用將複數之製程模式配置在主搬送室的周圍之多處理室方式。
一般而言,於真空製程用的多反應室方式基板處理裝置,亦即所謂的集群設備中,不僅為各個製程模組的反應室,集群中心部的搬送室亦維持為真空。於搬送室中,係隔著閘閥而連結於做為介面模組之承載室。被處理體,例如為半導體晶圓,係被搬入至大氣壓下的承載室,之後從形成為減壓狀態後的承載室中搬入至搬送室。搬送室中所設置之搬送機構,係將從承載室中所取出之半導體晶圓搬入至第1個製程模組。此製程模組係依循預先設定的製程操作參數,花費特定時間而實施第1工程之處理。一旦結束此第1工程的處理,則搬送室的搬送機構係從第1個製程模組中搬出該半導體晶圓,並搬入至接下來的第2個製程模組。此第2個製程模組亦依循預先設定的製程操作參數,花費特定時間而實施第2工程之處理。一旦結束此第2工程的處理,則搬送室的搬送機構係從第2個製程模組中搬出該半導體晶圓,於具有下1個工程時,則搬入至第3個製程模組,於不具有下1個工程時,則送回承載室。於第3個之後製程模組中進行處理時,於之後具有下1個工程時,則搬入至下1個製程模組,於不具有下1個工程時,則送回承載室。如此,一旦結束此多數個製程模組中的一連串處理之半導體晶圓被搬入至承載室,則承載室係從減壓狀態切換至大氣壓狀態。之後透過位於與搬送室為相反側之晶圓出入口,從承載室中搬出該半導體晶圓。
於串聯連接2個多反應室裝置之串接(Tandem)方式的基板處理裝置中,包含承載室之第1集群的搬送室及不包含承載室之第2集群的搬送室,係隔著閘閥而連結,並於2個搬送室的搬送機構之間,設置有用以進行半導體晶圓的接收傳送之中繼部(參照日本特開2004-119635號公報,尤其是第3圖)。關於搬送順序的一項典型例子,例如第1集群側的第1搬送機構,係將從承載室中所導入之各個半導體晶圓,依序搬送至第1集群內的1個或是多數個製程模組,並進行由1個或是多數個工程所組成之第1階段的處理,一旦結束處理則傳送至中繼部。第2集群側的第2搬送機構,係留置於中繼部之半導體晶圓加以接收,依序搬送至第2集群內的1個或是多數個製程模組,並進行由1個或是多數個工程所組成之第2階段的處理,一旦結束處理則傳送至中繼部。第1搬送機構係拉取返回至中繼部之處理結束的半導體晶圓,並送回承載室。
如此之串聯連接2個集群之串接方式的基板處理裝置,可連續執行依據第1集群之1項或是多數項處理以及依據第2集群之1項或是多數項處理。並且可藉由閘閥而分離第1集群內的環境及第2集群內的環境,因此係具有可極力抑制交叉污染(Cross Contamination:污染的傳播或擴散)之優點。
於上述般之串接方式的處理系統中,係使從第1集群移往第2集群之半導體晶圓以及從第2集群移往第1集群之半導體晶圓之滯留時間成為不同,並暫時留置於共通的中繼部。
以往係認為,若使從一邊的集群移往另一邊的集群之半導體晶圓於中繼部當中等待,則系統內所有晶圓的搬送會於此處產生阻塞而變得較不理想,因此係設定為,於半導體晶圓從第2集群的第2搬送機構傳送至中繼部時,第1集群的第1搬送機構係立即拉取該半導體晶圓,並於半導體晶圓從第1集群的第1搬送機構傳送至中繼部時,第2集群的第2搬送機構立即拉取該半導體晶圓。
然而,如此之優先進行從中繼部中拉取晶圓之搬送步驟,就處理系統全體或是1批次的處理全體來看,係成為處理量的惡化原因。亦即,於以處理系統全體的處理量為最優先時,於橫跨第1集群及第2集群並依照工程順序將各個半導體晶圓搬送至多數個製程模組之序列搬送中,將各個製程模組中剛處理結束之半導體晶圓加以搬出,並與此動作交替進行而將上1個工程的製程模組中所剛搬出之下1個半導體晶圓加以搬入之交替搬送方式,為最有利的方式。以往,於如此之序列搬送方式中,亦設定為如上述般之以另一邊的集群之搬送機構,立即將從一邊的集群之搬送機構中傳送至中繼部之半導體晶圓加以拉取,並搬送至下1個目的地。然而,由於優先進行從中繼部中拉取晶圓以及搬送至下1個目的地之動作,而使得對製程模組之晶圓的搬送被延後,結果為導致系統全體及1批次的處理全體之處理量的惡化。
本發明係用以解決上述以往技術的問題點而創作出之發明,目的在於提供一種,可提升橫跨2個多反應室裝置之多數個製程模組之連續處理的處理量之基板處理裝置。
為了達成上述目的,根據本發明之第1觀點,係提供一種基板處理裝置,係具備串聯連接之第1多反應室裝置及第2多反應室裝置;上述第1多反應室裝置係具有,第1搬送機構;配置於上述第1搬送機構的周圍之第1群的製程模組;及配置於上述第1搬送機構的周圍,並用以於上述第1多反應室裝置的外部與上述第1多反應室裝置之間進行被處理體的接收傳送之介面模組;上述第2多反應室裝置係具有,第2搬送機構;及配置於上述第2搬送機構的周圍之第2群的製程模組;為了於上述第1搬送機構與上述第2搬送機構之間進行被處理體的接收傳送,用以暫時留置被處理體之中繼部,係設置於上述第1搬送機構與上述第2搬送機構之間;此基板處理裝置更具備控制器,上述控制器係構成為,使上述第1及第2搬送機構依循特定的處理順序,依序將各個被處理體搬送至上述第1群及第2群的製程模組,並且從上述第1群及第2群的各個製程模組中,將該製程模組中所處理結束之被處理體加以搬出,並與此動作交替進行而將下一個應予進行處理之後續的其他被處理體搬入至該製程模組中,而以此方式控制上述第1及第2搬送機構,其特徵為:上述控制器係構成為,於將結束上述第2多反應室裝置中的特定處理之第1被處理體,藉由第2搬送機構而搬入至上述中繼部時,下一個應從上述第1多反應室裝置搬入至上述第2多反應室裝置之第2被處理體,處於無法搬入至上述中繼部之狀態的情況下,係使上述第1被處理體於上述中繼部中待機,直至上述第2被處理體處於可搬入至上述中繼部之狀態為止,之後從上述中繼部中搬出上述第1被處理體,並與此動作交替進行而將上述第2被處理體搬入至上述中繼部,並以此方式而控制上述第1搬送機構。
此外,根據本發明之第2觀點,係提供一種基板處理裝置,係具備串聯連接之第1多反應室裝置及第2多反應室裝置;上述第1多反應室裝置係具有,第1搬送機構;配置於上述第1搬送機構的周圍之第1群的製程模組;及配置於上述第1搬送機構的周圍,並用以於上述第1多反應室裝置的外部與上述第1多反應室裝置之間進行被處理體的接收傳送之介面模組;上述第2多反應室裝置係具有,第2搬送機構;及配置於上述第2搬送機構的周圍之第2群的製程模組;為了於上述第1搬送機構與上述第2搬送機構之間進行被處理體的接收傳送,用以暫時留置被處理體之中繼部,係設置於上述第1搬送機構與上述第2搬送機構之間;此基板處理裝置更具備控制器,此控制器係構成為,使上述第1及第2搬送機構依循特定的處理順序,依序將各個被處理體搬送至上述第1群及第2群的製程模組,並且從上述第1群及第2群的各個製程模組中,將該製程模組中所處理結束之被處理體加以搬出,並與此動作交替進行而將下一個應予進行處理之後續的其他被處理體搬入至該製程模組中,而以此方式控制上述第1及第2搬送機構,其特徵為:上述控制器係構成為,於將結束上述第1多反應室裝置中的特定處理之第1被處理體,藉由第1搬送機構而搬入至上述中繼部時,下一個應從上述第2多反應室裝置搬入至上述第1多反應室裝置之第2被處理體,處於無法搬入至上述中繼部之狀態的情況下,係使上述第1被處理體於上述中繼部中待機,直至上述第2被處理體處於可搬入至上述中繼部之狀態為止,之後從上述中繼部中搬出上述第1被處理體,並與此動作交替進行而將上述第2被處理體搬入至上述中繼部,並以此方式而控制上述第2搬送機構。
於本發明中,於被處理體從附隨於一邊的多反應室裝置之搬送機構中傳送至中繼部時,附隨於另一邊的多反應室裝置之搬送機構,並非立即接收該被處理體(第1被處理體),而是若附隨於上述另一邊的多反應室裝置之搬送機構的周圍之製程模組中進行處理(或是已進行處理)之被處理體至少有1個時,則持續等待,直至以將當中的前頭者(第2被處理體)與已位於中繼部之被處理體加以交替之形式而可傳送至中繼部為止。如此,係使對製程模組之被處理體的搬送優先於從中繼部中接收第1被處理體,藉此可提升系統全體的處理量。
於本發明之較佳的一項型態中,於從介面模組經由第1群的製程模組而到達中繼部為止之搬送路徑上,監視是否存在有被處理體,於以第2搬送機構將第1被處理體傳送至中繼部時,搬送路徑上並不存在任何1個被處理體時,係使第1搬送機構實質上不等待而立即從中繼部中接收第1被處理體。此外,於從中繼部經由第2群的製程模組而返回中繼部為止之搬送路徑上,監視是否存在有被處理體,於第1被處理體被傳送至中繼部時,該搬送路徑上並不存在任何1個被處理體時,係使第2搬送機構實質上不等待而立即從中繼部中接收第1被處理體。如此,於不對製程模組進行被處理體的交替時,可立即從中繼部中接收第1被處理體。
此外,根據較佳的一項型態,第1搬送機構係依照工程順序,以序列方式將各個被處理體搬送至第1群的製程模組,且對於各個製程模組,將該製程模組中所處理結束之被處理體加以搬出,並與此動作交替進行而將下一個應予進行處理之後續的其他被處理體搬入至該製程模組中。於如此之序列搬送方式中,本發明可發揮充分的效果。
做為序列搬送方式之較佳的一項型態,第1搬送機構係具有可進出第1群的製程模組之2個搬送臂;於對各個製程模組之1次的進入中,係以一邊的搬送臂,從該製程模組中將處理結束之被處理體加以搬出,並與此動作交替進行而以另一邊的搬送臂,將後續的其他被處理體搬入至該製程模組。此時,第1搬送機構於對中繼部之1次的進入中,可藉由一邊的搬送臂,從中繼部中將返回的被處理體加以接收,並與此動作交替進行而以另一邊的搬送臂,將送出的被處理體傳送至中繼部。此外,第1搬送機構於對介面模組之1次的進入中,可藉由一邊的搬送臂,從該介面模組中取出未處理的被處理體,並與此動作交替進行而以另一邊的搬送臂,將返回的被處理體送入至該介面模組。此外,第1搬送機構亦可將從中繼部中所接收之返回的被處理體,直接搬送至介面模組。
此外,關於較佳的一項型態,第2搬送機構係依照工程順序,以序列方式將各個被處理體搬送至第2群的製程模組,且對於各個製程模組,將該製程模組中所處理結束之被處理體加以搬出,並與此動作交替進行而將下一個應予進行處理之後續的其他被處理體搬入至該製程模組中。此時,較理想為第2搬送機構係具有可進出第1群的製程模組之2個搬送臂;於對各個製程模組之1次的進入中,係以一邊的搬送臂將處理結束之被處理體加以搬出,並與此動作交替進行而以另一邊的搬送臂,將後續的其他被處理體加以搬入。
本發明係極為適用於真空處理系統。根據本發明之較佳的一項型態,第1及第2搬送機構係各自設置於第1及第2真空搬送室內;中繼部係配置於第1真空搬送室與第2真空搬送室之間的交界附近;第1群的各個製程模組係具有,隔著閘閥而連結於第1真空搬送室之真空處理室;第2群的各個製程模組係具有,隔著閘閥而連結於第2真空搬送室之真空處理室。此外,介面模組係隔著閘閥而連結於第1真空搬送室,並為了將於大氣壓空間與減壓空間之間所傳送之被處理體加以暫時留置,而具有構成為可將室內選擇性切換至大氣壓狀態或減壓狀態之至少1個承載室。為了進行被處理體的搬送,第1搬送機構係於減壓下的第1真空搬送室內移動,而進入第1群的製程模組之真空處理室、中繼部及承載室。另一方面,為了進行被處理體的搬送,第2搬送機構係於減壓下的第2真空搬送室內移動,而進入第2群的製程模組之真空處理室及中繼部。第1搬送機構及第2搬送機構可於互不同步之方式下而進行晶圓搬送。
於如此之連結有2個集群之真空處理系統中,一般而言,第1真空搬送室與上述第2真空搬送室係隔著閘閥而相互連結。尤其是,本發明亦可適用於2個真空搬送室為經常連通之真空處理系統。
此外,關於較佳的一項型態,係更具備,於大氣壓下用以支撐可收納多數個被處理體之卡匣之載入埠;及連接於或是鄰接於載入埠,並隔著門閥而連結於承載模組之大氣壓下的搬送模組;及用以於載入埠上的卡匣與承載模組之間搬送被處理體之設置於大氣壓搬送模組內之第3搬送機構。
以下係參照附加圖式而說明本發明之較佳的實施型態。
第1圖係顯示本發明的一項實施型態之基板處理裝置的構成。此基板處理裝置係串聯連接2個集群10、12。在此,第1集群10為,於構成真空搬送室之多角形的第1傳輸模組TM1 的周圍上,以環狀而配置有多數個、例如為4個之製程模組PM1 、PM7 、PM8 、PM6 及2個承載模組LLM1 、LLM2 之多反應室裝置。於此第1集群10中,各個模組係具有可個別以期望的真空度而形成減壓空間之真空反應室或處理室,中心部的第1傳輸模組TM1 係隔著閘閥GV而連結於周邊部的各個模組PM1 、PM7 、PM8 、PM6 、LLM1 、LLM2
另一方面,第2集群12為,於構成真空搬送室之多角形的第2傳輸模組TM2 的周圍上,以環狀而配置有多數個、例如為4個之製程模組PM2 、PM3 、PM4 、PM5 之多反應室裝置。於此第2集群12中,各個模組亦具有可個別以期望的真空度而形成減壓空間之真空反應室或處理室,中心部的第2傳輸模組TM2 係隔著閘閥GV而連結於周邊部的各個模組PM2 、PM3 、PM4 、PM5
第1集群10的第1傳輸模組TM1 及第2集群12的第2傳輸模組TM2 係隔著閘閥GV而互相連結,於接近此閘閥GV之第1傳輸模組TM1 的突出部分上,係設置有做為中繼部之傳遞部PA。傳遞部PA係具有可水平支撐1片的被處理體,例如為半導體晶圓(以下係簡稱為「晶圓」)之多數根的支撐銷。為了輔助晶圓的接收傳送,支撐銷亦可形成為可升降。
於第1傳輸模組TM1 的室內中,係設置具有可迴轉及伸縮之一對的搬送臂FA 、FB 之第1真空搬送機器手臂RB1 。此第1真空搬送機器手臂RB1 的各個搬送臂FA 、FB ,係各自形成為可各將1片的晶圓保持於各呈叉狀形狀之手端(End Effector)。第1真空搬送機器手臂RB1 係通過開啟狀態的閘閥GV,於各個模組PM1 、PM7 、PM8 、PM6 、LLM1 、LLM2 中將搬送臂FA 或FB 插入及拉出,此可進行晶圓的搬入(載入)及搬出(卸下)。同樣的,第1真空搬送機器手臂RB1 亦可對傳遞部PA進行晶圓的接收傳送。兩個搬送臂FA 、FB 係以機器手臂主體互為背對背之方式而裝載,並一體進行迴轉運動,於一邊的搬送臂停止於原先位置,亦即返回位置之狀態下,另一邊的搬送臂係進行伸縮而於原先位置與正面前方的前行位置之間進退。
同樣的,於第2傳輸模組TM2 的室內中,係設置具有可迴轉及伸縮之一對的搬送臂FC 、FD 之第2真空搬送機器手臂RB2 。此第2真空搬送機器手臂RB2 的各個搬送臂FC 、FD ,係各自形成為可各將1片的晶圓保持於各呈叉狀形狀之手端。第2真空搬送機器手臂RB2 係通過開啟狀態的閘閥GV,於各個模組PM2 、PM3 、PM4 、PM5 中將搬送臂FC 或FD 插入及拉出,藉此可進行晶圓的搬入(載入)及搬出(卸下)。同樣的,第2真空搬送機器手臂RB2 亦可通過開啟狀態的閘閥GV,對傳遞部PA進行晶圓的接收傳送。兩個搬送臂FC 、FD 係以機器手臂主體互為背對背之方式而裝載,並一體進行迴轉運動,於一邊的搬送臂停止於原先位置,亦即返回位置之狀態下,另一邊的搬送臂係進行伸縮而於原先位置與正面前方的前行位置之間進退。
製程模組PM1 ~PM8 ,係於各個反應室內使用特定的功率(處理氣體、電力等)而進行特定的單片式處理,例如CVD或是濺鍍等的成膜處理、熱處理、乾式蝕刻加工等。此外,承載模組LLM1 、LLM2 亦可因應必要而安裝加熱部或冷卻部。
承載模組LLM1 、LLM2 係於與傳輸模組TM為相反側上,隔著門閥DV與經常維持於大氣壓下的載入模組LM連結。此外,與此載入模組LM鄰接而設置有載入埠LP及定向面對位機構ORT。載入埠LP係用於與外部搬送車之間進行晶圓卡匣CR的投入及取出。定向面對位機構ORT係用於將晶圓W的定向面或缺口配合於特定位置或方向。
載入模組LM內所設置之大氣搬送機器手臂RB3 ,係具有可伸縮之上下2段(一對)的搬送臂,於線性導軌(線性滑動器)LG上可於水平方向上移動且可升降.迴轉,並且於載入埠LP、定向面對位機構ORT、及承載模組LLM1 、LLM2 之間移動而以每1次搬送1片或2片晶圓。此外,線性導軌LG例如由永久磁鐵、驅動用激磁線圈及標度頭等所構成,並因應來自於主控制器之指令,而進行大氣搬送機器手臂RB3 之直線驅動控制。
在此係說明,使載入埠LP中所投入之晶圓卡匣CR內的1片晶圓,於此基板處理裝置內進行一連串的處理之基本的晶圓搬送順序。在此,係設定為藉由第1集群10的製程模組PM7 、PM1 而依此順序進行第1工程及第2工程的單片式處理,接下來藉由第2集群12的製程模組PM4 、PM3 而依此順序進行第1工程及第2工程的單片式處理。此時,第1工程及第2工程的單片式處理為第1階段的處理,第3工程及第4工程的單片式處理為第2階段的處理。此基板處理裝置的搬送順序,係於用以統合控制系統全體之主控制器及用以控制各個模組的動作之各處的控制器之間,進行所需的控制信號之間的溝通而藉此執行。此外,亦可由單一的控制器直接控制各個模組的動作,以取代上述控制方式。於第1圖中,以符號20所表示之控制器(CNTL),可為上述任一種形式。
載入模組LM的大氣搬送機器手臂RB3 ,係從載入埠LP上的晶圓卡匣CR中取出1片晶圓Wi ,並將此晶圓Wi 搬送至定向面對位機構ORT。於定向面對位機構ORT中係進行定向面對位。於結束定向面對位後,大氣搬送機器手臂RB3 係將晶圓Wi 移送至承載模組LLM1 、LLM2 當中之一(在此係設定為LLM1 )。承載模組LLM1 於大氣壓狀態下接收晶圓Wi ,之後將室內抽取為真空,並於減壓狀態下將晶圓Wi 傳送至第1傳輸模組TM1 的第1真空搬送機器手臂RB1
第1真空搬送機器手臂RB1 係使用搬送臂FA 、FB 當中之一,將從承載模組LLM1 所取出之晶圓Wi 搬入至第1個製程模組PM7 。製程模組PM7 係依循預先設定的製程操作參數,於特定的製程條件(氣體、壓力、電力、溫度、時間等)下實施第1工程之單片式處理。一旦結束此第1工程的單片式處理,則第1真空搬送機器手臂RB1 從製程模組PM7 搬出晶圓Wi ,並搬入至接下來的第2個製程模組PM1 。製程模組PM1 係依循預先設定的製程操作參數,於特定的製程條件下實施第2工程之單片式處理。一旦結束此第2工程的單片式處理,則第1真空搬送機器手臂RB1 從製程模組PM1 搬出晶圓Wi ,並傳送至傳遞部PA。傳遞部PA係將所接收之晶圓Wi 支撐為水平而留置。
第2傳輸模組TM2 的第2真空搬送機器手臂RB2 係從傳遞部PA中接收晶圓Wi ,並將晶圓Wi 搬入至第3個製程模組PM4 。製程模組PM4 係依循預先設定的製程操作參數,於特定的製程條件下實施第3工程之單片式處理。一旦結束此第3工程的單片式處理,則第2真空搬送機器手臂RB2 從製程模組PM4 搬出晶圓Wi ,並搬入至接下來的第4個製程模組PM3 。製程模組PM3 係依循預先設定的製程操作參數,於特定的製程條件下實施第4工程之單片式處理。一旦結束此第4工程的單片式處理,則第2真空搬送機器手臂RB2 從製程模組PM3 搬出晶圓Wi ,並傳送至傳遞部PA。傳遞部PA係將所接收之處理結束的晶圓Wi ,亦即為返回之晶圓Wi 支撐為水平而留置。
之後,第1傳輸模組TM1 的第1真空搬送機器手臂RB1 ,係接收送回至傳遞部PA之返回的晶圓Wi ,並將此晶圓Wi 送回至承載模組LLM1 、LLM2 當中之一。
如此,一旦於基板處理裝置內之多數個製程模組PM7 、PM1 、PM4 、PM3 中接受連續處理之處理結束的晶圓Wi ,被搬入至承載模組當中之一(在此係設定為LLM2 )。則此承載模組LLM2 的室內係從減壓狀態切換至大氣壓狀態。之後,載入模組LM的大氣搬送機器手臂RB3 係從大氣壓狀態的承載模組LLM2 中取出晶圓Wi ,並送回至該晶圓卡匣CR。此外,亦可對承載模組LLM1 、LLM2 中所滯留之晶圓Wi ,於期望的環境下進行加熱或冷卻處理。
如上述般,此基板處理裝置可於串聯連接2個集群10、12內,依照工程順序將晶圓依送至多數個製程模組而連續實施一連串的處理。例如,根據此基板處理裝置,可使用橫跨2個集群之多數個製程模組,並於各個製程模組中以不同的製程條件進行真空處理,藉此可於晶圓上層積多數種類的薄膜。
尤其是為了發揮此基板處理裝置之最大限度的處理能力,於橫跨第1集群10及第2集群12並依照工程順序將各個晶圓W搬送至多數個製程模組(於上述例子中為PM7 、PM1 、PM4 、PM3 )之序列搬送中,將各個製程模組PM中剛處理結束之晶圓Wi 加以搬出,並與此動作交替進行而將上1個工程的製程模組中所剛搬出之下1個晶圓Wi 加以搬入之交替搬送方式,為最適當的方式。
尤其是,由於使從第1集群10朝向第2集群12之前行的晶圓W→與從第2集群12朝向第1集群10之返回的晶圓W←之滯留時間成為不同,並暫時留置於共通的中繼部,因此,於對前行的晶圓W→之搬送順序與對返回的晶圓W←之搬送順序產生衝突或競合時,會產生處理量的降低。然而,於產生如此之競合時,可根據之後所述之本發明的搬送步驟,而藉此抑制處理量的降低至最小限度。
於此基板處理裝置中,第1傳輸模組TM1 的第1真空搬送機器手臂RB1 ,係如上述般具有一對的搬送臂FA 、FB ,並且可進行,對於該周圍的各個製程模組PM7 、PM1 、PM8 、PM6 ,藉由一連串的進入動作而將該模組中所處理結束不久之晶圓與下一個應於該模組中進行處理之晶圓加以交替之取放(Pick and Place)動作。
參照第2圖而說明此取放動作。如第2圖(A)所示般,第1真空搬送機器手臂RB1 係以一邊的搬送臂,例如為FA ,將應搬入至製程模組PMn 之未處理(處理前)的晶圓Wi 加以保持,並使不具有晶圓之空的另一邊的搬送臂FB 朝向製程模組PMn 。之後,如第2圖(B)、(C)所示般,將空的搬送臂FB 插入於製程模組PMn 的反應室,並從該處將處理結束的晶圓Wi 取出(取的動作)。接著如第2圖(D)所示般,使搬送臂FA 、FB 迴轉(反轉)180°,使保持未處理的晶圓Wi 之搬送臂FA 朝向製程模組PMn 。接下來如第2圖(E)、(F)所示般,將搬送臂FB 插入於製程模組PMn 的反應室,並將晶圓Wi 傳送至該內部的載置台或支撐銷等,而拉出成為空的搬送臂FA (放的動作)。於此取放動作之間,設置於該製程模組PMn 的晶圓出入口之閘閥GV(參照第1圖),係維持於開啟的狀態。
如此,第1傳輸模組TM1 的第1真空搬送機器手臂RB1 ,係藉由對製程模組PMn 之一連串的進入動作(亦即,於對某一個模組之一連串的進入動作之間,未進行對其他模組之進入動作),而可藉由上述般的取放動作,將該模組中所處理結束之晶圓Wi 與下一個應於該模組中進行處理之晶圓Wi 加以交替。此外,第1真空搬送機器手臂RB1 亦可對各個承載模組LLM1 、LLM2 ,藉由與上述相同的取放動作而進行未處理的晶圓與處理結束的晶圓之交替或是接收傳送。
再者,第1真空搬送機器手臂RB1 亦可對傳遞部PA,藉由與上述相同的取放動作而進行前行的晶圓W→與返回的晶圓W←之間的交替。亦即以空的搬送臂FB 從傳遞部PA中拉取返回的晶圓W←(取的動作),接著使搬送臂FA 、FB 迴轉(反轉)1800 ,將保持有前行的晶圓W→之搬送臂FA 朝向傳遞部PA,之後使搬送臂FA 伸長而將前行的晶圓W→傳送至傳遞部PA的支撐銷,並拉回成為空的搬送臂FA (放的動作)。
此外,第1真空搬送機器手臂RB1 於進行上述一連串的進入動作時,只要為可於取的動作之後立即進行放的動作,則亦可於取的動作之後,等待些許的時間後再進行放的動作。再者,亦可僅單獨進行將晶圓Wi (W←)加以搬出(拉取)之取的動作,或是僅單獨進行將晶圓Wi (W→)加以搬入(接收)之放的動作。
同樣的,第2傳輸模組TM2 的第2真空搬送機器手臂RB2 ,亦具有一對的搬送臂FC 、FD ,並且可對於該周圍的各個製程模組PM2 、PM3 、PM4 、PM5 ,藉由上述般的取放動作而將該模組中所處理結束不久之晶圓與下一個應於該模組中進行處理之晶圓加以交替。此外,第2真空搬送機器手臂RB2 亦可對傳遞部PA,藉由與上述相同的取放動作而進行前行的晶圓W→與返回的晶圓W←之間的交替。此外,同樣的若第2真空搬送機器手臂RB2 可於取的動作之後立即進行放的動作,則亦可於取的動作之後,等待些許的時間後再進行放的動作。再者,第2真空搬送機器手臂RB2 亦可僅單獨進行將晶圓Wi (W→)加以搬出(拉取)之取的動作,或是僅單獨進行將晶圓Wi (W←)加以搬入(接收)之放的動作。
接下來參照第3圖~第20圖,說明於第1圖所示之基板處理裝置中,為了對以卡匣單位投入於載入埠LP之一群的晶圓連續進行一連串的處理,係以序列搬送方式將各個晶圓W依序搬送至集群設備內的多數個製程模組中之搬送順序的一項實施型態。於序列搬送方式中,較理想為將各個製程模組之製程時間設定為全部相同。
於此實施例中,於銅鍍敷膜的銅配線製程中,係於下層(Cu)上連續形成阻障金屬的TaN/Ta層積膜及Cu遮蔽層。亦即,對於各個晶圓W,首先於第1集群10內的製程模組PM7 中,藉由脫氣(Degas)處理使吸附於下層(Cu)的表面之氣體脫離,接著於同樣的第1集群10內的製程模組PM1 中,藉由蝕刻而潔淨下層(Cu)表面,接著於第2集群12內的製程模組PM4 中藉由iPVD(Ionized Physical Vapor Deposition:離子物理氣相沉積)法而形成TaN/Ta層積膜,最後於第2集群12內的製程模組PM3 中藉由iPVD法而形成Cu遮蔽層。之後於承載模組LLM1 、LLM2 中冷卻處理結束的晶圓。此時,其他的製程模組PM8 、PM6 、PM2 、PM5 並不運轉。
於收納於載入埠LP上的晶圓卡匣CR之多數片、例如為25片的晶圓(在此係設定此25片晶圓為1個製造批次)W101~W125中,如第3圖所示般,最初的晶圓W101係經由定向面對位機構ORT而搬送至承載模組LLM1 、LLM2 當中之一(在此係設定為第1承載模組LLM1 )。搬入有晶圓W101之承載模組LLM1 ,於將室內抽取為真空之間,第2片晶圓W102係於定向面對位機構ORT中進行定向面對位。如上述般,載入埠LP、定向面對位機構ORT、及承載模組LLM1 、LLM2 之間的晶圓搬送,均藉由載入模組LM的大氣搬送機器手臂RB3 而進行。
接下來如第4圖所示般,一旦於承載模組LLM1 中完成真空抽取,則晶圓W101係從承載模組LLM1 通過第1傳輸模組TM1 而被搬送至第1工程中的製程模組PM7 。如上述般,第1集群10內的晶圓搬送,均藉由第1真空搬送機器手臂RB1 而進行。另一方面,於大氣搬送系列中,晶圓W102係從定向面對位機構ORT中移往另一個(第2)承載模組LLM2 ,並且第3片晶圓W103從晶圓卡匣CR中移載至定向面對位機構ORT。
製程模組PM7 係依循預先設定的製程操作參數,於特定的製程條件下對搬入的晶圓W101進行脫氣處理。在此之間,如第5圖所示般,一旦於承載模組LLM2 中完成真空抽取,則第1真空搬送機器手臂RB1 從承載模組LLM2 中將晶圓W102取出。此外,於大氣搬送系列中,晶圓W103係從定向面對位機構ORT中移往第1承載模組LLM1 ,並且第4片晶圓W104從晶圓卡匣CR移載至定向面對位機構ORT。
接下來如第6圖所示般,一旦於製程模組PM7 完成對晶圓W101之脫氣處理,則晶圓W101從製程模組PM7 移往相同之第1集群10內的第2工程用製程模組PM1 ,並且於第1傳輸模組TM1 內待機的晶圓W102被搬入至製程模組PM7 。此時,於製程模組PM7 中,係藉由上述取放動作而搬出晶圓W101,並與此動作交替進行而搬入晶圓W102。
一旦搬入晶圓W102,則製程模組PM7 係以與對晶圓W101為相同的製程條件對晶圓W102進行脫氣處理。於稍微延遲下,製程模組PM1 係依循預先設定的製程操作參數,於特定的製程條件下,開始對搬入的晶圓W101進行下層表面蝕刻以及潔淨處理。另一方面,搬入有晶圓W103之承載模組LLM1 ,係將室內抽取為真空。此外,於大氣搬送系列中,晶圓W104被移往承載模組LLM2 ,並且第5片晶圓W105從晶圓卡匣CR移載至定向面對位機構ORT。
之後如第7圖所示般,一旦於製程模組PM7 中完成脫氣處理,並於製程模組PM1 中完成潔淨處理,則晶圓W101從製程模組PM1 中移往傳遞部PA,晶圓W102從製程模組PM7 中移往製程模組PM1 ,晶圓W103從承載模組LLM1 中移往製程模組PM7
此時的搬送步驟如下所述。首先完成承載模組LLM1 的真空抽取,並從第1傳輸模組TM1 中取出晶圓W103。之後一旦於製程模組PM7 中完成脫氣處理,則藉由取放動作從製程模組PM7 中搬出晶圓W102,並與此動作交替進行而將於第1傳輸模組TM1 內待機的晶圓W103搬入至製程模組PM7 。接著一旦於製程模組PM1 中完成潔淨處理,則藉由取放動作從製程模組PM1 中搬出晶圓W101,並與此動作交替進行而將從製程模組PM7 中所搬出之晶圓W102搬入至製程模組PM1 。之後,從製程模組PM1 中所搬出之晶圓W101,係被傳送至傳遞部PA。
此外,承載模組LLM1 於從該處所搬出之晶圓W103被搬入至第1傳輸模組TM1 之後,係將室內切換為大氣壓,並且定向面對位結束後的晶圓W105係被搬入至此處。此外,第6片晶圓W106係從晶圓卡匣CR移載至定向面對位機構ORT。
之後如第8圖所示般,第2集群12的第2真空搬送機器手臂RB2 係從傳遞部PA中拉取晶圓W101,並將晶圓W101搬入至第3工程用製程模組PM4 。製程模組PM4 係依循預先設定的製程操作參數,於特定的製程條件下對搬入的晶圓W101開始進行依據iPVD法之TaN/Ta層的成膜處理。另一方面,於第1集群10內,一旦於承載模組LLM2 中完成真空抽取,則晶圓W104係被搬出第1傳輸模組TM1 。此外,於大氣搬送系列中,晶圓W106係從定向面對位機構ORT中被拉取至大氣搬送機器手臂RB3 ,並且第7片晶圓W107係從晶圓卡匣CR移載至定向面對位機構ORT。
之後如第9圖所示般,一旦於製程模組PM7 中完成脫氣處理,並於製程模組PM1 中完成潔淨處理,則晶圓W102從製程模組PM1 中移往傳遞部PA,晶圓W103從製程模組PM7 中移往製程模組PM1 ,晶圓W104被搬入至製程模組PM7 。此時之各個晶圓W102、W103、W104的搬送,係以與上述晶圓W101、W102、W103的搬送時之步驟完全相同而進行。兩個製程模組PM7 、PM1 係以與上述為相同之製程條件,對晶圓W104、W103進行脫氣處理、潔淨處理。
如第10圖所示般,於第2集群12中,第2真空搬送機器手臂RB2 係從結束TaN/Ta層的成膜處理之製程模組PM4 中搬出晶圓W101,並將晶圓W101搬入至第4工程用製程模組PM3 。製程模組PM3 係依循預先設定的製程操作參數,於特定的製程條件下對搬入的晶圓W101開始進行依據iPVD法之Cu遮蔽層的成膜處理。之後,第2真空搬送機器手臂RB2 係將從傳遞部PA中所拉取之晶圓W102,搬入至成為空的製程模組PM4 。製程模組PM4 係以與上述為相同之製程條件,對新搬入的晶圓W102實施TaN/Ta層的成膜處理。
此時,第2真空搬送機器手臂RB2 可採用,首先從傳遞部PA中拉取晶圓W102,接著再對製程模組PM4 藉由取放動作而進行兩晶圓W101、W102的交替,之後並藉由單獨的放的動作將晶圓W101搬入至製程模組PM3 之搬送步驟。取而代之的是,由於晶圓W101為批次中的前頭晶圓(於此晶圓之前並無進行處理的晶圓),因此亦可先藉由單獨的取的動作將晶圓W101從製程模組PM4 中搬出,之後再藉由單獨的放的動作而將晶圓W101搬入至製程模組PM3 ,然後再藉由單獨的取的動作從傳遞部PA中拉取晶圓W102,接著再以單獨的放的動作將晶圓W102搬入至製程模組PM4
另一方面如第10圖所示般,於第1集群10中,第1真空搬送機器手臂RB1 係預先從完成真空抽取之承載模組LLM1 中取出晶圓W105。此外,於大氣搬送系列中,晶圓W107係從定向面對位機構ORT中被拉取至大氣搬送機器手臂RB3 ,並且第8片晶圓W108從晶圓卡匣CR移載至定向面對位機構ORT。
之後於第1集群10中,如第11圖所示般,一旦於製程模組PM7 中完成脫氣處理,並於製程模組PM1 中完成潔淨處理,則晶圓W103從製程模組PM1 中移往傳遞部PA,晶圓W104從製程模組PM7 中移往製程模組PM1 ,晶圓W105被搬入至製程模組PM7 。此時之各個晶圓W103、W104、W105的序列搬送,係以與上述晶圓W102、W103、W104的序列搬送時之步驟完全相同而進行。兩個製程模組PM7 、PM1 係以與上述為相同之製程條件,對新搬入的晶圓W105、W104進行脫氣處理、潔淨處理。
之後如第12圖所示般,於第2集群12中,第2真空搬送機器手臂RB2 係從結束Cu遮蔽層的成膜處理之製程模組PM3 中搬出晶圓W101,將晶圓W101送回傳遞部PA,之後從結束TaN/Ta層的成膜處理之製程模組PM4 中搬出晶圓W102,並將晶圓W102搬入至製程模組PM3 ,從第1集群10側傳送至傳遞部PA之前行的晶圓W103,係被搬送至製程模組PM4 。兩個製程模組PM4 、PM3 係以與上述為相同之製程條件,對新搬入的晶圓W103、W102進行TaN/Ta層成膜處理、Cu遮蔽層成膜處理。
此時,第2真空搬送機器手臂RB2 可採用,首先從傳遞部PA中拉取晶圓W103,接著再對製程模組PM4 藉由取放動作而進行兩晶圓W102、W103的交替,之後對製程模組PM3 藉由取放動作而進行兩晶圓W101、W102的交替,最後將從製程模組PM3 所取出之晶圓W101傳送至傳遞部PA之搬送步驟。然而,此時亦由於晶圓W101為批次中的前頭晶圓(於此晶圓之前並無進行處理的晶圓),因此亦可採用例外的步驟。亦即,首先藉由單獨的取的動作預先將晶圓W101從製程模組PM3 中搬出,之後於前行的晶圓W103到達傳遞部PA後,再對傳遞部PA藉由取放動作而進行兩晶圓W101、W103的交替,之後對製程模組PM4 藉由取放動作而進行兩晶圓W102、W103的交替,最後以單獨的放的動作將從製程模組PM4 所取出之晶圓W102搬入至製程模組PM3 。此搬送步驟可於較早的時機中,將處理結束的晶圓W101送回傳遞部PA。
另一方面如第12圖所示般,於第1集群10中,於返回的晶圓W101從第2集群12被傳送至傳遞部PA之前,係預先從完成真空抽取之承載模組LLM2 中取出晶圓W106。此外,於大氣搬送系列中,晶圓W107被搬入至承載模組LLM1 ,晶圓W108係從定向面對位機構ORT中被拉取至大氣搬送機器手臂RB3 ,並且第9片晶圓W109從晶圓卡匣CR移載至定向面對位機構ORT。
如此,於返回的晶圓W101從第2集群12被傳送至傳遞部PA時,如第12圖所示般,於第1集群10中,第1真空搬送機器手臂RB1 係將未處理的晶圓W106保持於一邊的搬送臂上,兩個製程模組PM7 、PM1 各自對晶圓W105、W104進行脫氣處理、潔淨處理,一邊的承載模組LLM1 並於裝入未處理的晶圓W107之狀態下,正在進行真空抽取。此時,由於第1真空搬送機器手臂RB1 之另一邊的搬送臂為空的,因此可使用此空的搬送臂,將從第2集群12傳送至傳遞部PA之返回的晶圓W101加以拉取。
然而,第1真空搬送機器手臂RB1 係依循本發明,使返回的晶圓W101於傳遞部PA中等待之狀態下,優先執行第1集群10內的序列搬送。亦即如第13圖所示般,對結束脫氣處理之製程模組PM7 藉由取放動作而進行兩晶圓W105、W106的交替,接著對製程模組PM1 藉由取放動作而進行兩晶圓W104、W105的交替。之後,第1真空搬送機器手臂RB1 係於以一邊的搬送臂將從製程模組PM1 中搬出之晶圓W104加以保持的狀態下,使空的另一邊的搬送臂,與於傳遞部PA中等待之返回的晶圓W101面對。之後如第14圖所示般,藉由取放動作從傳遞部PA中拉取返回的晶圓W101,並與此動作交替進行而將前行的晶圓W104傳送至傳遞部PA。
之後如第15圖所示般,於第2集群12中,第2真空搬送機器手臂RB2 係從傳遞部PA中拉取返回的晶圓W101,於第1集群10中,第1真空搬送機器手臂RB1 係對完成真空抽取之承載模組LLM1 ,藉由取放動作而進行兩晶圓W107、W101的交替。亦即,從減壓狀態的承載模組LLM1 中取出未處理的晶圓W107,並與此動作交替進行而將處理結束的晶圓W101送回承載模組LLM1 。於承載模組LLM1 中,處理結束的晶圓W101係冷卻至室溫附近的設定溫度為止。
之後如第16圖所示般,承載模組LLM1 的室內係切換至大氣壓狀態,大氣搬送機器手臂RB3 係將處理結束的晶圓W101從承載模組LLM1 移往載入埠LP的晶圓卡匣CR。此外,於第2集群12中,於結束TaN/Ta層的成膜處理之製程模組PM4 中係藉由取放動作而進行兩晶圓W103、W104的交替,接著於結束Cu遮蔽層的成膜處理之製程模組PM3 中係藉由取放動作而進行兩晶圓W102、W103的交替,從製程模組PM3 所搬出之處理結束的晶圓W102係被傳送至傳遞部PA。另一方面於第1集群10中,即使處理結束的晶圓W102被傳送至傳遞部PA,亦忽視此動作而執行前行的序列搬送。亦即,對結束脫氣處理之製程模組PM7 藉由取放動作而進行兩晶圓W106、W107的交替,接著對結束潔淨處理之製程模組PM1 藉由取放動作而進行兩晶圓W105、W106的交替。之後,第1真空搬送機器手臂RB1 係於以一邊的搬送臂將從製程模組PM1 中搬出之晶圓W105加以保持的狀態下,使空的另一邊的搬送臂,與於傳遞部PA中等待之返回的晶圓W102面對。雖然圖式中省略,但之後第1真空搬送機器手臂RB1 係藉由取放動作,從傳遞部PA中拉取返回的晶圓W102,並與此動作交替進行而將前行的晶圓W105傳送至傳遞部PA。之後對承載模組LLM2 藉由取放動作而進行兩晶圓W108、W102的交替。亦即,從減壓狀態的承載模組LLM2 中取出未處理的晶圓W108,並與此動作交替進行而將處理結束的晶圓W102送回承載模組LLM2
之後係以與上述相同之步驟而重複搬送順序。惟於1個製造批次的結束時,由於在最後的晶圓W125之後並不存在任何1片晶圓,因此係採用例外的搬送步驟。例如,於最後的晶圓W125從各個製程模組PM中搬出時,係進行單獨的取的動作,並不會與與此動作交替而進行放的動作。此外,於從最後開始倒數的第3片晶圓W123做為返回的晶圓W←從製程模組PM3 移往傳遞部PA時,後續的晶圓W124、W125已搬入至第2集群12內的製程模組PM4 、PM3 ,因此於第1集群10內的搬送路徑上並不存在任何1片晶圓。各部分的控制器及主控制器(CNTL20),係經常或隨時監視系統內的各部分的搬送路徑上之晶圓的有無或辨識。因此,如上述般於批次的結束之際,於返回的晶圓W←從第2集群12傳送至傳遞部PA時確認出於第1集群10內的搬送路徑上並不存在任何一片晶圓時,第1真空搬送機器手臂RB1 係立即從傳遞部PA中拉取返回的晶圓W,並直接送回至減壓狀態的承載模組LLM1 (LLM2 )。
如上述般,於此實施型態中,於從第2集群12朝向第1集群10之返回的晶圓W←到達傳遞部PA之時點中,於第1集群10內的搬送路徑上存在有應傳送至第2集群12之前行的晶圓W→時,係優先執行第1集群10內的序列搬送,並且讓返回的晶圓W←於傳遞部PA中等待,直到於第1集群10內結束所需(第1階段)的處理之前行的晶圓W→,於傳遞部PA中進行交替為止。就讓此返回的晶圓W←於傳遞部PA中等待者,乍看之下會使搬送週期時間或是搬送作業(搬送間隔)產生該滯留時間之延長。
然而,於交替搬送方式中,各個晶圓Wi 係於搬送路徑上,與後續的下1片晶圓Wi 1 一同藉由依據取放動作之交替而從各個製程模組PMn 中傳送至後段的製程模組PMn 1 ,於1個製程模組中從搬入1片晶圓開始至搬入下1片晶圓為止之PM週期時間,尤其是最大PM週期時間,係規定了系統內的搬送週期時間或是搬送作業。於決定搬送步驟及搬送時機時,係應以不延長PM週期時間(尤其是最大PM週期時間)為最優先。於系統內的晶圓搬送路徑上之製程模組以外的點中,會產生相當於最大PM週期時間與以外的各個PM週期時間之間的差之等待時間,因此於製程模組以外的點(亦包含傳遞部PA)中,只要為較某一定時間還短之時間,則即使於該點中讓晶圓滯留,亦不會對處理量產生不良影響。因此,相較於優先從傳遞部PA中拉取晶圓,優先進行製程模組間的序列搬送者,不僅不會成為處理量惡化的原因,反而更可提高處理量。
相對於此,於以往的搬送方式中,若如第12圖所示般將從第2集群12朝向第1集群10之返回的晶圓W←(W101)傳送至傳遞部PA,則之後的搬送步驟係如第17圖、第18圖、及第19圖所示。亦即如第17圖所示般,第1集群10的第1真空搬送機器手臂RB1 係以空的搬送臂從傳遞部PA中拉取返回的晶圓W101。然而,此時即使承載模組LLM1 完成真空抽取,第1真空搬送機器手臂RB1 亦同時保持返回的晶圓W101及未處理的晶圓W106,兩個搬送臂FA、FB均填滿,因此無法進行取放動作。亦即無法對承載模組LLM1 進行未處理的晶圓W107及返回的晶圓W101的交替。結果為必需於同時保持返回的晶圓W101及未處理的晶圓W106的狀態下持續等待,直到空的承載模組LLM2 完成真空抽取為止。
之後如第18圖所示般,一旦承載模組LLM2 完成真空抽取,則第1真空搬送機器手臂RB1 將返回的晶圓W101搬入至承載模組LLM2 。此時,一邊的搬送臂成為空的而可進行取放動作。之後開始進行第1集群10內的序列搬送,如第19圖所示般,係對結束脫氣處理而待機之製程模組PM7 ,藉由取放動作而進行兩晶圓W105、W106的交替,接著對結束潔淨處理而待機之製程模組PM1 ,藉由取放動作而進行兩晶圓W104、W105的交替,並將從製程模組PM1 中搬出之晶圓W104傳送至傳遞部PA。
如此,根據以往的搬送方式,即使第1集群10的第1真空搬送機器手臂RB1 ,立即將從第2集群12傳送至傳遞部PA之返回的晶圓W←加以拉取,亦不僅無法順利的往接下來的目的地之承載模組LLM1 (LLM2 )進行搬送及搬入,更因對製程模組PM之序列搬送被延後,而導致PM週期時間(尤其是PM週期時間所佔的待機時間)的增加,結果為導致1個處理批次之搬送週期時間的平均值之增加。
第20圖係以本發明的搬送步驟(尤其是第13圖、第14圖、及第15圖)及比較例的搬送步驟(第17圖、第18圖、及第19圖)為對比,而顯示本實施型態之基板處理裝置之各部分及全體的週期時間之表。此表的資料,係藉由模擬而求取於1個批次25片的晶圓搬送中,各部分的週期時間之最小值(Min)、最大值(Max)及平均值(Ave)之資料。在此,「LP Cycle Time(LP週期時間)」為各個晶圓Wi 從載入埠LP搬出開始至返回載入埠LP為止之時間。,「PMn Cycle Time(PMn週期時間)」(n=1、3、4、7)為各個晶圓Wi 搬入至各個製程模組PMn 開始至下1個晶圓Wi 1 搬入為止之時間。各個製程模組PMn (n=1、3、4、7)之製程時間均為60秒,承載模組LLM1 (LLM2 )之冷卻時間為30秒。即使製程時間為一定(60秒)但PMn週期時間(PM週期時間)產生差異者,係因1個週期內的搬送或是待機時間產生差異之故。相對的,批次最後部分的週期時間較短,批次中間部分的週期時間較長。
於第20圖中,各個LP週期時間及PM週期時間的最小值(Min),於本發明及比較例中幾乎相同。此係為最後的晶圓W125中所獲得之週期時間,因此於本發明及比較例中並不會產生於搬送路徑的途中等待之情形之故。然而,各部分的週期時間之最大值(Max)及平均值(Ave)均可藉由本發明而顯著改善,大約可縮短10%左右。一般而言,由於集群設備係進行長時間的連續處理,因此即使搬送週期時間縮短數個百分比,亦可大幅提升生產性。
上述實施型態為,於銅鍍敷膜的銅配線製程中,係以串列式(In-Line)連續成膜處理而形成阻障金屬的TaN/Ta層積膜及Cu遮蔽層,因此係各自於製程模組PM7 、PM1 中依序進行脫氣處理及蝕刻處理以做為第1集群10之第1階段的處理,之後各自於製程模組PM4 、PM3 中依序進行TaN/Ta層成膜處理及Cu遮蔽層成膜處理以做為第2集群12之第2階段的處理。關於一項變形例,由於實質上係進行同樣的真空薄膜加工,因此亦可各自於製程模組PM1 、PM6 、PM7 中依序進行蝕刻處理、依據ALD(Atomic Layer Deposition:原子層沉積)法之TaN/Ta層成膜處理、及脫氣處理以做為第1集群10之第1階段的處理,之後於製程模組PM3 中進行依據iPVD法之Cu遮蔽層成膜處理以做為第2集群12之第2階段的處理。
此時,雖然省略途中的搬送順序,但如第21圖所示般,於從第2集群12朝向第1集群10之返回的晶圓W←(W101)傳送至傳遞部PA時,於製造批次的最後部分以外,係於第1集群10內的搬送路徑上存在有1片或多數片之前行的晶圓W。如第21圖所示般,典型為第1真空搬送機器手臂RB1 係以一邊的搬送臂將未處理的晶圓W106加以保持,製程模組PM1 、PM6 、PM7 各自對晶圓W105、晶圓W104、晶圓W103進行潔淨處理、TaN/Ta層成膜處理、及脫氣處理,一邊的承載模組LLM1 於裝入有晶圓W107之狀態下進行真空抽取。在此,第1真空搬送機器手臂RB1 之另一邊的搬送臂為空的,因此可使用此空的搬送臂,將從第2集群12傳送至傳遞部PA之返回的晶圓W101加以拉取。
然而,此時第1真空搬送機器手臂RB1 亦依循本發明,使返回的晶圓W101於傳遞部PA中等待之狀態下,優先執行第1集群10內的序列搬送。亦即如第22圖所示般,對結束潔淨處理之製程模組PM1 藉由取放動作而進行兩晶圓W105、W106的交替,接著對結束TaN/Ta層成膜處理之製程模組PM6 藉由取放動作而進行兩晶圓W104、W105的交替,之後對結束脫氣處理之製程模組PM7 藉由取放動作而進行兩晶圓W103、W104的交替。如此,於以一邊的搬送臂將從製程模組PM7 中搬出之晶圓W103加以保持的狀態下,使空的另一邊的搬送臂,與於傳遞部PA中等待之返回的晶圓W101面對。之後如第23圖所示般,藉由取放動作從傳遞部PA中拉取返回的晶圓W101,並與此動作交替進行而將前行的晶圓W103傳送至傳遞部PA。如此,相較於從傳遞部PA中拉取晶圓W101,優先進行對製程模組PM1 、PM6 、PM7 之晶圓交替者,係適合於提升1個批次全體的處理量之目的。
相對於此,於以往的搬送方式中,若如第21圖所示般將從第2集群12朝向第1集群10之返回的晶圓W←(W101)傳送至傳遞部PA,則之後的搬送步驟係如第24圖所示般,第1集群10的第1真空搬送機器手臂RB1 係以空的搬送臂從傳遞部PA中拉取返回的晶圓W101。然而,此時亦無法對承載模組LLM1 藉由取放動作而進行未處理的晶圓W107及返回的晶圓W101的交替,而必需使第1真空搬送機器手臂RB1 保持返回的晶圓W101持續等待,直到空的承載模組LLM2 完成真空抽取為止。之後如第25圖所示般,第1真空搬送機器手臂RB1 係藉由單獨的放的動作,將返回的晶圓W101搬入至完成真空抽取之承載模組LLM2 ,之後再進行第1集群10內的序列搬送。如此,即使第1集群10的第1真空搬送機器手臂RB1 ,立即將從第2集群12傳送至傳遞部PA之返回的晶圓W←加以拉取,亦不僅無法順利的往接下來的目的地之承載模組LLM1 (LLM2 )進行搬送,更因對製程模組PM側之序列搬送及晶圓交替被延後,結果為導致系統全體及批次基準之處理量的惡化。
第26圖係以本發明的搬送步驟(第22圖、第23圖)及比較例的搬送步驟(第24圖、第25圖)為對比,而顯示此第2實施型態之各部分及全體的週期時間之一覽表。惟(PMn週期時間)」(n=1、3、6、7)為各個晶圓Wi 搬入至各個製程模組PMn 開始至下1個晶圓Wi 1 搬入為止之時間。各個製程模組PMn (n=1、3、6、7)之製程時間均為60秒,承載模組LLM1 (LLM2 )之冷卻時間為30秒。從第25圖的資料中可得知,於此實施型態中,各部分的週期時間之最大值(Max)及平均值(Ave)均可藉由本發明而顯著改善,大約可縮短10%左右。
此外,上述搬送步驟及處理步驟僅為根據本發明之搬送步驟及處理步驟的一項例子,其他,即使於橫跨第1集群10及第2集群12,並從製程模組PM1 ~PMn 當中以任何順序將任何模組加以組合而進行期望的串列複合處理時,亦可適用根據本發明之搬送步驟及處理步驟。
此外,於上述實施型態中,係設定為首先於第1集群10中進行第1階段的處理,接著於第2集群12中進行第2階段的處理,並將結束第2階段後之已處理結束的晶圓,從傳遞部PA中直接搬送至承載模組LLM1 (LLM2 )。但於本發明中,此僅為搬送順序的一項例子,例如亦可將結束第2階段後之晶圓從傳遞部PA中搬送至第1集群10內之剩餘的製程模組PM。此外,亦可為首先於第2集群12中進行第1階段的處理,接著於第1集群10中進行第2階段的處理之複合處理的搬送順序,或是於第2集群12中進行第1階段的處理,接著於第1集群10中進行第2階段的處理,然後再於第2集群12中進行第3階段的處理之複合處理的搬送順序等。
此外,於上述實施型態中,係說明以第1集群10側的第1真空搬送機器手臂RB1 ,將從第2集群12側的第2真空搬送機器手臂RB2 傳送至傳遞部PA之晶圓W加以拉取之情況,但是本發明亦可為相反方向之情況,亦即可適用於,以第2集群12側的第2真空搬送機器手臂RB2 ,將從第1集群10側的第1真空搬送機器手臂RB1 傳送至傳遞部PA之晶圓W加以拉取之情況。於此情況下,係進行下列的搬送控制,亦即讓從第1真空搬送機器手臂RB1 傳送至傳遞部PA之晶圓W於傳遞部PA中等待,直到第2真空搬送機器手臂RB2 於第2集群12內的製程模組中結束1個或是一連串的處理並與朝向第1集群10進行交替為止。
本發明之基板處理裝置並不限定於上述實施型態之真空系列的處理系統,其一部分或是全體亦可適用於大氣系列的處理系統。本發明之被處理體並不限定於半導體晶圓,亦包含平面顯示器用的各種基板、光罩、CD基板、印刷電路板等。
10...第1集群
12...第2集群
20...控制器
PM1 、PM2 、PM3 、PM4 、PM5 、PM6 、PM7 、PM8 ...製程模組
GV...閘閥
TM1 ...第1傳輸模組
TM2 ...第2傳輸模組
RB1 ...第1真空搬送機器手臂
RB2 ...第2真空搬送機器手臂
RB3 ...大氣搬送機器手臂
LLM1 、LLM2 ...承載模組
FA 、FB 、FC 、FD ...搬送臂
DV...門閥
LG...線性導軌(線性滑動器)
LM...載入模組
ORT...定向面對位機構
CR...晶圓卡匣
LP...載入埠
PA...傳遞部
第1圖係顯示本發明的一項實施型態之基板處理裝置的構成之概略俯視圖。
第2圖係顯示用以說明第1圖所示之搬送機構(搬送機器手臂)的取放(Pick and Place)動作之模式圖。
第3圖係顯示第1圖所示之基板處理裝置之本發明的實施型態之搬送順序的初期階段之圖式。
第4圖係顯示第3圖所示之搬送順序的階段之下一個階段之圖式。
第5圖係顯示第4圖所示之搬送順序的階段之下一個階段之圖式。
第6圖係顯示第5圖所示之搬送順序的階段之下一個階段之圖式。
第7圖係顯示第6圖所示之搬送順序的階段之下一個階段之圖式。
第8圖係顯示第7圖所示之搬送順序的階段之下一個階段之圖式。
第9圖係顯示第8圖所示之搬送順序的階段之下一個階段之圖式。
第10圖係顯示第9圖所示之搬送順序的階段之下一個階段之圖式。
第11圖係顯示第10圖所示之搬送順序的階段之下一個階段之圖式。
第12圖係顯示第11圖所示之搬送順序的階段之下一個階段之圖式。
第13圖係顯示第12圖所示之搬送順序的階段之下一個階段之圖式。
第14圖係顯示第13圖所示之搬送順序的階段之下一個階段之圖式。
第15圖係顯示第14圖所示之搬送順序的階段之下一個階段之圖式。
第16圖係顯示第15圖所示之搬送順序的階段之下一個階段之圖式。
第17圖係顯示比較例之搬送順序的一個階段之圖式。
第18圖係顯示比較例之搬送順序之第17圖所示的階段之下一個階段之圖式。
第19圖係顯示比較例之搬送順序之第18圖所示的階段之下一個階段之圖式。
第20圖係以本發明的搬送步驟及比較例的搬送步驟為對比,而顯示第1圖所示之基板處理裝置之各部分及全體的週期時間之圖表。
第21圖係顯示第1圖所示之基板處理裝置之本發明的其他實施型態之搬送順序的一個階段之圖式。
第22圖係顯示第21圖所示之搬送順序的階段之下一個階段之圖式。
第23圖係顯示第22圖所示之搬送順序的階段之下一個階段之圖式。
第24圖係顯示比較例之搬送順序的一個階段之圖式。
第25圖係顯示比較例之搬送順序之第24圖所示的階段之下一個階段之圖式。
第26圖係以本發明的搬送步驟及比較例的搬送步驟為對比,而顯示第1圖所示之基板處理裝置之各部分及全體的週期時間之圖式。
10...第1集群
12...第2集群
20...控制器
PM1 、PM2 、PM3 、PM4 、PM5 、PM6 、PM7 、PM8 ...製程模組
GV...閘閥
TM1 ...第1傳輸模組
TM2 ...第2傳輸模組
RB1 ...第1真空搬送機器手臂
RB2 ...第2真空搬送機器手臂
RB3 ...大氣搬送機器手臂
LLM1 、LLM2 ...承載模組
FA 、FB 、FC 、FD ...搬送臂
DV...門閥
LG...線性導軌(線性滑動器)
LM...載入模組
ORT...定向面對位機構
CR...晶圓卡匣
LP...載入埠
PA...傳遞部

Claims (14)

  1. 一種基板處理裝置,係具備串聯連接之第1多反應室裝置及第2多反應室裝置;上述第1多反應室裝置係具有,第1搬送機構;配置於上述第1搬送機構的周圍之第1群的製程模組;及配置於上述第1搬送機構的周圍,並用以於上述第1多反應室裝置的外部與上述第1多反應室裝置之間進行被處理體的接收傳送之介面模組;上述第2多反應室裝置係具有,第2搬送機構;及配置於上述第2搬送機構的周圍之第2群的製程模組;為了於上述第1搬送機構與上述第2搬送機構之間進行被處理體的接收傳送,用以暫時留置被處理體之中繼部,係設置於上述第1搬送機構與上述第2搬送機構之間;此基板處理裝置更具備控制器,上述控制器係構成為,使上述第1及第2搬送機構依循特定的處理順序,依序將各個被處理體搬送至上述第1群及第2群的製程模組,並且從上述第1群及第2群的各個製程模組中,將該製程模組中所處理結束之被處理體加以搬出,並與此動作交替進行而將下一個應予進行處理之後續的其他被處理體搬入至該製程模組中,而以此方式控制上述第1及第2搬 送機構,其特徵為:上述控制器係構成為,於將結束上述第2多反應室裝置中的特定處理之第1被處理體,藉由第2搬送機構而搬入至上述中繼部時,下一個應從上述第1多反應室裝置搬入至上述第2多反應室裝置之第2被處理體,處於無法搬入至上述中繼部之狀態的情況下,係使上述第1被處理體於上述中繼部中待機,直至上述第2被處理體處於可搬入至上述中繼部之狀態為止,之後從上述中繼部中搬出上述第1被處理體,並與此動作交替進行而將上述第2被處理體搬入至上述中繼部,並以此方式而控制上述第1搬送機構。
  2. 如申請專利範圍第1項之基板處理裝置,其中,上述控制器係構成為,於從上述介面模組經由上述第1群的製程模組而到達上述中繼部為止之搬送路徑上,監視是否存在有被處理體,於以上述第2搬送機構將上述第1被處理體傳送至上述中繼部時,上述搬送路徑上並不存在任何1個被處理體時,係使上述第1搬送機構立即從該處將位於上述中繼部之上述第1被處理體加以搬出,而以此方式控制上述第1搬送機構。
  3. 如申請專利範圍第1項之基板處理裝置,其中,上述第1搬送機構係具有,可進出上述第1群的各個製程模組之2個搬送臂;上述控制器係構成為,於從上述第1群的各個製程模組中,將該製程模組中所處理結束之被處理體加以搬出, 並與此動作交替進行而將下一個應予進行處理之後續的其他被處理體搬入至該製程模組時,係使用上述2個搬送臂當中的1個搬送臂,從該製程模組中將上述處理結束之被處理體加以搬出,接著使用另1個搬送臂,將上述後續的其他被處理體搬入至該製程模組,而以此方式控制上述第1搬送機構。
  4. 如申請專利範圍第3項之基板處理裝置,其中,上述第1搬送機構之2個搬送臂,係構成為亦可對上述中繼部進行被處理體的接收傳送;上述控制器係構成為,於從上述中繼部中搬出上述第1被處理體,並與此動作交替進行而將上述第2被處理體搬入至上述中繼部時,係使用上述2個搬送臂當中的1個搬送臂,從上述中繼部中搬出上述第1被處理體,接著使用另1個搬送臂,將上述第2被處理體搬入至上述中繼部,而以此方式控制上述第1搬送機構。
  5. 如申請專利範圍第3項之基板處理裝置,其中,上述第1搬送機構之2個搬送臂,係構成為亦可對上述介面模組進行被處理體的接收傳送;上述控制器係構成為,使用上述2個搬送臂當中的1個搬送臂,從上述介面模組中將未處理的被處理體加以搬出,接著使用另1個搬送臂,將於上述第1及第2多反應室裝置中結束所有應執行的處理後之被處理體,搬入至上述介面模組,而以此方式控制上述第1搬送機構。
  6. 如申請專利範圍第1項之基板處理裝置,其中,上 述控制器係構成為,將上述第1搬送機構從上述中繼部中所搬出之上述第1被處理體,直接搬送至上述介面模組,而以此方式控制上述第1搬送機構。
  7. 一種基板處理裝置,係具備串聯連接之第1多反應室裝置及第2多反應室裝置;上述第1多反應室裝置係具有,第1搬送機構;配置於上述第1搬送機構的周圍之第1群的製程模組;及配置於上述第1搬送機構的周圍,並用以於上述第1多反應室裝置的外部與上述第1多反應室裝置之間進行被處理體的接收傳送之介面模組;上述第2多反應室裝置係具有,第2搬送機構;及配置於上述第2搬送機構的周圍之第2群的製程模組;為了於上述第1搬送機構與上述第2搬送機構之間進行被處理體的接收傳送,用以暫時留置被處理體之中繼部,係設置於上述第1搬送機構與上述第2搬送機構之間;此基板處理裝置更具備控制器,此控制器係構成為,使上述第1及第2搬送機構依循特定的處理順序,依序將各個被處理體搬送至上述第1群及第2群的製程模組,並且從上述第1群及第2群的各個製程模組中,將該製程模組中所處理結束之被處理體加以搬出,並與此動作交替進行而將下一個應予進行處理之後續的其他被處理體搬入至該製程模組中,而以此方式控制上述第1及第2搬送機構,其特徵為: 上述控制器係構成為,於將結束上述第1多反應室裝置中的特定處理之第1被處理體,藉由第1搬送機構而搬入至上述中繼部時,下一個應從上述第2多反應室裝置搬入至上述第1多反應室裝置之第2被處理體,處於無法搬入至上述中繼部之狀態的情況下,係使上述第1被處理體於上述中繼部中待機,直至上述第2被處理體處於可搬入至上述中繼部之狀態為止,之後從上述中繼部中搬出上述第1被處理體,並與此動作交替進行而將上述第2被處理體搬入至上述中繼部,並以此方式而控制上述第2搬送機構。
  8. 如申請專利範圍第7項之基板處理裝置,其中,上述控制器係構成為,於從上述介面模組經由上述第2群的製程模組而到達上述中繼部為止之搬送路徑上,監視是否存在有被處理體,於以上述第1搬送機構將上述第1被處理體傳送至上述中繼部時,上述搬送路徑上並不存在任何1個被處理體時,係使上述第2搬送機構立即從該處將位於上述中繼部之上述第1被處理體加以搬出,而以此方式控制上述第2搬送機構。
  9. 如申請專利範圍第7項之基板處理裝置,其中,上述第2搬送機構係具有,可進出上述第2群的各個製程模組之2個搬送臂;上述控制器係構成為,於從上述第2群的各個製程模組中,將該製程模組中所處理結束之被處理體加以搬出,並與此動作交替進行而將下一個應予進行處理之後續的其 他被處理體搬入至該製程模組時,係使用上述2個搬送臂當中的1個搬送臂,從該製程模組中將上述處理結束之被處理體加以搬出,接著使用另1個搬送臂,將上述後續的其他被處理體搬入至該製程模組,而以此方式控制上述第2搬送機構。
  10. 如申請專利範圍第9項之基板處理裝置,其中,上述第2搬送機構之2個搬送臂,係構成為亦可對上述中繼部進行被處理體的接收傳送;上述控制器係構成為,於從上述中繼部中搬出上述第1被處理體,並與此動作交替進行而將上述第2被處理體搬入至上述中繼部時,係使用上述2個搬送臂當中的1個搬送臂,從上述中繼部中搬出上述第1被處理體,接著使用另1個搬送臂,將上述第2被處理體搬入至上述中繼部,而以此方式控制上述第2搬送機構。
  11. 如申請專利範圍第1項至第10項中之任一項之基板處理裝置,其中,上述第1及第2搬送機構係各自設置於第1及第2真空搬送室內;上述中繼部係配置於上述第1真空搬送室與上述第2真空搬送室之間的連結部附近;上述第1群的各個製程模組係具有,隔著閘閥而連結於上述第1真空搬送室之真空處理室;上述第2群的各個製程模組係具有,隔著閘閥而連結於上述第2真空搬送室之真空處理室;上述介面模組係隔著閘閥而連結於上述第1真空搬送 室,並為了將於大氣壓空間與減壓空間之間所傳送之被處理體加以暫時留置,而具有構成為可將室內選擇性切換至大氣壓狀態或減壓狀態之至少1個承載室;為了進行被處理體的搬送,上述第1搬送機構係於減壓下的上述第1真空搬送室內移動,而進入上述第1群的製程模組之真空處理室、上述中繼部及上述承載室;為了進行被處理體的搬送,上述第2搬送機構係於減壓下的上述第2真空搬送室內移動,而進入上述第2群的製程模組之真空處理室及上述中繼部。
  12. 如申請專利範圍第11項之基板處理裝置,其中,上述第1真空搬送室與上述第2真空搬送室,係隔著閘閥而相互連結。
  13. 如申請專利範圍第11項之基板處理裝置,其中,更具備:於大氣壓下用以支撐可收納多數個被處理體之卡匣之載入埠;及連接於或是鄰接於上述載入埠,並隔著門閥而連結於上述承載模組之大氣壓下的搬送模組;及用以於上述載入埠上的卡匣與上述承載模組之間搬送被處理體之設置於上述大氣壓搬送模組內之第3搬送機構。
  14. 如申請專利範圍第11項之基板處理裝置,其中,上述第1群及第2群的製程模組當中之至少1群,為於減壓下於被處理體上形成薄膜之成膜處理模組。
TW095144032A 2005-11-28 2006-11-28 Substrate processing device TWI389236B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005342433A JP4925650B2 (ja) 2005-11-28 2005-11-28 基板処理装置

Publications (2)

Publication Number Publication Date
TW200729380A TW200729380A (en) 2007-08-01
TWI389236B true TWI389236B (zh) 2013-03-11

Family

ID=38067336

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095144032A TWI389236B (zh) 2005-11-28 2006-11-28 Substrate processing device

Country Status (6)

Country Link
US (1) US20090259335A1 (zh)
JP (1) JP4925650B2 (zh)
KR (1) KR100970516B1 (zh)
CN (1) CN100511628C (zh)
TW (1) TWI389236B (zh)
WO (1) WO2007061116A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009053435A1 (en) * 2007-10-24 2009-04-30 Oc Oerlikon Balzers Ag Method for manufacturing workpieces and apparatus
WO2010026240A1 (en) 2008-09-04 2010-03-11 Karolinska Institutet Innovations Ab Temporary embolic protection device and medical procedure for delivery thereof
JP5384925B2 (ja) * 2008-12-18 2014-01-08 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8666551B2 (en) * 2008-12-22 2014-03-04 Asm Japan K.K. Semiconductor-processing apparatus equipped with robot diagnostic module
JP4707749B2 (ja) * 2009-04-01 2011-06-22 東京エレクトロン株式会社 基板交換方法及び基板処理装置
TWI408766B (zh) * 2009-11-12 2013-09-11 Hitachi High Tech Corp Vacuum processing device
JP5557516B2 (ja) * 2009-12-09 2014-07-23 株式会社日立ハイテクノロジーズ 真空処理装置
CN102403249B (zh) * 2010-09-07 2014-03-05 上海凯世通半导体有限公司 真空传输制程设备及方法
JP5562189B2 (ja) * 2010-09-22 2014-07-30 東京エレクトロン株式会社 基板処理装置
JP5665454B2 (ja) * 2010-09-22 2015-02-04 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5473857B2 (ja) * 2010-10-14 2014-04-16 東京エレクトロン株式会社 搬送装置および処理システム
CN102456595B (zh) * 2010-10-26 2014-04-30 上海凯世通半导体有限公司 真空传输制程设备及方法
JP5883232B2 (ja) 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
CN103227233B (zh) * 2012-01-31 2015-07-22 上海凯世通半导体有限公司 真空传输制程设备及方法
JP6002532B2 (ja) * 2012-10-10 2016-10-05 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
KR20160083084A (ko) * 2013-11-04 2016-07-11 어플라이드 머티어리얼스, 인코포레이티드 증가된 개수의 측들을 갖는 이송 챔버들, 반도체 디바이스 제조 프로세싱 툴들, 및 프로세싱 방법들
JP6420609B2 (ja) * 2013-11-21 2018-11-07 株式会社Screenホールディングス 基板搬送方法および基板処理装置
SG10202108561UA (en) 2013-11-26 2021-09-29 Kla Tencor Corp Pick-and-place head and method for picking workpieces
US10269606B2 (en) * 2014-05-05 2019-04-23 Persimmon Technologies Corporation Two-link arm trajectory
JP6430156B2 (ja) * 2014-06-19 2018-11-28 東京エレクトロン株式会社 基板処理システム、仕切弁及び基板搬送方法
CN105448788B (zh) * 2014-07-01 2018-12-11 北京北方华创微电子装备有限公司 一种反应腔室、晶片传输方法及等离子体加工设备
KR101642919B1 (ko) * 2015-02-24 2016-07-26 코스텍시스템(주) 웨이퍼 이송 장치 및 이송 방법
CN106449466A (zh) * 2015-08-11 2017-02-22 中微半导体设备(上海)有限公司 一种基片处理系统
KR101837545B1 (ko) * 2015-10-02 2018-04-20 에이피시스템 주식회사 Tsv 공정용 진공 라미네이팅 방법
JP6842828B2 (ja) 2015-12-24 2021-03-17 東京エレクトロン株式会社 処理システム及び処理プログラム
KR101912772B1 (ko) * 2016-12-26 2019-01-14 주식회사 한화 광기전력 소자 제조 장치 및 제조 방법
JP7316121B2 (ja) 2019-07-05 2023-07-27 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
CN114902392A (zh) * 2020-01-06 2022-08-12 朗姆研究公司 衬底处理工具的多种模块的硬件部件的自动配置
CN114568036A (zh) * 2020-09-25 2022-05-31 株式会社日立高新技术 真空处理装置的运转方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6275744B1 (en) * 1997-08-01 2001-08-14 Kokusai Electric Co., Ltd. Substrate feed control
JP3193904B2 (ja) * 1997-08-01 2001-07-30 株式会社日立国際電気 基板搬送制御方法及び基板製品の製造方法
JPH11163087A (ja) * 1997-12-01 1999-06-18 Toshiba Microelectronics Corp 基板処理装置及び搬送スケジューリング方法
US7105434B2 (en) * 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6684122B1 (en) * 2000-01-03 2004-01-27 Advanced Micro Devices, Inc. Control mechanism for matching process parameters in a multi-chamber process tool
JP2002261148A (ja) * 2001-03-05 2002-09-13 Tokyo Electron Ltd 処理システム及び被処理体の予熱方法
US20020159864A1 (en) * 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
JP2003036107A (ja) * 2001-07-26 2003-02-07 Nec Corp 設備処理時間算出方法、設備処理時間算出装置および設備処理時間算出プログラムを記録した記録媒体
JP4821074B2 (ja) * 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
JP4348921B2 (ja) * 2002-09-25 2009-10-21 東京エレクトロン株式会社 被処理体の搬送方法
JP4239572B2 (ja) * 2002-11-27 2009-03-18 東京エレクトロン株式会社 搬送システムの搬送位置合わせ方法及び処理システム
US8078311B2 (en) * 2004-12-06 2011-12-13 Tokyo Electron Limited Substrate processing apparatus and substrate transfer method adopted in substrate processing apparatus
US8244391B2 (en) * 2008-05-28 2012-08-14 International Business Machines Corporation Method for minimizing productivity loss while using a manufacturing scheduler
TW201135613A (en) * 2010-04-07 2011-10-16 Inotera Memories Inc Method for planning production schedule of equipment and associated computer readable medium
JP5654807B2 (ja) * 2010-09-07 2015-01-14 東京エレクトロン株式会社 基板搬送方法及び記憶媒体
JP2012061585A (ja) * 2010-09-17 2012-03-29 Tokyo Electron Ltd 真空処理装置、真空処理方法及び微細加工装置

Also Published As

Publication number Publication date
US20090259335A1 (en) 2009-10-15
WO2007061116A1 (ja) 2007-05-31
KR100970516B1 (ko) 2010-07-16
JP4925650B2 (ja) 2012-05-09
CN100511628C (zh) 2009-07-08
KR20080008411A (ko) 2008-01-23
TW200729380A (en) 2007-08-01
JP2007149973A (ja) 2007-06-14
CN101103452A (zh) 2008-01-09

Similar Documents

Publication Publication Date Title
TWI389236B (zh) Substrate processing device
KR100940135B1 (ko) 처리 시스템 및 그 운전 방법
JP4353903B2 (ja) クラスタツールの処理システム
JP6131320B2 (ja) 基板処理装置、プログラム及び半導体装置の製造方法
JP2008520837A (ja) ウエハファブ
JP2003188229A (ja) ウエハ製造システムおよびウエハ製造方法
JP2011049585A (ja) 基板搬送装置及び方法
KR20080054149A (ko) 반도체 소자 제조 장치
TW200935546A (en) Loadlock designs and methods for using same
TWI427729B (zh) The method of exchanging the substrate and a substrate processing apparatus
TW201123339A (en) Vacuum processing system and vacuum processing method of semiconductor processing substrate
JP5384925B2 (ja) 基板処理装置及び半導体装置の製造方法
JPH04190840A (ja) 真空処理装置
TW201123340A (en) Vacuum processing system and vacuum processing method of semiconductor processing substrate
JP2014093489A (ja) 基板処理装置
WO2004030085A1 (ja) 被処理体の搬送方法
TW202147503A (zh) 半導體處理系統
JP2007149948A (ja) 真空処理装置
JPH06314729A (ja) 真空処理装置
JP4477982B2 (ja) クラスタツールの処理システム及び滞在時間監視プログラム
JP5665454B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2004080053A (ja) 半導体製造装置
JP4587815B2 (ja) 基板処理システム、基板処理システムの制御方法、及び基板処理方法
JP4657528B2 (ja) 処理システムおよび処理方法
WO2024122226A1 (ja) 製造装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees