JP2007149973A - 基板処理装置 - Google Patents

基板処理装置 Download PDF

Info

Publication number
JP2007149973A
JP2007149973A JP2005342433A JP2005342433A JP2007149973A JP 2007149973 A JP2007149973 A JP 2007149973A JP 2005342433 A JP2005342433 A JP 2005342433A JP 2005342433 A JP2005342433 A JP 2005342433A JP 2007149973 A JP2007149973 A JP 2007149973A
Authority
JP
Japan
Prior art keywords
transfer
processed
wafer
module
relay unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005342433A
Other languages
English (en)
Other versions
JP2007149973A5 (ja
JP4925650B2 (ja
Inventor
Takeshi Ikeda
岳 池田
Keiji Osada
圭司 長田
Kunio Takano
国夫 鷹野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2005342433A priority Critical patent/JP4925650B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US12/085,572 priority patent/US20090259335A1/en
Priority to PCT/JP2006/323734 priority patent/WO2007061116A1/ja
Priority to TW095144032A priority patent/TWI389236B/zh
Priority to CNB200680002224XA priority patent/CN100511628C/zh
Priority to KR1020077028696A priority patent/KR100970516B1/ko
Publication of JP2007149973A publication Critical patent/JP2007149973A/ja
Publication of JP2007149973A5 publication Critical patent/JP2007149973A5/ja
Application granted granted Critical
Publication of JP4925650B2 publication Critical patent/JP4925650B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Abstract

【課題】2つのマルチチャンバ装置に跨るインライン処理のスループットを可及的に向上させること。
【解決手段】第2クラスタ12から戻りのウエハW101がパス部PAへ渡された時、第1クラスタ10の第1真空搬送ロボットRB1は、戻りのウエハW101をパス部PAに待たせたまま第1クラスタ10内の行きのシリアル搬送を優先的に実行し、その後にピック&プレース動作によりパス部PAから戻りのウエハW101を引き取り,それと入れ替わりに行きのウエハW104をパス部PAに渡す。

【選択図】 図13

Description

本発明は、マルチチャンバ方式の基板処理装置に係り、特に2つのマルチチャンバ装置を直列に接続する基板処理装置に関する。
従来より、半導体製造装置の分野では、プロセスの一貫化、連結化あるいは複合化をはかるために複数のプロセス・モジュールを主搬送室の周りに配置するマルチチャンバ方式が採用されている。
一般に、真空プロセス用のマルチチャンバ式基板処理装置いわゆるクラスタツールは、各プロセス・モジュールのチャンバだけでなくクラスタ中心部の搬送室も真空に保ち、搬送室にゲートバルブを介してロードロック室を連結する。被処理体たとえば半導体ウエハは、大気圧下でロードロック室に搬入され、しかる後減圧状態に切り替えられたロードロック室から搬送室に搬入される。搬送室に設置されている搬送機構は、ロードロック室から取り出した半導体ウエハを1番目のプロセス・モジュールに搬入する。このプロセス・モジュールは、予め設定されたレシピに従い所定の時間を費やして第1工程の処理を実施する。この第1工程の処理が終了すると、搬送室の搬送機構は、該半導体ウエハを1番目のプロセス・モジュールから搬出し、次に2番目のプロセス・モジュールに搬入する。この2番目のプロセス・モジュールでも、予め設定されたレシピに従い所定の時間を費やして第2工程の処理を実施する。この第2工程の処理が終了すると、搬送室の搬送機構は、該半導体ウエハを2番目のプロセス・モジュールから搬出し、次工程があるときは3番目のプロセス・モジュールに搬入し、次工程がないときはロードロック室に戻す。3番目以降のプロセス・モジュールで処理が行われた場合も、その後に次工程があるときは後段のプロセス・モジュールに搬入し、次工程がないときはロードロック室に戻す。こうしてプロセス・モジュールによる一連の処理を終えた半導体ウエハがロードロック室に搬入されると、ロードロック室は減圧状態から大気圧状態に切り替えられ、搬送室とは反対側のウエハ出入口から搬出(払出し)される。
2つのマルチチャンバ装置を直列に接続するタンデム(tandem)方式の基板処理装置は、ロードロック室を含む第1クラスタの搬送室とロードロック室を含まない第2クラスタの搬送室とをゲートバルブを介して連結し、双方の搬送機構の間に半導体ウエハのやりとりを行うために中継部を設置する(特許文献1参照)。搬送シーケンスの一典型例として、第1クラスタ側の第1搬送機構は、ロードロック室より導入した各半導体ウエハを第1クラスタ内の1つまたは複数のプロセス・モジュールに順次搬送して1つまたは複数の工程からなる第1段階の処理を受けさせ、それが済むと中継台に渡す。第2クラスタ側の第2搬送機構は、中継部に留め置かれている半導体ウエハを受け取り、第2クラスタ内の1つまたは複数のプロセス・モジュールに順次搬送して1つまたは複数の工程からなる第2段階の処理を受けさせ、それが済むと中継部に戻す。第1搬送機構は、中継部に戻された処理済みの半導体ウエハを引き取って、ロードロック室に戻す。
このように2クラスタ直列接続のタンデム方式は、第1クラスタによる1つまたは複数の処理と第2クラスタによる1つまたは複数の処理とをインラインでシリアルに結合した複合処理を可能とする。しかも、第1クラスタ内の雰囲気と第2クラスタ内の雰囲気とをゲートバルブ等で分離できるため、クロスコンタミネーション(汚染の伝播または拡散)を極力抑制できるという利点がある。
特開2004−119635号公報(特に図3)
上記のようなタンデム方式の処理システムにおいては、第1クラスタから第2クラスタへ移される半導体ウエハと第2クラスタから第1クラスタへ移される半導体ウエハとが滞在時間を異にして共通の中継部に一時的に留め置かれる。
従来は、一方のクラスタから他方のクラスタへ移される半導体ウエハを中継部で待たせたならばシステム内の全ウエハ搬送がそこで痞えるとの考えから、第2クラスタの第2搬送機構より半導体ウエハが中継部に渡されたときは第1クラスタの第1搬送機構がその半導体ウエハを直ぐに引き取り、第1クラスタの第1搬送機構より半導体ウエハが中継部に渡されたときは第2クラスタの第2搬送機構がその半導体ウエハを直ぐに引き取るようにしていた。
しかしながら、このように中継部からのウエハの引き取りを優先させる搬送手順はシステム全体またはロットベースのスループットを悪化させる原因となっていた。すなわち、システム全体のスループットを最重視するアプリケーションには、第1クラスタと第2クラスタとに跨って各半導体ウエハを工程順に複数のプロセス・モジュールに搬送し、各プロセス・モジュールに対してはそこで処理の済んだばかりの半導体ウエハを搬出してそれと入れ替わりに前工程のプロセス・モジュールから搬出してきたばかりの次の半導体ウエハを搬入するというシリアル搬送方式が最も有利とされている。従来は、このようなシリアル搬送方式においても、上記のように一方のクラスタの搬送機構から中継部に渡された半導体ウエハを他方のクラスタの搬送機構がすぐに引き取って次の行き先へ搬送するようにしていた。しかし、このように中継部からの半導体ウエハの引き取りとその次の行き先への搬送を優先させることで、プロセス・モジュール側のウエハ搬送が後回しにされ、結果的にはシステム全体ないしロットベースの平均スループットを悪化させていた。
本発明は、上記のような従来技術の問題点を解決するものであり、2つのマルチチャンバ装置に跨るインライン処理のスループットを向上させる基板処理装置を提供することを目的とする。
上記の目的を達成するために、本発明の第1の基板搬送装置は、第1の搬送機構の周囲に第1群のプロセス・モジュールと未処理の被処理体を導入し全処理済の被処理体を払い出すためのインタフェース・モジュールとを配置し、第2の搬送機構の周囲に第2群のプロセス・モジュールを配置し、前記第1の搬送機構と前記第2の搬送機構との間に被処理体を一時的に留め置くための中継部を配置し、前記第1および第2の搬送機構により、前記第1群および第2群のプロセス・モジュールに所定の工程順にシリアルに搬送し、各々のプロセス・モジュールに対しては当該プロセス・モジュールで処理の済んだ被処理体を搬出するのと入れ替わりに当該プロセス・モジュールで次に処理を受けるべき後続の別の被処理体を搬入する基板処理装置であって、前記第2の搬送機構より前記中継部に渡された第1の被処理体を、前記第1の搬送機構が前記第1群のプロセス・モジュールで1つまたは一連の処理を終えて前記第2群のプロセス・モジュールへ向う第2の被処理体と入れ替えるまで、前記中継部で待たせておく。
また、本発明の第2の基板搬送装置は、第1の搬送機構の周囲に第1群のプロセス・モジュールと未処理の被処理体を導入し全処理済の被処理体を払い出すためのインタフェース・モジュールとを配置し、第2の搬送機構の周囲に第2群のプロセス・モジュールを配置し、前記第1の搬送機構と前記第2の搬送機構との間に被処理体を一時的に留め置くための中継部を配置し、前記第1および第2の搬送機構により、前記第1群および第2群のプロセス・モジュールに所定の工程順にシリアルに搬送し、各々のプロセス・モジュールに対しては当該プロセス・モジュールで処理の済んだ被処理体を搬出するのと入れ替わりに当該プロセス・モジュールで次に処理を受けるべき後続の別の被処理体を搬入する基板処理装置であって、前記第1の搬送機構より前記中継部に渡された第1の被処理体を、前記第2の搬送機構が前記第2群のプロセス・モジュールで1つまたは一連の処理を終えて前記第1群のプロセス・モジュールまたは前記インタフェース・モジュールへ向う第2の被処理体と入れ替えるまで、前記中継部で待たせておく。
本発明においては、一方の搬送機構より被処理体が中継部に渡されたとき、他方の搬送機構はその被処理体(第1の被処理体)を無条件ですぐに引き取るのではなく、自己の回りのプロセス・モジュールで処理を受けている(または受けた)被処理体が少なくとも1つあれば、その中の先頭のもの(第2の被処理体)と入れ替える形で中継部から引き取る。このように、中継部からの第1の被処理基板を引き取るよりもプロセス・モジュール側の被処理体の入れ替えを優先させることによって、システム全体ないしロットベースのスループット向上を図る。
本発明の好適な一態様においては、インタフェース・モジュールから第1群のプロセス・モジュールを経由して中継部に至るまでの搬送経路上に被処理体が存在するか否かを監視し、第2の搬送機構より中継部に第1の被処理体が中継部に渡された時に該搬送経路上に被処理体が1つも無いときは第1の搬送機構が中継部から第1の被処理体を実質的に待たせずに引き取る。また、中継部から第2群のプロセス・モジュールを経由して中継部に戻るまでの搬送経路上に被処理体が存在するか否かを監視し、第1の被処理体が中継部に渡された時に該搬送経路上に被処理体が1つも無いときは第2の搬送機構が中継部から第1の被処理体を実質的に待たせずに引き取る。このように、プロセス・モジュール側で被処理体の入れ替えを行わない場面では、中継部から第1の被処理体を直ぐに引き取ってよい。
また、好適な一態様によれば、第1の搬送機構が、各被処理体を第1群のプロセス・モジュールに工程順にシリアルに搬送し、各々のプロセス・モジュールに対しては当該プロセス・モジュールで処理の済んだ被処理体を搬出するのと入れ替わりに当該プロセス・モジュールで次に処理を受けるべき後続の別の被処理体を搬入する。このようなシリアル搬送方式において本発明は十分な効果を発揮することができる。
シリアル搬送方式における好ましい一態様として、第1の搬送機構が、第1群のプロセス・モジュールに出入り可能な2つの搬送アームを有し、各プロセス・モジュールに対する1回のアクセスにおいて一方の搬送アームで処理の済んだ被処理体を搬出してそれと入れ替わりに他方の搬送アームで後続の別の被処理体を搬入する。この場合、第1の搬送機構は、中継部に対する1回のアクセスにおいて一方の搬送アームで戻りの被処理体を中継部から引き取ってそれと入れ替わりに他方の搬送アームで行きの被処理体を中継部に渡してよい。また、第1の搬送機構は、インタフェース・モジュールに対する1回のアクセスにおいて一方の搬送アームで未処理の被処理体を該インタフェース・モジュールから取り出してそれと入れ替わりに他方の搬送アームで戻りの被処理体を該インタフェース・モジュールに入れる。さらに、第1の搬送機構は、中継部より引き取った戻りの被処理体をインタフェース・モジュールへ直接搬送してよい。
また、好ましい一態様として、第2の搬送機構が、各被処理体を第2群のプロセス・モジュールに工程順にシリアルに搬送し、各々のプロセス・モジュールに対しては当該プロセス・モジュールで処理の済んだ被処理体を搬出するのと入れ替わりに当該プロセス・モジュールで次に処理を受けるべき被処理体を搬入する。この場合、好ましくは、第2の搬送機構が、第1群のプロセス・モジュールに出入り可能な2つの搬送アームを有し、各プロセス・モジュールに対する1回のアクセスにおいて一方の搬送アームで処理の済んだ被処理体を搬出して、それと入れ替わりに他方の搬送アームで後続の別の被処理体を搬入する。
本発明は真空処理システムに好適に適用可能である。本発明の好適な一態様によれば、第1および第2の搬送機構がそれぞれ第1および第2の真空搬送室内に設けられ、中継部が第1の真空搬送室と第2の真空搬送室との境界付近に配置され、第1群のプロセス・モジュールの各々が第1の真空搬送室にゲートバルブを介して連結される真空処理室を有し、第2群のプロセス・モジュールの各々が第2の真空搬送室にゲートバルブを介して連結される真空処理室を有する。そしそて、インタフェース・モジュールは、第1の真空搬送室にゲートバルブを介して連結され、かつ大気空間と減圧空間との間で転送される被処理体を一時的に留め置くために室内を選択的に大気圧状態または減圧状態に切換可能に構成されたロードロック室を有する。第1の搬送機構は、被処理体の搬送のために減圧下の第1の真空搬送室内を移動して第1群のプロセス・モジュールの真空処理室、中継部およびロードロック室にアクセスする。一方、第2の搬送機構は、被処理体の搬送のために減圧下の第2の真空搬送室内を移動して第2群のプロセス・モジュールの真空処理室および中継部にアクセスする。第1の搬送機構と第2の搬送機構は互いに非同期にウエハ搬送を行うことができる。
このような2クラスタ接続の真空処理システムにおいては、一般に第1の真空搬送室と第2の真空搬送室とがゲートバルブを介して相互に連結される。もっとも、2つの真空搬送室が常時連通している真空処理システムにも本発明は適用可能である。
好適な一態様によれば、インタフェース・モジュールのロードロック室が一対備えられ、一方のロードロック室が奇数番目の被処理体の大気圧空間から減圧空間への導入および減圧空間から大気圧空間への払い出しに使用され、他方のロードロック室が偶数番目の被処理体の大気圧空間から減圧空間への導入および減圧空間から大気圧空間への払い出しに使用される。また、好適な一態様として、被処理体を複数収容可能なカセットを大気圧下で支持するロードポートと、このロードポートに接続または隣接し、ロードロック・モジュールにドアバルブを介して連結される大気圧下の搬送モジュールと、ロードポート上のカセットとロードロック・モジュールとの間で被処理体を搬送するために大気圧搬送モジュール内に設けられる第3の搬送機構とが備えられる。
本発明の基板処理装置によれば、上記のような構成および作用により、2つのマルチチャンバ装置に跨るインライン処理のスループットを向上させることができる。
以下、添付図を参照して本発明の好適な実施の形態を説明する。
図1に、本発明の一実施形態における基板処理装置の構成を示す。この基板処理装置は、2つのクラスタ10,12を直列に接続している。ここで、第1クラスタ10は、真空搬送室を構成する多角形の第1トランスファ・モジュールTM1の周りに複数たとえば4つのプロセス・モジュールPM1,PM7,PM8,PM6と2つのロードロック・モジュールLLM1,LLM2とを環状に配置したマルチチャンバ装置である。この第1クラスタ10において、各々のモジュールは個別に所望の真空度で減圧空間を形成できる真空チャンバまたは処理室を有しており、中心部の第1トランスファ・モジュールTM1は周辺部の各モジュールPM1,PM7,PM8,PM6,LLM1,LLM2とゲートバルブGVを介して連結されている。
一方、第2クラスタ12は、多角形の第2トランスファ・モジュールTM2の周りに複数たとえば4つのプロセス・モジュールPM2,PM3,PM4,PM5を環状に配置したマルチチャンバ装置である。第2クラスタ12においても、各々のモジュールは個別に所望の真空度で減圧空間を形成できる真空チャンバまたは処理室を有しており、中心部の第2トランスファ・モジュールTM2は周辺部の各モジュールPM2,PM3,PM4,PM5とゲートバルブGVを介して連結されている。
そして、第1クラスタ10の第1トランスファ・モジュールTM1と第2クラスタ12の第2トランスファ・モジュールTM2とはゲートバルブGVを介して互いに連結されており、このゲートバルブGVに近接する第1トランスファ・モジュールTM1の張り出し部分に中継部としてパス部PAが設置されている。パス部PAは、被処理体たとえば半導体ウエハ(以下、単に「ウエハ」という。)を一枚単位で水平に支持できる複数本の支持ピンを有しており、ウエハの受け渡しのために支持ピンを昇降可能に構成してもよい。
第1トランスファ・モジュールTM1の室内には、旋回および伸縮可能な一対の搬送アームFA,FBを有する第1真空搬送ロボットRB1が設けられている。この第1真空搬送ロボットRB1は、各搬送アームFA,FBがそのフォーク形のエンドエフェクタに1枚のウエハを保持できるようになっており、周囲の各モジュールPM1,PM7,PM8,PM6,LLM1,LLM2に開状態のゲートバルブGVを通って搬送アームFA,FBのいずれか一方を選択的に挿入または引き抜いてウエハの搬入(ローディング)/搬出(アンローディング)を行うことができるだけでなく、パス部PAに対してもウエハの受け渡しを行うことができる。両搬送アームFA,FBは、ロボット本体に互いに背中合わせに搭載され、一体的に旋回運動し、一方の搬送アームが原位置または復動位置に止まった状態で他方の搬送アームが原位置と正面前方の往動位置との間で伸縮移動するようになっている。
同様に、第2トランスファ・モジュールTM2の室内には、旋回および伸縮可能な一対の搬送アームFC,FDを有する第2真空搬送ロボットRB2が設けられている。この第2搬送ロボットRB2は、各搬送アームFC,FDがそのフォーク形のエンドエフェクタに1枚のウエハを保持できるようになっており、周囲の各モジュールPM2,PM3,PM4,PM5に開状態のゲートバルブGVを通って搬送アームFC,FDのいずれか一方を選択的に挿入または引き抜いてウエハの搬入(ローディング)/搬出(アンローディング)を行うことができるだけでなく、パス部PAに対しても開状態のゲートバルブGVを通ってウエハの受け渡しを行うことができる。両搬送アームFC,FDは、ロボット本体に互いに背中合わせに搭載され、一体的に旋回運動し、一方の搬送アームが原位置または復動位置に止まった状態で他方の搬送アームが原位置と正面前方の往動位置との間で伸縮移動するようになっている。
プロセス・モジュールPM1〜PM8は、各々のチャンバ内で所定の用力(処理ガス、電力等)を用いて所定の枚葉処理、たとえばCVDまたはスパッタリング等の成膜処理、熱処理、ドライエッチング加工等を行うようになっている。また、ロードロック・モジュールLLM1,LLM2も、必要に応じて加熱部または冷却部を装備することができる。
ロードロック・モジュールLLM1,LLM2は、トランスファ・モジュールTMと反対側でドアバルブDVを介して常時大気圧下のローダ・モジュールLMと連結されている。さらに、このローダ・モジュールLMと隣接してロードポートLPおよびオリフラ合わせ機構ORTが設けられている。ロードポートLPは、外部搬送車との間でウエハカセットCRの投入、払出しに用いられる。オリフラ合わせ機構ORTは、ウエハWのオリエンテーションフラットまたはノッチを所定の位置または向きに合わせるために用いられる。
ローダ・モジュールLM内に設けられている大気搬送ロボットRB3は、伸縮可能な上下2段(一対)の搬送アームを有し、リニアガイド(リニアスライダ)LG上で水平方向に移動可能であるとともに、昇降・旋回可能であり、ロードポートLP、オリフラ合わせ機構ORTおよびロードロック・モジュールLLM1,LLM2の間を行き来してウエハを1枚または2枚単位で搬送する。なお、リニアガイドLGは、たとえば永久磁石からなるマグネット、駆動用励磁コイルおよびスケールヘッド等で構成され、ホストコントローラからのコマンドに応じて大気搬送ロボットRB3の直線駆動制御を行う。
ここで、ロードポートLPに投入されたウエハカセットCR内の1枚のウエハにこの基板処理装置内で一連の処理を受けさせるための基本的なウエハ搬送シーケンスを説明する。一例として、第1クラスタ10のプロセス・モジュールPM7,PM1によりこの順序で第1、第2工程の枚葉処理が行われ、次いで第2クラスタ12のプロセス・モジュールPM4,PM3によりこの順序で第3、第4工程の枚葉処理が行われるものとする。この場合、第1、第2工程の枚葉処理が第1段階の処理であり、第3、第4工程の枚葉処理が第2段階の処理である。なお、この基板処理装置内の搬送シーケンスは、システム全体を統括制御するホストコントローラと各モジュールの動作を制御する各局所コントローラとの間で所要の制御信号がやりとりされることによって実行される。
ローダ・モジュールLMの大気搬送ロボットRB3は、ロードポートLP上のウエハカセットCRから1枚のウエハWiを取り出し、このウエハWiをオリフラ合わせ機構ORTに搬送してオリフラ合わせを受けさせ、それが済んだ後にロードロック・モジュールLLM1,LLM2のいずれか一方(たとえばLLM1)に移送する。移送先のロードロック・モジュールLLM1は、大気圧状態でウエハWiを受け取り、搬入後に室内を真空引きし、減圧状態でウエハWiを第1トランスファ・モジュールTM1の第1真空搬送ロボットRB1に渡す。
第1真空搬送ロボットRB1は、搬送アームFA,FBの片方を用いて、ロードロック・モジュールLLM1より取り出したウエハWiを1番目のプロセス・モジュールPM7に搬入する。プロセス・モジュールPM7は、予め設定されたレシピに従い所定のプロセス条件(ガス、圧力、電力、時間等)で第1工程の枚葉処理を実施する。この第1工程の枚葉処理が終了した後に、第1真空搬送ロボットRB1は、ウエハWiをプロセス・モジュールPM7から搬出し、次に2番目のプロセス・モジュールPM1に搬入する。プロセス・モジュールPM1は、予め設定されたレシピに従い所定のプロセス条件で第2工程の枚葉処理を実施する。この第2工程の枚葉処理が終了すると、第1真空搬送ロボットRB1は、ウエハWiをプロセス・モジュールPM1から搬出して、これをパス部PAへ渡す。パス部PAは、受け取ったウエハWiを水平に支持して留め置く。
第2トランスファ・モジュールTM2の第2真空搬送ロボットRB2は、パス部PAからウエハWiを引き取り、これを3番目のプロセス・モジュールPM4に搬入する。プロセス・モジュールPM4は、予め設定されたレシピに従い所定のプロセス条件で第3工程の枚葉処理を実施する。この第3工程の枚葉処理が終了した後、第2真空搬送ロボットRB2は、ウエハWiをプロセス・モジュールPM4から搬出し、次に4番目のプロセス・モジュールPM3に搬入する。プロセス・モジュールPM3は、予め設定されたレシピに従い所定のプロセス条件で第4工程の枚葉処理を実施する。この第4工程の枚葉処理が終了すると、第2真空搬送ロボットRB2は、この処理済のウエハWiをプロセス・モジュールPM3から搬出して、これをパス部PAへ戻す。パス部PAは、受け取った処理済つまり戻りのウエハWiを水平に支持して留め置く。
しかる後に、第1トランスファ・モジュールTM1の第1真空搬送ロボットRB1は、パス部PAに戻された戻りウエハWiを引き取り、これをロードロック・モジュールLLM1,LLM2の片方に戻す。
こうして基板処理装置内の複数のプロセス・モジュールPM7,PM1,PM4,PM3でインラインの複合真空処理を受けてきた処理済のウエハWiがロードロック・モジュールの片方(たとえばLLM2)に搬入されると、このロードロック・モジュールLLM2の室内は減圧状態から大気圧状態に切り替えられる。しかる後、ローダ・モジュールLMの大気搬送ロボットRB3が、大気圧状態のロードロック・モジュールLLM2からウエハWiを取り出して該当のウエハカセットCRに戻す。なお、ロードロック・モジュールLLM1,LLM2において滞在中のウエハWiに所望の雰囲気下で加熱または冷却処理を施すこともできる。
上記のように、この基板処理装置は、直列に接続された2つのクラスタ内でウエハを工程順に複数のプロセス・モジュールに順次搬送して一連の処理を連続的に実施することが可能であり、真空薄膜形成加工では所望の薄膜を2つのクラスタに亘り雰囲気を変えてインラインで積層形成することができる。
特に、この基板処理装置のスループット能力を最大限に発揮させるには、第1クラスタ10と第2クラスタ12とに跨って各ウエハWを工程順に複数のプロセス・モジュール(上記の例ではPM7,PM1,PM4,PM3)に順次搬送し、各プロセス・モジュールPMに対してはそこで処理の済んだばかりのウエハWiを搬出してそれと入れ替わりに前工程のプロセス・モジュールから搬出した直後の次のウエハWi+1を搬入するシリアル搬送方式を採用するのが最適である。
もっとも、第1クラスタ10から第2クラスタ12への行きのウエハWと第2クラスタ12から第1クラスタ10への戻りのウエハWとが時間を異にして共通の中継部PAに一時的に留め置かれるため、行きのウエハWに対する搬送シーケンスと戻りのウエハWに対する搬送シーケンスとが中継部PAで衝突または競合する状況が生じる場合が問題となる。この実施形態は、後述するように、そのような行きのウエハWに対する搬送シーケンスと戻りのウエハWに対する搬送シーケンスとが中継部PAで競合する場面に本発明の搬送手順を用いることにより、スループットの低下を回避できるようになっている。
この基板処理装置においては、第1トランスファ・モジュールTM1の第1真空搬送ロボットRB1が上記のように一対の搬送アームFA,FBを有しており、その周囲の各プロセス・モジュールPM1,PM7,PM8,PM6に対して、当該モジュールで処理が済んだ直後のウエハと次に当該モジュールで処理を受けるべきウエハとを1回のモジュール・アクセスで入れ替えるピック&プレース動作を行えるようになっている。
ここで、図2につき、この実施形態におけるピック&プレース動作を模式的な図解で説明する。搬送ロボットRB1は、図2の(A)に示すように、目的のプロセス・モジュールPMnに搬入すべき未処理(処理前)のウエハWjを片方の搬送アームたとえばFAで保持し、もう片方の搬送アームFBをウエハ無しの空の状態にして当該プロセス・モジュールPMnと向き合う。そして、図2の(B),(C)に示すように、空の搬送アームFBを当該プロセス・モジュールPMnのチャンバに挿入して中から処理済のウエハWiを取り出す(ピック動作)。次に、図2の(D)に示すように、搬送アームFA,FBを180゜旋回(反転)させて、未処理のウエハWjを保持している搬送アームFAをプロセス・モジュールPMnの正面に付ける。そして、今度は、図2の(E) ,(F)に示すように、搬送アームFAを当該プロセス・モジュールPMnのチャンバに挿入して内部の載置台または支持ピン等に該ウエハWjを渡し、空になった搬送アームFAを引き抜く(プレース動作)。なお、このピック&プレース動作の間、当該プロセス・モジュールPMnのウエハ出入口に設けられているゲートバルブGV(図1)は開いたままになっている。
このように、トランスファ・モジュールTM1の搬送ロボットRB1は、各プロセス・モジュールPMnに対する1回のアクセスで、当該モジュールで処理の済んだウエハWiと次に当該モジュールで処理を受けるべき半導体ウエハWjとを上記のようなピック&プレース動作により入れ替えることができる。また、搬送ロボットRB1は、各ロードロック・モジュールLLM1,LLM2に対しても上記と同様のピック&プレース動作により1回のアクセスで新規ウエハと処理済ウエハの入れ替えまたは受け渡しを行うことができる。
さらに、搬送ロボットRB1は、パス部PAに対しても上記と同様のピック&プレース動作により1回のアクセスで行きのウエハWと戻りのウエハWとの入れ替えを行うことができる。つまり、空の搬送アームFBでパス部PAから戻りのウエハWを引き取り(ピック動作)、次に搬送アームFA,FBを180゜旋回(反転)させて、行きのウエハWを保持している搬送アームFAをパス部PAの正面に付け、次いで搬送アームFAを伸ばしてパス部PAの支持ピンに行きのウエハWを渡し、空になった搬送アームFAを引く(プレース動作)。
また、搬送ロボットRB1は、1回のアクセスにおいて、ピック動作に続いて間髪を入れずにプレース動作を行うことも可能であれば、ピック動作の後に少し待ち時間を置いてからプレース動作を行うことも可能である。さらに、ウエハWi(W)を搬出(引取り)するピック動作のみあるいはウエハWj(W)を搬入(引き受け)するプレース動作のみを単独で行うことも可能である。
同様に、第2トランスファ・モジュールTM2の第2真空搬送ロボットRB2も一対の搬送アームFC,FDを有しており、その周囲の各プロセス・モジュールPM2,PM3,PM4,PM5に対して、上記のようなピック&プレース動作により当該モジュールで処理が済んだ直後のウエハWiと次に当該モジュールで処理を受けるべきウエハWjとを1回のアクセスで入れ替えることができる。また、第2真空搬送ロボットRB1は、パス部PAに対しても上記と同様のピック&プレース動作により1回のアクセスで行きのウエハWと戻りのウエハWとの入れ替えを行うこともできる。また、1回のアクセスにおいて、ピック動作に続いて即座にプレース動作を行うことも可能であれば、ピック動作の後に少し待ち時間を置いてからプレース動作を行うことも可能である。さらに、ウエハWi(W)を搬出(引取り)するピック動作のみあるいはウエハWj(W)を搬入(引き受け)するプレース動作のみを単独で行うことも可能である。
次に、図3〜図20につき、この実施形態においてロードポートLPにカセット単位で投入された一群のウエハにインラインの複合処理を施すために各ウエハWを一枚ずつクラスタツール内の複数のプロセス・モジュールにシリアル搬送方式で順次搬送する搬送シーケンスの一実施例を説明する。なお、シリアル搬送方式においては、各プロセス・モジュールにおけるプロセス時間を全て同一に設定するのが好ましい。
この実施例では、銅メッキ膜の銅配線プロセスにおいてバリアメタルのTaN/Ta積層膜とCuシード層とをインラインの連続成膜処理で形成する。すなわち、各ウエハWについて、最初に第1クラスタ10内のプロセス・モジュールPM7でDegas(脱気)処理により下層(Cu)表面に吸着しているガスを脱離させ、次いで同じく第1クラスタ10内のプロセス・モジュールPM1でエッチングにより下層(Cu)表面をクリーニングし、次いで第2クラスタ12内のプロセス・モジュールPM4でiPVD(ionized Physical Vapor Deposition)法によりTaN/Ta積層膜を形成し、最後に第2クラスタ12内のプロセス・モジュールPM3でiPVD法によりCuシード層を形成する。そして、処理済のウエハをロードロック・モジュールLLM1,LLM2で冷却する。この場合、残りのプロセス・モジュールPM8,PM6,PM2,PM5は稼動しない。
ロードポートLP上のカセットCRに収容されている1ロット(たとえば25枚)のウエハW101〜W125のうち、図3に示すように、先頭のウエハW101がオリフラ合わせ機構ORTを経由してロードロック・モジュールLLM1,LLM2のいずれか一方(たとえばLLM1)に搬送される。ウエハW101を搬入したロードロック・モジュールLLM1が室内を真空引きしている間に、2番目のウエハW102がオリフラ合わせ機構ORTでオリフラ合わせを受ける。なお、上述したように、ロードポートLP、オリフラ合わせ機構ORT、ロードロック・モジュールLLM1,LLM2間のウエハ搬送は全てローダ・モジュールLMの大気搬送ロボットRB3により行われる。
次に、ロードロック・モジュールLLM1で真空引きが完了すると、図4に示すように、ウエハW101はロードロック・モジュールLLM1から第1トランスファ・モジュールTM1を通って第1工程用のプロセス・モジュールPM7へ搬送される。なお、上述したように、第1クラスタ10内のウエハ搬送は全て第1真空搬送ロボットRB1により行われる。一方、大気系では、ウエハW102がオリフラ合わせ機構ORTから他方のロードロック・モジュールLLM2に移されるとともに、カセットCRから3番目のウエハW102がオリフラ合わせ機構ORTへ移載される。
プロセス・モジュールPM7は、搬入したウエハW101に対して予め設定されたレシピにしたがい所定のプロセス条件でDegas処理を実施する。その間に、図5に示すように、ロードロック・モジュールLLM2で真空引きが完了し、第1真空搬送ロボットRB1がウエハW102をロードロック・モジュールLLM2より取り出しておく。また、大気系では、ウエハW103がオリフラ合わせ機構ORTから第1ロードロック・モジュールLLM1に移され、代わりにカセットCRから4番目のウエハW104がオリフラ合わせ機構ORTへ移載される。
プロセス・モジュールPM7においてウエハW101に対するDegas処理が終了すると、図6に示すように、ウエハW101がプロセス・モジュールPM7から同じ第1クラスタ10内の第2工程用プロセス・モジュールPM1へ移され、代わりに第1トランスファ・モジュールTM1内で待機していたウエハW102がプロセス・モジュールPM7に搬入される。この場合、プロセス・モジュールPM7においては、上記のようなピック&プレース動作により1回のアクセスでウエハW101が搬出されるのと入れ替わりにウエハW102が搬入される。
プロセス・モジュールPM7は、ウエハW102を搬入すると、ウエハW101に対するのと同じプロセス条件でDegas処理を開始する。少し遅れて、プロセス・モジュールPM1は、搬入したウエハW101に対して予め設定されたレシピにしたがい所定のプロセス条件で下層表面エッチングまたはクリーニング処理を開始する。一方、ウエハW103が入っているロードロック・モジュールLLM1は室内の真空引きを行う。また、大気系では、ウエハW104がロードロック・モジュールLLM2に移され、カセットCRから5番目のウエハW105がオリフラ合わせ機構ORTに移載される。
しかる後、プロセス・モジュールPM7でDegas処理が終了し、プロセス・モジュールPM1でクリーニング処理が終了すると、図7に示すように、ウエハW101がプロセス・モジュールPM1からパス部PAへ移され、ウエハW102がプロセス・モジュールPM7からプロセス・モジュールPM1へ移され、ウエハW103がロードロック・モジュールLLM1からプロセス・モジュールPM7へ移される。
この場合の搬送手順は次のとおりである。先ず、ロードロック・モジュールLLM1の真空引きが完了してウエハW103が第1トランスファ・モジュールTM1内に取り出される。そして、プロセス・モジュールPM7においてDegas処理が終了すると、ピック&プレース動作により、そこからウエハW102が搬出され、それと入れ替わりに第1トランスファ・モジュールTM1内で待機していたウエハW103が搬入される。次いで、プロセス・モジュールPM1でクリーニング処理が終了し、ピック&プレース動作により、そこからウエハW101が搬出され、それと入れ替わりにプロセス・モジュールPM7より搬出されてきたウエハW102が搬入される。そして、プロセス・モジュールPM1より搬出されたウエハW101はパス部PAへ渡される。
また、ロードロック・モジュールLLM1は、ウエハW103を第1トランスファ・モジュールTM1側に搬出した後に室内を大気圧に切り換え、オリフラ合わせの済んだウエハW105を搬入する。オリフラ合わせ機構ORTにはカセットCRから6番目のウエハW106が移載される。
しかる後、図8に示すように、第2クラスタ12の第2真空搬送ロボットRB2は、パス部PAからウエハW101を引き取り、これを第3工程用のプロセス・モジュールPM4へ搬入する。プロセス・モジュールPM4は、搬入したウエハW101に対して予め設定されたレシピにしたがい所定のプロセス条件でiPVD法によるTaN/Ta積層膜の成膜処理を開始する。一方、第1クラスタ10内では、ロードロック・モジュールLLM2で真空引きが完了すると、ウエハW104が第1トランスファ・モジュールTM1に搬出される。また、大気系では、ウエハW106がオリフラ合わせ機構ORTから大気搬送ロボットRB3に引き取られ、代わりにカセットCRから7番目のウエハW107がオリフラ合わせ機構ORTに移載される。
しかる後、プロセス・モジュールPM7でDegas処理が終了し、プロセス・モジュールPM1でクリーニング処理が終了すると、図9に示すように、ウエハW102がプロセス・モジュールPM1からパス部PAへ移され、ウエハW103がプロセス・モジュールPM7からプロセス・モジュールPM1へ移され、ウエハW104がプロセス・モジュールPM7へ搬入される。この場面の各ウエハW102,103,104の搬送は上記したウエハW101,102,103の搬送のときと全く同じ手順で行われる。両プロセス・モジュールPM7,PM1は、新たに搬入したウエハW104,W103に対して上記と同じプロセス条件でDegas処理、クリーニング処理をそれぞれ実施する。
第2クラスタ12においては、図10に示すように、第2真空搬送ロボットRB2が、TaN/Ta層成膜処理を終えたプロセス・モジュールPM4からウエハW101を搬出し、これを第4工程用のプロセス・モジュールPM3へ搬入する。プロセス・モジュールPM3は、搬入したウエハW101に対して予め設定されたレシピに従い所定のプロセス条件でiPVD法によるCuシード層成膜処理を開始する。そして、空になったプロセス・モジュールPM4にはパス部PAから引き取ったウエハW102を搬入する。プロセス・モジュールPM4は、新たに搬入したウエハW102に対してウエハW101に対するのと同じプロセス条件でTaN/Ta層成膜処理を実施する。
この場合の第2真空搬送ロボットRB2における搬送手順としては、先にパス部PAからウエハW102を引き取り、次いでプロセス・モジュールPM4に対してピック&プレース動作により両ウエハW101,W102の入れ替えを行い、直後にウエハW101を単独のプレース動作でプロセス・モジュールPM3に搬入することができる。あるいは、ウエハW101がロット先頭なので(それよりも先行するウエハが無いので)、先に単独のピック動作によりウエハW101をプロセス・モジュールPM4から搬出して直後に単独のプレース動作によりプロセス・モジュールPM3に搬入し、しかる後にパス部PAからウエハW102を単独のピック動作により引き取って単独のプレース動作でプロセス・モジュールPM4に搬入することも可能である。
一方、第1クラスタ10においては、図10に示すように、第1真空搬送ロボットRB1が真空引きを完了させたロードロック・モジュールLLM1からウエハW105を取り出しておく。また、大気系では、ウエハW107がオリフラ合わせ機構ORTから大気搬送ロボットRB3に引き取られ、代わりにカセットCRから8番目のウエハW108がオリフラ合わせ機構ORTに移載される。
しかる後、第1クラスタ10において、プロセス・モジュールPM7でDegas処理が終了し、プロセス・モジュールPM1でクリーニング処理が終了すると、図11に示すように、ウエハW103がプロセス・モジュールPM1からパス部PAへ移され、ウエハW104がプロセス・モジュールPM7からプロセス・モジュールPM1へ移され、ウエハW105がプロセス・モジュールPM7へ搬入される。この場面の各ウエハW103,104,105のシリアル搬送は上記したウエハW102,103,104のシリアル搬送と全く同じ手順で行われる。両プロセス・モジュールPM7,PM1は、新たに搬入したウエハW105,W104に対して上記と同じプロセス条件でDegas処理、クリーニング処理をそれぞれ実施する。
しかる後、第2クラスタ12においては、図12に示すように、第2真空搬送ロボットRB2が、Cuシード層成膜処理を終えたプロセス・モジュールPM3からウエハW101を搬出してこれをパス部PAへ戻し、Ti/TiN層成膜処理を終えたプロセス・モジュールPM4からウエハW102を搬出してこれをプロセス・モジュールPM3へ移し、第1クラスタ10側からパス部PAに渡されていた行きのウエハW103をプロセス・モジュールPM4に搬入する。両プロセス・モジュールPM4,PM3は、新たに搬入したウエハW103,W102に対して上記と同じプロセス条件でTaN/Ta層成膜処理、Cuシード層成膜処理をそれぞれ実施する。
この場合の第2真空搬送ロボットRB2における搬送手順としては、先にパス部PAからウエハW103を引き取り、次いでプロセス・モジュールPM4に対してピック&プレース動作により両ウエハW102,W103の入れ替えを行い、直後にプロセス・モジュールPM3に対してピック&プレース動作により両ウエハW101,W102の入れ替えを行い、最後にプロセス・モジュールPM3より取り出したウエハW101をパス部PAに渡すことができる。しかし、この場面でも、ウエハW101がロット先頭なので(それよりも先行するウエハが無いので)、例外的な手順を採用することができる。すなわち、先に単独のピック動作によりウエハW101をプロセス・モジュールPM3から搬出しておき、行きのウエハW103がパス部PAに着いた直後にパス部PAに対してピック&プレース動作により両ウエハW101,W103の入れ替えを行い、それからプロセス・モジュールPM4に対してピック&プレース動作により両ウエハW102,W103の入れ替えを行い、最後にプロセス・モジュールPM4より取り出したウエハW102を単独のプレース動作でプロセス・モジュールPM3に搬入することも可能であり、この手順の方が処理済の先頭ウエハW101をより早いタイミングでパス部PAへ戻すことができる。
一方、第1クラスタ10においては、図12に示すように、第2クラスタ12から戻りのウエハW101がパス部PAへ渡される前に、真空引きを完了させたロードロック・モジュールLLM2からウエハW106を取り出しておく。また、大気系では、ウエハW107がロードロック・モジュールLLM1に搬入され、オリフラ合わせ機構ORTからウエハW108が大気搬送ロボットRB3に引き取られ、代わりにカセットCRから9番目のウエハW109がオリフラ合わせ機構ORTに送り込まれる。
こうして、第2クラスタ12から戻りのウエハW101がパス部PAへ渡された時、第1クラスタ10においては、図12に示すように、第1真空搬送ロボットRB1が片方の搬送アームに未処理のウエハW106を保持し、両プロセス・モジュールPM7,PM1がウエハW105,W104に対してDegas処理、クリーニング処理をそれぞれ行っており、片側のロードロック・モジュールLLM1が未処理のウエハW106を入れた状態で真空引きを行っている最中にある。ここで、第1真空搬送ロボットRB1は、もう片方の搬送アームが空いており、第2クラスタ12からパス部PAに渡された戻りのウエハW101をその空の搬送アームを用いて引き取ることは可能である。
しかし、本発明に従い第1真空搬送ロボットRB1は、戻りのウエハW101をパス部PAに待たせたまま第1クラスタ10内のシリアル搬送を優先的に実行する。すなわち、図13に示すように、Degas処理を終了させたプロセス・モジュールPM7に対してピック&プレース動作によりウエハW105,W106の入れ替えを行い、次いでプロセス・モジュールPM1に対してピック&プレース動作によりウエハW104,W105の入れ替えを行う。こうして、プロセス・モジュールPM1より搬出したウエハW104を片方の搬送アームで保持し、もう片方の搬送アームを空にした状態で、パス部PAに待たせておいた戻りウエハW101と対峙する。そして、図14に示すように、ピック&プレース動作によりパス部PAから戻りのウエハW101を引き取り,それと入れ替わりに行きのウエハW104をパス部PAに渡す。
この後は、図15に示すように、第2クラスタ12内では第2真空搬送ロボットRB2がパス部PAから行きのウエハW104を引き取り、第1クラスタ10内では第1真空搬送ロボットRB1が真空引きを完了しているロードロック・モジュールLLM1に対してピック&プレース動作によりウエハ107,101の入れ替えを行う。つまり、減圧状態のロードロック・モジュールLLM1より未処理のウエハ107を取り出し、それと入れ替わりに処理済のウエハ101をロードロック・モジュールLLM1に戻す。ロードロック・モジュールLLM1で処理済のウエハ101は室温付近の設定温度まで冷却される。
この後は、図16に示すように、ロードロック・モジュールLLM1の室内が大気圧状態に切り換わり、大気搬送ロボットRB1が処理済のウエハ101をロードロック・モジュールLLM1からロードポートLPのカセットCRに移す。また、第2クラスタ12においては、TaN/Ta成膜処理を終えたプロセス・モジュールPM4においてピック&プレース動作によりウエハW103,104の入れ替えが行われ、次いでCuシード層成膜処理を終えたプロセス・モジュールPM3においてピック&プレース動作によりウエハW102,103の入れ替えが行われ、プロセス・モジュールPM3より搬出された処理済のウエハW102がパス部PAに渡される。一方、第1クラスタ10においては、処理済のウエハW102がパス部PAに渡されても、それを無視して定常通りに行きのシリアル搬送が実行される。すなわち、Degas処理を終了させたプロセス・モジュールPM7に対してピック&プレース動作によりウエハW106,W107の入れ替えが行われ、次いでクリーニング処理を終了させたプロセス・モジュールPM1に対してピック&プレース動作によりウエハW105,W106の入れ替えが行われる。そして、第1真空搬送ロボットRB1はプロセス・モジュールPM1より搬出したウエハW105を片方の搬送アームで保持し、もう片方の搬送アームを空にした状態で、パス部PAに待たせておいた戻りのウエハW102と対峙する。図示省略するが、この直後にピック&プレース動作によりパス部PAから戻りのウエハW102を引き取り,それと入れ替わりに行きのウエハW105をパス部PAに渡す。そして、ロードロック・モジュールLLM2に対してピック&プレース動作によりウエハ108,102の入れ替えを行う。つまり、減圧状態のロードロック・モジュールLLM2より未処理のウエハ108を取り出し、それと入れ替わりに処理済のウエハ102をロードロック・モジュールLLM2に戻す。
以後も上記と同じ手順で搬送シーケンスが繰り返される。ただし、ロット終了間際においては、末尾のウエハW125の後に続くウエハは存在しないため例外的な搬送手順が用いられる。たとえば、末尾のウエハW125が各プロセス・モジュールPMから搬出されるときは単独のピック動作が行われ、それと入れ替わりのプレース動作は行われない。また、末尾から3番目のウエハW123が戻りのウエハWとしてプロセス・モジュールPM3よりパス部PAに移された時、後続のウエハW124,W125は第2クラスタ12内のプロセス・モジュールPM4,PM3に搬入されており、第1クラスタ10内の搬送経路上に存在するウエハは1つもない。各部のコントローラないしホストコントローラは、システム内の各部の搬送経路上におけるウエハの有無および識別を常時または随時監視している。したがって、上記のようにロット終了間際において第2クラスタから戻りのウエハWがパス部PAに渡された時に第1クラスタ10内の搬送経路上にウエハは1つも存在しない状況を確認した場合は、第1真空搬送ロボットRB1が直ちに戻りのウエハWをパス部PAから引き取り、そのまま減圧状態のロードロック・モジュールLLM2(LLM2)に戻すことにしてよい。
上記のように、この実施形態では、第2クラスタ12から第1クラスタ10への戻りのウエハWがパス部PAに着いた時点で第1クラスタ10内の搬送経路上に第2クラスタ12行きのウエハWが存在しているときは、第1クラスタ10内のシリアル搬送を優先的に実行し、第1クラスタ10内で所要(第1段階)の処理を終えた行きのウエハWとパス部PAで入れ替えるまで戻りのウエハWをパス部PAに待たせておく。この戻りのウエハWがパス部PAで滞留している状況からすれば、一見すると、その滞留時間だけ搬送サイクルタイムまたは搬送タクトが延びるようにも思われる。
しかし、シリアル搬送方式においては、各ウエハWiが搬送経路上で後続の次のウエハWi+1とピック&プレース動作による入れ替わりによって各プロセス・モジュールPMnから後段のプロセス・モジュールPMn+1に転送されるようになっており、1つのプロセス・モジュールに1枚のウエハが搬入されてから搬出するまでのPMサイクルタイム、特に最大PMサイクルタイムによってシステム内の搬送サイクルタイムまたは搬送タクトが律則される。システム内のウエハ搬送経路上のプロセス・モジュール以外のポイントでは、PMサイクルタイムとのギャップを待ち時間で埋めることとなり、ウエハが一箇所(パス部PAも含まれる)で滞在できる時間的マージンは大きい。したがって、PMサイクルタイム(特に最大PMサイクルタイム)を延ばさないように各部間でウエハ搬送のタイミングを調整することが肝要であり、パス部PAからウエハを引き取るよりもプロセス・モジュール側のシリアル搬送を優先させることはスループットを悪化させる原因には決してならないばかりか、むしろスループット上の最適な搬送手順といえる。
この点、従来の搬送方式においては、図12に示すように第2クラスタ12から第1クラスタ10への戻りのウエハW(W101)がパス部PAに渡されると、その直後の搬送手順は図17、図18および図19に示すようになる。すなわち、図17に示すように、第1クラスタ10の第1真空搬送ロボットRB1は、空いている方の搬送アームでパス部PAから戻りのウエハW101を引き取る。しかし、この場面では、ロードロック・モジュールLLM1が真空引きを完了していても、第1真空搬送ロボットRB1は、戻りのウエハW101と未処理ウエハ106とを同時に保持しており、両搬送アームFA,FBのいずれも手が塞がっているため、ピック&プレース動作を行うことはできない。つまり、ロードロック・モジュールLLM1に対して未処理ウエハ107と戻りのウエハW101とを入れ替えることができない。結局、空になっているロードロック・モジュールLLM2の真空引きが完了するまで、戻りのウエハW101と未処理ウエハ106とを同時に保持したまま待たなくてはならない。
そして、ロードロック・モジュールLLM2が真空引きを完了させると、図18に示すように、第1真空搬送ロボットRB1は戻りのウエハW101をロック・モジュールLLM2に搬入する。これで片方の搬送アームが空になり、ピック&プレース動作を行えるようになる。こうして、それから第1クラスタ10内の行きのシリアル搬送に取り掛かり、図19に示すように、Degas処理を終了させて待機していたプロセス・モジュールPM7に対してピック&プレース動作によりウエハW105,W106の入れ替えを行い、次いでクリーニング処理を終了させて待機していたプロセス・モジュールPM1に対してピック&プレース動作によりウエハW104,W105の入れ替えを行い、プロセス・モジュールPM1より搬出した行きのウエハW104をパス部PAに渡す。
このように、従来の搬送方式によれば、第2クラスタ12からパス部PAに渡された戻りのウエハWを第1クラスタ10の第1真空搬送ロボットRB1がすぐに引き取っても、次の行き先であるロードロック・モジュールLLM1(LLM2)への搬送ないし搬入がスムースにいかないばかりか、プロセス・モジュールPM側のシリアル搬送が後回しにされることによってPMサイクルタイム(特にPMサイクルタイムに占める待機時間)が増大し、結果としてロットベースの搬送サイクルタイム平均値は長くなる。
図20に、この実施形態の基板処理装置における各部および全体のサイクルタイムを本発明の搬送手順(特に図13,図14,図15)と比較例の搬送手順(図17,図18,図19)とで対比して一覧表で示す。この表のデータは、1ロット25枚のウエハ搬送において各部のサイクルタイムの最小値(Min)、最大値(Max)および平均値(Ave)をシミュレーションで求めたものである。ここで、「LP Cycle Time」は、ロードポートLPより各ウエハWiが搬出されてからロードポートLPに戻ってくるまでの時間つまりLPサイクルタイムである。「PMn Cycle Time」(n=1,3,4,7)は、各プロセス・モジュールPMnに各ウエハWiが搬入されてから次のウエハWi+1が搬入されるまでの時間つまりPMサイクルタイムである。各プロセス・モジュールPMn(n=1,3,4,7)におけるプロセス時間はいずれも60秒であり、ロードロック・モジュールLLM1(LLM2)における冷却時間は30秒である。プロセス時間が一定(60秒)であるにも拘わらずPMサイクルタイム(PMn Cycle Time)がばらつくのは、1サイクル内の搬送または待機時間がばらつくためである。相対的に、ロット終盤のサイクルタイムは短く、ロット中盤のサイクルタイムは長い。
図20において、各LPサイクルタイムおよびPMサイクルタイムの最小値(Min)は本発明と比較例とで殆ど違わない。これは、末尾のウエハW125で得られるサイクルタイムであり、本発明および比較例のいずれの場合にも搬送経路の途中で待つ場面がないからである。しかし、各部のサイクルタイムの最大値(Max)および平均値(Ave)が本発明により著しく改善され、約10%前後短縮している。一般にクラスタツールは長時間の連続処理を行うため、搬送サイクルタイムが数パーセント短縮するだけでも生産性の大幅な向上につながる。
上記した実施形態は、銅メッキ膜の銅配線プロセスにおいてバリアメタルのTaN/Ta積層膜とCuシード層とをインラインの連続成膜処理で形成するために、第1クラスタ10における第1段階の処理としてプロセス・モジュールPM7,PM1でそれぞれDegas処理、エッチング処理を順次行い、第2クラスタ12における第2段階の処理としてプロセス・モジュールPM4,PM3でそれぞれTaN/Ta層成膜処理、Cuシード層成膜処理を順次行うものであった。一変形例として、実質的に同一の真空薄膜加工を行うために、第1クラスタ10における第1段階の処理としてプロセス・モジュールPM1,PM6,PM7でそれぞれエッチング処理、ALD(Atomic Layer Deposition)法によるTaN/Ta層成膜処理、Degas処理を順次行い、第2クラスタ12における第2段階の処理としてプロセス・モジュールPM3でiPVD法によるCuシード層成膜処理を行うことも可能である。
この場合、途中の搬送シーケンスを省略するが、図21に示すように、第2クラスタ12から第1クラスタ10への戻りのウエハW(W101)がパス部PAに渡された時、ロット終盤でもない限り、第1クラスタ10内の搬送経路には行きのウエハWが1つまたは複数存在している。典型的には、図21に示すように、第1真空搬送ロボットRB1が片方の搬送アームで未処理のウエハW106を保持し、プロセス・モジュールPM1,PM6,PM7がウエハW105,W104,W103に対してクリーニング処理、TaN/Ta層成膜処理、Degas処理をそれぞれ行っており、片側のロードロック・モジュールLLM1が未処理のウエハW107を入れた状態で真空引きを行っている。ここで、第1真空搬送ロボットRB1は、もう片方の搬送アームが空いており、第2クラスタ12からパス部PAに渡された戻りのウエハW101をその空の搬送アームを用いて引き取ることは可能である。
しかし、この場合でも、本発明にしたがい第1真空搬送ロボットRB1は、戻りのウエハW101をパス部PAに待たせたまま第1クラスタ10内のシリアル搬送を優先的に実行する。すなわち、図22に示すように、クリーニング処理を終了させたプロセス・モジュールPM1に対してピック&プレース動作によりウエハW105,W106の入れ替えを行い、次いでTaN/Ta層成膜処理を終了させたプロセス・モジュールPM6に対してピック&プレース動作によりウエハW104,W105の入れ替えを行い、次いでDegas処理を終了させたプロセス・モジュールPM7に対してピック&プレース動作によりウエハW103,W104の入れ替えを行う。こうして、プロセス・モジュールPM7より搬出したウエハW103を片方の搬送アームで保持し、もう片方の搬送アームを空にした状態で、パス部PAに待たせておいた戻りウエハW101と対峙する。そして、図23に示すように、ピック&プレース動作によりパス部PAから戻りのウエハW101を引き取り,それと入れ替わりに行きのウエハW103をパス部PAに渡す。このように、パス部PAから戻りウエハW101を引き取るよりもプロセス・モジュールPM1,PM6,PM7側のウエハ入れ替えを優先させることが、ロットベースのスループットを向上させるのに適っている。
これに対して、従来の搬送方式によれば、図21に示すように第2クラスタ12から第1クラスタ10への戻りのウエハW(W101)がパス部PAに渡されると、その直後に図24に示すように、第1クラスタ10の第1真空搬送ロボットRB1が空いている方の搬送アームでパス部PAから戻りのウエハW101を引き取る。しかし、この場合も、ロードロック・モジュールLLM1に対して未処理ウエハ107と戻りのウエハW101とをピック&プレース動作で入れ替えることはできず、空になっているロードロック・モジュールLLM2の真空引きが完了するまで戻りのウエハW101を第1真空搬送ロボットRB1が持ったまま待たなくてはならない。この後、図示省略するが、第1真空搬送ロボットRB1は、真空引きを完了させたロードロック・モジュールLLM2に戻りのウエハW101を単独のプレース動作により搬入してから、第1クラスタ10内の行きのシリアル搬送に取り掛かる。このように、第2クラスタ12からパス部PAに渡された戻りのウエハWを第1クラスタ10の第1真空搬送ロボットRB1がすぐに引き取っても、次の行き先であるロードロック・モジュールLLM1(LLM2)への搬送がスムースにいかないばかりか、プロセス・モジュールPM側のシリアル搬送ないしウエハ入れ替えが後回しにされることとなり、結果的にはシステム全体ないしロットベースのスループットが悪化する。
図25に、この第2の実施形態における各部および全体のサイクルタイムを本発明の搬送手順(図22,図23)と比較例の搬送手順(図24,図25)とで対比して一覧表で示す。ただし、「PMn Cycle Time」(n=1,3,6,7)は、各プロセス・モジュールPMnに各ウエハWiが搬入されてから次のウエハWi+1が搬入されるまでの時間つまりPMサイクルタイムである。各プロセス・モジュールPMn(n=1,3,6,7)におけるプロセス時間はいずれも60秒であり、ロードロック・モジュールLLM1(LLM2)における冷却時間は30秒である。図25のデータから、この実施形態においても、各部のサイクルタイムの最大値(Max)および平均値(Ave)が本発明により著しく改善され、約10%前後短縮していることがわかる。
上記した実施形態は本発明の一例にすぎないものであり、他にも第1クラスタ10と第2クラスタ12とに跨ってプロセス・モジュールPM1〜M8の中から任意のものを任意の順序で組み合わせて所望のインライン複合処理を実現することができる。
また、上記実施形態では、第1クラスタ10で第1段階の処理を行い、次いで第2クラスタ12で第2段階の処理を行い、第2段階を終えた全処理済のウエハをパス部PAからロードロック・モジュールLLM1(LLM2)へ直接搬送するようにした。しかしながら、本発明において、このような搬送シーケンスは一例であり、たとえば第2クラスタ12で第2段階を終えたウエハをパス部PAから第1クラスタ10内の残りのプロセス・モジュールPMに搬送することも可能である。さらには、第2クラスタ12で第1段階の処理を行い、次いで第1クラスタ10で第2段階の処理を行う複合処理の搬送シーケンスや、第2クラスタ12で第1段階の処理、第1クラスタ10で第2段階の処理、第2クラスタ12で第3段階の処理を行う複合処理の搬送シーケンス等も可能である。
また、上記実施形態では、第2クラスタ12側の第2真空搬送ロボットRB2よりパス部PAに渡されたウエハWを第1クラスタ10側の第1真空搬送ロボットRB1が引き取る場面について説明したが、本発明は逆方向の場面、つまり第1クラスタ10側の第1真空搬送ロボットRB1よりパス部PAに渡されたウエハWを第2クラスタ12側の第2真空搬送ロボットRB2が引き取る場面にも適用可能である。つまり、この場面では、第1真空搬送ロボットRB1よりパス部PAに渡されたウエハWを、第2真空搬送ロボットRB2が第2クラスタ12内のプロセス・モジュールで1つまたは一連の処理を終えて第1クラスタ10へ向うウエハと入れ替えるまで、パス部PAで待たせておくという搬送制御が行われる。
本発明の基板処理装置は、上記実施形態のような真空系の処理システムに限定されるものではなく、一部または全体が大気系の処理システムにも適用可能である。本発明における被処理体は、半導体ウエハに限るものではなく、フラットパネルディスプレイ用の各種基板や、フォトマスク、CD基板、プリント基板等も含む。
一実施形態における基板処理装置の構成を示す略平面図である。 実施形態におけるピック&プレース動作を説明するための模式図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 比較例における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 実施形態の基板処理装置における各部および全体のサイクルタイムを本発明の搬送手順と比較例の搬送手順とで対比して示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 一実施形態における搬送シーケンスの一段階を示す図である。 比較例における搬送シーケンスの一段階を示す図である。 比較例における搬送シーケンスの一段階を示す図である。 実施形態の基板処理装置における各部および全体のサイクルタイムを本発明の搬送手順と比較例の搬送手順とで対比して示す図である。
符号の説明
10 第1クラスタ
12 第2クラスタ
TM1 第1トランスファ・モジュール
TM2 第2トランスファ・モジュール
RB1 第1真空搬送ロボット
A,FB 搬送アーム
RB2 第2真空搬送ロボット
C,FD 搬送アーム
PM1,PM7,PM8,PM6 第1クラスタのプロセス・モジュール
PM2,PM3,PM4,PM5 第2クラスタのプロセス・モジュール
LLM1,LLM2 ロードロック・モジュール
GV ゲートバルブ
LM ローダ・モジュール
LP ロードポート
ORT オリフラ合わせ機構
RB3 大気搬送ロボット

Claims (15)

  1. 第1の搬送機構の周囲に第1群のプロセス・モジュールと未処理の被処理体を導入し全処理済の被処理体を払い出すためのインタフェース・モジュールとを配置し、第2の搬送機構の周囲に第2群のプロセス・モジュールを配置し、前記第1の搬送機構と前記第2の搬送機構との間に被処理体を一時的に留め置くための中継部を配置し、前記第1および第2の搬送機構により、前記第1群および第2群のプロセス・モジュールに所定の工程順にシリアルに搬送し、各々のプロセス・モジュールに対しては当該プロセス・モジュールで処理の済んだ被処理体を搬出するのと入れ替わりに当該プロセス・モジュールで次に処理を受けるべき後続の別の被処理体を搬入する基板処理装置であって、
    前記第2の搬送機構より前記中継部に渡された第1の被処理体を、前記第1の搬送機構が前記第1群のプロセス・モジュールで1つまたは一連の処理を終えて前記第2群のプロセス・モジュールへ向う第2の被処理体と入れ替えるまで、前記中継部で待たせておく基板処理装置。
  2. 前記インタフェース・モジュールから前記第1群のプロセス・モジュールを経由して前記中継部に至るまでの搬送経路上に被処理体が存在するか否かを監視し、前記第2の搬送機構より前記中継部に前記第1の被処理体が渡された時に前記搬送経路上に被処理体が1つも無いときは前記第1の搬送機構が前記中継部から前記第1の被処理体を実質的に待たせずに引き取る請求項1に記載の基板処理装置。
  3. 前記第1の搬送機構が、前記第1群のプロセス・モジュールに出入り可能な2つの搬送アームを有し、各プロセス・モジュールに対する1回のアクセスにおいて一方の搬送アームで前記処理の済んだ被処理体を搬出してそれと入れ替わりに他方の搬送アームで前記後続の別の被処理体を搬入する請求項1または請求項2に記載の基板処理装置。
  4. 前記第1の搬送機構が、前記中継部に対する1回のアクセスにおいて一方の搬送アームで前記第1の被処理体を前記中継部から引き取ってそれと入れ替わりに他方の搬送アームで前記第2の被処理体を前記中継部に渡す請求項3に記載の基板処理装置。
  5. 前記第1の搬送機構が、前記インタフェース・モジュールに対する1回のアクセスにおいて一方の搬送アームで前記未処理の被処理体を前記インタフェース・モジュールから取り出してそれと入れ替わりに他方の搬送アームで前記全処理済の被処理体を前記インタフェース・モジュールに入れる請求項3または請求項4に記載の基板処理装置。
  6. 前記第1の搬送機構が、前記中継部より引き取った前記第1の被処理体を前記インタフェース・モジュールへ直接搬送する請求項1〜5のいずれか一項に記載の基板処理装置。
  7. 第1の搬送機構の周囲に第1群のプロセス・モジュールと未処理の被処理体を導入し全処理済の被処理体を払い出すためのインタフェース・モジュールとを配置し、第2の搬送機構の周囲に第2群のプロセス・モジュールを配置し、前記第1の搬送機構と前記第2の搬送機構との間に被処理体を一時的に留め置くための中継部を配置し、前記第1および第2の搬送機構により、前記第1群および第2群のプロセス・モジュールに所定の工程順にシリアルに搬送し、各々のプロセス・モジュールに対しては当該プロセス・モジュールで処理の済んだ被処理体を搬出するのと入れ替わりに当該プロセス・モジュールで次に処理を受けるべき後続の別の被処理体を搬入する基板処理装置であって、
    前記第1の搬送機構より前記中継部に渡された第1の被処理体を、前記第2の搬送機構が前記第2群のプロセス・モジュールで1つまたは一連の処理を終えて前記第1群のプロセス・モジュールまたは前記インタフェース・モジュールへ向う第2の被処理体と入れ替えるまで、前記中継部で待たせておく基板処理装置。
  8. 前記中継部から前記第2群のプロセス・モジュールを経由して前記中継部に戻るまでの搬送経路上に被処理体が存在するか否かを監視し、前記第1の被処理体が前記中継部に渡された時に前記搬送経路上に被処理体が1つも無いときは前記第2の搬送機構が前記中継部から前記第1の被処理体を実質的に待たせずに引き取る請求項3に記載の基板処理装置。
  9. 前記第2の搬送機構が、前記第1群のプロセス・モジュールに出入り可能な2つの搬送アームを有し、各プロセス・モジュールに対する1回のアクセスにおいて一方の搬送アームで前記処理の済んだ被処理体を搬出してそれと入れ替わりに他方の搬送アームで前記後続の別の被処理体を搬入する請求項8に記載の基板処理装置。
  10. 前記第2の搬送機構が、前記中継部に対する1回のアクセスにおいて一方の搬送アームで前記第1の被処理体を前記中継部から引き取ってそれと入れ替わりに他方の搬送アームで前記第2の被処理体を前記中継部に渡す請求項9に記載の基板処理装置。
  11. 前記第1および第2の搬送機構がそれぞれ第1および第2の真空搬送室内に設けられ、
    前記中継部が前記第1の真空搬送室と前記第2の真空搬送室との連結部付近に配置され、
    前記第1群のプロセス・モジュールの各々が前記第1の真空搬送室にゲートバルブを介して連結される真空処理室を有し、
    前記第2群のプロセス・モジュールの各々が前記第2の真空搬送室にゲートバルブを介して連結される真空処理室を有し、
    前記インタフェース・モジュールが、前記第1の真空搬送室にゲートバルブを介して連結され、かつ大気空間と減圧空間との間で転送される被処理体を一時的に留め置くために室内を選択的に大気圧状態または減圧状態に切換可能に構成されたロードロック室を有し、
    前記第1の搬送機構が、被処理体の搬送のために減圧下の前記第1の真空搬送室内を移動して前記第1群のプロセス・モジュールの真空処理室、前記中継部および前記ロードロック室にアクセスし、
    前記第2の搬送機構が、被処理体の搬送のために減圧下の前記第2の真空搬送室内を移動して前記第2群のプロセス・モジュールの真空処理室および前記中継部にアクセスする請求項1〜10のいずれか一項に記載の基板処理装置。
  12. 前記第1の真空搬送室と前記第2の真空搬送室とがゲートバルブを介して相互に連結される請求項11に記載の基板処理装置。
  13. 前記インタフェース・モジュールのロードロック室が一対備えられ、一方のロードロック室が奇数番目の被処理体の大気圧空間から減圧空間への導入および減圧空間から大気圧空間への払い出しに使用され、他方のロードロック室が偶数番目の被処理体の大気圧空間から減圧空間への導入および減圧空間から大気圧空間への払い出しに使用される請求項12に記載の基板処理装置。
  14. 被処理体を複数収容可能なカセットを大気圧下で支持するロードポートと、
    前記ロードポートに接続または隣接し、前記ロードロック・モジュールにドアバルブを介して連結される大気圧下の搬送モジュールと、
    前記ロードポート上のカセットと前記ロードロック・モジュールとの間で被処理体を搬送するために前記大気圧搬送モジュール内に設けられる第3の搬送機構と
    を有する請求項11〜13のいずれか一項に記載の基板処理装置。
  15. 前記第1群および第2群のプロセス・モジュールの中の少なくとも1つが減圧下で被処理体に薄膜を形成する成膜処理装置である請求項11〜14のいずれか一項に記載の基板処理装置。



JP2005342433A 2005-11-28 2005-11-28 基板処理装置 Active JP4925650B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2005342433A JP4925650B2 (ja) 2005-11-28 2005-11-28 基板処理装置
PCT/JP2006/323734 WO2007061116A1 (ja) 2005-11-28 2006-11-28 基板処理装置
TW095144032A TWI389236B (zh) 2005-11-28 2006-11-28 Substrate processing device
CNB200680002224XA CN100511628C (zh) 2005-11-28 2006-11-28 基板处理装置的控制方法
US12/085,572 US20090259335A1 (en) 2005-11-28 2006-11-28 Substrate Processing System
KR1020077028696A KR100970516B1 (ko) 2005-11-28 2006-11-28 기판 처리 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005342433A JP4925650B2 (ja) 2005-11-28 2005-11-28 基板処理装置

Publications (3)

Publication Number Publication Date
JP2007149973A true JP2007149973A (ja) 2007-06-14
JP2007149973A5 JP2007149973A5 (ja) 2009-01-29
JP4925650B2 JP4925650B2 (ja) 2012-05-09

Family

ID=38067336

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005342433A Active JP4925650B2 (ja) 2005-11-28 2005-11-28 基板処理装置

Country Status (6)

Country Link
US (1) US20090259335A1 (ja)
JP (1) JP4925650B2 (ja)
KR (1) KR100970516B1 (ja)
CN (1) CN100511628C (ja)
TW (1) TWI389236B (ja)
WO (1) WO2007061116A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010147250A (ja) * 2008-12-18 2010-07-01 Hitachi Kokusai Electric Inc 基板処理装置
JP2011124564A (ja) * 2009-11-12 2011-06-23 Hitachi High-Technologies Corp 半導体被処理基板の真空処理システム及び半導体被処理基板の真空処理方法
WO2012039426A1 (ja) * 2010-09-22 2012-03-29 東京エレクトロン株式会社 基板処理装置
JP2012069707A (ja) * 2010-09-22 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置
US9147591B2 (en) 2011-03-26 2015-09-29 Tokyo Electron Limited Substrate processing apparatus
JP2016004985A (ja) * 2014-06-19 2016-01-12 東京エレクトロン株式会社 基板処理システム、仕切弁及び基板搬送方法
US10269605B2 (en) 2015-12-24 2019-04-23 Tokyo Electron Limited Processing system and processing program
JP2021012943A (ja) * 2019-07-05 2021-02-04 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2207909B1 (en) * 2007-10-24 2012-08-29 OC Oerlikon Balzers AG Method for manufacturing workpieces and apparatus
CN107028678B (zh) 2008-09-04 2020-02-21 Swat医疗有限公司 临时的防栓塞保护装置及提供该装置的医学方法
US8666551B2 (en) * 2008-12-22 2014-03-04 Asm Japan K.K. Semiconductor-processing apparatus equipped with robot diagnostic module
JP4707749B2 (ja) * 2009-04-01 2011-06-22 東京エレクトロン株式会社 基板交換方法及び基板処理装置
JP5557516B2 (ja) * 2009-12-09 2014-07-23 株式会社日立ハイテクノロジーズ 真空処理装置
CN102403249B (zh) * 2010-09-07 2014-03-05 上海凯世通半导体有限公司 真空传输制程设备及方法
JP5473857B2 (ja) * 2010-10-14 2014-04-16 東京エレクトロン株式会社 搬送装置および処理システム
CN102456595B (zh) * 2010-10-26 2014-04-30 上海凯世通半导体有限公司 真空传输制程设备及方法
CN103227233B (zh) * 2012-01-31 2015-07-22 上海凯世通半导体有限公司 真空传输制程设备及方法
JP6002532B2 (ja) * 2012-10-10 2016-10-05 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
CN105706227B (zh) 2013-11-04 2019-11-26 应用材料公司 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法
JP6420609B2 (ja) * 2013-11-21 2018-11-07 株式会社Screenホールディングス 基板搬送方法および基板処理装置
MY185261A (en) 2013-11-26 2021-04-30 Kla Tencor Corp Pick-and-place head and method for picking workpieces
US10269606B2 (en) * 2014-05-05 2019-04-23 Persimmon Technologies Corporation Two-link arm trajectory
CN105448788B (zh) * 2014-07-01 2018-12-11 北京北方华创微电子装备有限公司 一种反应腔室、晶片传输方法及等离子体加工设备
KR101642919B1 (ko) * 2015-02-24 2016-07-26 코스텍시스템(주) 웨이퍼 이송 장치 및 이송 방법
CN106449466A (zh) * 2015-08-11 2017-02-22 中微半导体设备(上海)有限公司 一种基片处理系统
KR101837545B1 (ko) * 2015-10-02 2018-04-20 에이피시스템 주식회사 Tsv 공정용 진공 라미네이팅 방법
KR101912772B1 (ko) * 2016-12-26 2019-01-14 주식회사 한화 광기전력 소자 제조 장치 및 제조 방법
US20230030233A1 (en) * 2020-01-06 2023-02-02 Lam Research Corporation Autoconfiguration of hardware components of various modules of a substrate processing tool
KR102595638B1 (ko) * 2020-09-25 2023-10-31 주식회사 히타치하이테크 진공 처리 장치의 운전 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11102953A (ja) * 1997-08-01 1999-04-13 Kokusai Electric Co Ltd 基板搬送制御方法
JP2004174669A (ja) * 2002-11-27 2004-06-24 Tokyo Electron Ltd 搬送システムの搬送位置合わせ方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6275744B1 (en) * 1997-08-01 2001-08-14 Kokusai Electric Co., Ltd. Substrate feed control
JPH11163087A (ja) * 1997-12-01 1999-06-18 Toshiba Microelectronics Corp 基板処理装置及び搬送スケジューリング方法
US7105434B2 (en) * 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6684122B1 (en) * 2000-01-03 2004-01-27 Advanced Micro Devices, Inc. Control mechanism for matching process parameters in a multi-chamber process tool
JP2002261148A (ja) * 2001-03-05 2002-09-13 Tokyo Electron Ltd 処理システム及び被処理体の予熱方法
US20020159864A1 (en) * 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
JP2003036107A (ja) * 2001-07-26 2003-02-07 Nec Corp 設備処理時間算出方法、設備処理時間算出装置および設備処理時間算出プログラムを記録した記録媒体
JP4821074B2 (ja) * 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
JP4348921B2 (ja) * 2002-09-25 2009-10-21 東京エレクトロン株式会社 被処理体の搬送方法
US8078311B2 (en) * 2004-12-06 2011-12-13 Tokyo Electron Limited Substrate processing apparatus and substrate transfer method adopted in substrate processing apparatus
US8244391B2 (en) * 2008-05-28 2012-08-14 International Business Machines Corporation Method for minimizing productivity loss while using a manufacturing scheduler
TW201135613A (en) * 2010-04-07 2011-10-16 Inotera Memories Inc Method for planning production schedule of equipment and associated computer readable medium
JP5654807B2 (ja) * 2010-09-07 2015-01-14 東京エレクトロン株式会社 基板搬送方法及び記憶媒体
JP2012061585A (ja) * 2010-09-17 2012-03-29 Tokyo Electron Ltd 真空処理装置、真空処理方法及び微細加工装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11102953A (ja) * 1997-08-01 1999-04-13 Kokusai Electric Co Ltd 基板搬送制御方法
JP2004174669A (ja) * 2002-11-27 2004-06-24 Tokyo Electron Ltd 搬送システムの搬送位置合わせ方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010147250A (ja) * 2008-12-18 2010-07-01 Hitachi Kokusai Electric Inc 基板処理装置
JP2011124564A (ja) * 2009-11-12 2011-06-23 Hitachi High-Technologies Corp 半導体被処理基板の真空処理システム及び半導体被処理基板の真空処理方法
WO2012039426A1 (ja) * 2010-09-22 2012-03-29 東京エレクトロン株式会社 基板処理装置
JP2012069707A (ja) * 2010-09-22 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置
JP2012069682A (ja) * 2010-09-22 2012-04-05 Tokyo Electron Ltd 基板処理装置
US9230842B2 (en) 2010-09-22 2016-01-05 Tokyo Electron Limited Substrate processing apparatus
US9147591B2 (en) 2011-03-26 2015-09-29 Tokyo Electron Limited Substrate processing apparatus
JP2016004985A (ja) * 2014-06-19 2016-01-12 東京エレクトロン株式会社 基板処理システム、仕切弁及び基板搬送方法
US10269605B2 (en) 2015-12-24 2019-04-23 Tokyo Electron Limited Processing system and processing program
JP2021012943A (ja) * 2019-07-05 2021-02-04 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
JP7316121B2 (ja) 2019-07-05 2023-07-27 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法

Also Published As

Publication number Publication date
KR100970516B1 (ko) 2010-07-16
TW200729380A (en) 2007-08-01
CN101103452A (zh) 2008-01-09
TWI389236B (zh) 2013-03-11
WO2007061116A1 (ja) 2007-05-31
JP4925650B2 (ja) 2012-05-09
CN100511628C (zh) 2009-07-08
KR20080008411A (ko) 2008-01-23
US20090259335A1 (en) 2009-10-15

Similar Documents

Publication Publication Date Title
JP4925650B2 (ja) 基板処理装置
JP4353903B2 (ja) クラスタツールの処理システム
KR100940135B1 (ko) 처리 시스템 및 그 운전 방법
US6257827B1 (en) Apparatus and method for transporting substrates
JP4327599B2 (ja) ウエーハ取り扱い装置及び方法
JP2008520837A (ja) ウエハファブ
KR101170357B1 (ko) 기판 교환 방법 및 기판 처리 장치
WO2003060964A1 (en) Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
JP6131320B2 (ja) 基板処理装置、プログラム及び半導体装置の製造方法
JP5384925B2 (ja) 基板処理装置及び半導体装置の製造方法
JPH04190840A (ja) 真空処理装置
JP4634918B2 (ja) 真空処理装置
US11600503B2 (en) High-throughput, multi-chamber substrate processing system
KR101106803B1 (ko) 반도체 웨이퍼 처리용 반도체 제조 시스템, 대기중 로봇핸들링 장비 및 반도체 웨이퍼의 반송 방법
WO2004030085A1 (ja) 被処理体の搬送方法
WO2018016257A1 (ja) 基板処理装置
JP4477982B2 (ja) クラスタツールの処理システム及び滞在時間監視プログラム
KR101383248B1 (ko) 고속 기판 처리 시스템
JP2006190968A (ja) 半導体素子製造装置
JP5665454B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2004080053A (ja) 半導体製造装置
US20100168909A1 (en) Substrate Processing Apparatus
JP4657528B2 (ja) 処理システムおよび処理方法
US20230163003A1 (en) Substrate processing apparatus and process control method thereof
JP4587815B2 (ja) 基板処理システム、基板処理システムの制御方法、及び基板処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080926

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110524

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110721

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120131

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120207

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150217

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4925650

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250