JP2008520837A - ウエハファブ - Google Patents

ウエハファブ Download PDF

Info

Publication number
JP2008520837A
JP2008520837A JP2007543085A JP2007543085A JP2008520837A JP 2008520837 A JP2008520837 A JP 2008520837A JP 2007543085 A JP2007543085 A JP 2007543085A JP 2007543085 A JP2007543085 A JP 2007543085A JP 2008520837 A JP2008520837 A JP 2008520837A
Authority
JP
Japan
Prior art keywords
chamber
wafer
row
processing system
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007543085A
Other languages
English (en)
Other versions
JP2008520837A5 (ja
Inventor
フェアバイロン、ケビン、ピー.
ポンネカンティ、ハリ
レーン、クリストファー
ウェイス、ロバート、エドワード
ラッチフォード、イアン
ブルック、テリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intevac Inc
Original Assignee
Intevac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intevac Inc filed Critical Intevac Inc
Publication of JP2008520837A publication Critical patent/JP2008520837A/ja
Publication of JP2008520837A5 publication Critical patent/JP2008520837A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

ウエハ製造方法および製造システムが述べられており、本システムの占める床面積は実質的に複数のプロセスチャンバに近いサイズ内である。一連のウエハは、システムを通して水平方向に移動し、プロセスチャンバのグループ内で同時に処理がなされる。半導体ウエハ製造に採用される種々の製造プロセスが、本システムのプロセスチャンバとして含まれる。

Description

本発明は、ウエハ製造に関し、特にウエハ製造のための汎用的製造器具におけるモジュラーシステムに関する。
ウエハはいままでバッチ式で処理されていた。例えばカセット中の1バッチのウエハは処理ステップに置かれ、ウエハは装置(equipment)から外され、装置(equipment)は次のバッチのために再利用される。再利用するには、プロセスチャンバは一旦開けられ大気にさらされるので、係るシステムによって次のバッチが回されるすなわち処理される前に、ポンプダウン(pump down)が必要となるため、遅延をもたらし負担がかかることになる。そうしてバッチは次の処理ステップを介して運ばれる。バッチシステムは、その後、枚葉式処理ユニットへと発展した。これらの発展の経緯は、バッチモードでなく枚葉式のスパッタコーティングシステムをも記述している米国特許第4,756,815に記載されている。本質的に枚葉式での作業から得られる有用性は、バッチプロセスから枚葉式プロセスに変えることを経済的に健全なものとした。中央ウエハハンドリングシステムの回りにプロセスチャンバを群らがるように配置し、ウエハを中央部から一つの処理チャンバへ搬送し、それから中央部へ戻し、中央部では次の処理のための中央部の回りに群がって配置されている別のチャンバにウエハを運ぶようにすることが今日では典型的である。これらの器具は付加的なプロセスを含むこともできる。例えば米国特許第4,756,815に記載されている器具は、スパッタリングに加えて加熱・冷却処理ステップを含んでいる。しかしながら、このようなプロセスの組合せは、装置で行われるスパッタリングプロセスに加熱および/または冷却ステップが付加されている点において、装置(equipment)のメインプロセスすなわちキイプロセスと相関連する傾向にある。他の専用ユニットの例が米国特許第5,186,718および第5,855,681に記載されている。今日、一般的に産業上利用されているこれらの器具は、単一の機能ユニットの傾向にあるので、すなわち、これらの器具はスパッタリングまたはPVDを行うか、または化学的蒸気蒸着(CVD)またはエッチングまたはイオン注入等を行うので、製造者は最終的な半導体装置を製造するために使用される各プロセスステップのための個々の器具を購入することを強いられている。装置から装置へのウエハの搬送およびその他のウエハのハンドリングを考慮するために、極度に清浄なクリーンルームの必要性が増した。そして、多数の機械や器具によって占められる大きな床占有面積に加えて、クリーンルーム内で行われるこの操作が、高価で特別な設備の必要性をさらに増大させ、またウエハ製造エリアでの製造の費用をさらに増大させた。半導体製造装置の先導的製造者であるアプライドマテリアル社は、一例として、10種以上の異なる機械をウェブサイトの「製品概欄」に載せている。各機械は、異なるプロセスを行う各ユニットとともに製造ラインで使用することを意図している。さらにこれらのユニットは一ユニットにつき数百万ドル以上の費用がかかる。もちろん、同様に製造ラインで使用されるウエハ処理のための異なるプロセス用の他のユニットを提供している半導体製造装置の製造業者がいる。今日、新しい製造ラインを立ち上げるにはいかなる事業であっても多大な投資である20億ドル以上の費用がかかる。
一般的に、今日使用されている枚葉式処理システムは、中央ウエハハンドリングシステムの回りに群がって配置したプロセスチャンバを基本としている。上述したように、このようなシステムは製造フロアの、特にクリーンルーム内のスペース利用において非効率的である。またウエハを処理するという目的達成の面からは非効率的である、これらのユニットにおいてウエハハンドリングサブシステムは、プロセスサブシステムとは対照的に、床面積およびシステム全体の50%以上を占めるからである。さらに、装置のハンドリング部において、ウエハは通常ロボットを使用して処理され、ロボットはシステムの正味のスループットを下げる。またウエハがあるチャンバから他のチャンバに移るというシークエンス性も生産率の観点からは本来的には理想的ではない。またプロセスステーションを加えるのにも制限がある。ある点ではこれは中央部とその他の部分にある出口の数に原因があり、この中央部分の回りの物理的スペースの制限に起因するかもしれない。連携チャンバがお互いに独立して稼働する傾向にあるという事実がポンプ、質量流量コントローラまたは発電機などの補助的構成要素の共有を困難にしている。またチャンバがすべて中央コンパートメントと連結しているので、単一の器具に集約できるプロセスの数に制限を必要とするほどクロスコンタミネーションという危険性がある。
ここに記述される本発明は、これらの問題点に対処するものである。ウエハ移動サブシステムに必要なスペースを減らし、プロセスサブシステムによって占められるフロアスペースを超えて物理的フロアスペースすなわち機器の床占有面積を占めることはない。基本的にウエハハンドリングメカニズムは、プロセスステーションによって一般的に占有されるスペース内にある。本システムは多数のチャンバを有し、以下により詳細に述べられるようにシリーズおよびパラレル式でウエハはチャンバからチャンバへと移動する。ウエハのロードロックへのエントリのような初期の時点において、ウエハはサポートチャックと組み合わさってウエハはチャックに載せられてシステム内を移動してロードロックに戻る。これはコストを下げ、薄い基板のプロセス中に基板の破損を防止するという効果を有している。
チャンバ間のウエハの移動は、ウエハがプロセスチャンバから隣接したプロセスチャンバへ移動するという意味でシリーズ式で、また、すべてのウエハをチャンバからチャンバへと一度に移動させることによって、チャンバの列にあるすべてのウエハが同時に移動するという点からパラレル式で行われ、それ以外にはチャンバ間のウエハの移動は起こらない。また、チャンバ内での処理時間は各チャンバで同一である。さらに、装置を同一のプロセス用に、または複数のプロセス用に、または完全に操作プロセスを分離する隔離チャンバ用に構成することもできる。また複数のチャンバ間で、ポンプやガス供給具などのような補助的装置を共有するという利益を得ることも可能であり、これらのユニットは多数のチャンバ内で同時にまたは別々に使用することができる。また電源やガスコントローラをプロセスチャンバ間で共有するようにシステムを構成することも可能である。このようにして、器具は、一例として、スパッタリングまたはPVDのみ、またはCVDのみ、エッチングのみ、メタライゼーションのみ、イオン注入のみ等のその他のプロセスを行うことができ、またはこれらのプロセスを同一のシステムで同一のフレームで同時に行うことができる。これらのプロセスは独立したサポートを有することもでき、共有型の配置に基づくサポートを有することもできる。器具は単一のプロセス用の多数のチャンバを有することができ、チャンバはお互い順に続くこともでき、またはチャンバ間に他の操作のスペースを設けてもよい。
本発明ではすべてウエハまたはプロセスチャンバを汚染させることなく行うことができる。一枚のウエハがチャンバを離れ別のウエハがチャンバに入り込むときに作動するチャンバ間のバルブを使用して、チャンバを個々に分離することもできる。またウエハを連続したチャンバを通して供給し、装置用の中央コントロールシステムにおける圧力を調節することによりシステムにおけるプロセス間のポンプダウンがより少ない状態で次のチャンバ内でより低い真空状態を達成することも可能である。チャンバには実質的に制限なく付加的なプロセスを付け加えることもできる。拡張の限界は器具の全体の長さになりがちである。ある観点では基本的に第2の器具を考慮することが望ましい。
本発明の記述は、処理用のウエハを使った種々のプロセスによる動作に重点をおいているが、他の薄い基板層の中で、ダイシングされたウエハ、テープ上のダイシングされたウエハ、テープ上の全ウエハ、光学ディスク、フラットパネル、ソーラーセルなどの他の基板についても適用することができる。したがって、ウエハという用語で記載されているが、これらの他のいかなる基板も本発明にかかるプロセスで使用されるウエハと置き換えることができると理解されるべきである。
図1について説明すると、本発明の一実施例として20ステーションシステムの例が示されている。本図面にはある一定の数のステーションが示されているが、設備における必要性に応じてこれ以上またはこれ以下のステーションを有するシステムで本発明を実施することができると理解すべきである。また右側に比べて左側には異なるステーションが示されている(図ではそれぞれ後ろまたは前として表されている)。しかしながら、ステーションは図に示されているものと異なるものが選択されてもよく、ユニットはその目的に応じて機能する。本図において、11は20ステーションシステムを示す。システムのフロントエンド12は、ロードロック13が位置する場所である。反対側の端部には、トランスバースすなわちシャトルチャンバ15、およびプロセスチャンバ、トランスポートシステム、システムのその他のメカニズム用の電源16が設置されている。本図において現れているように、プロセスモジュール17はフロントエンド12から見て左側に沿って設置されている。本図に示されるように、数個のみ符号で記されているが、ここでも顧客の要求次第だが、一方の側のすべてが同一のプロセスモジュールであってもよい。もう一方の側には別のプロセスモジュール18のセットが示されている。ここでも、図に現れているようにモジュールが示され、数個のみ符号18で記されている。しかし、ここでも顧客の設備に対する要求にもよるが、それらは全て同一の型のモジュールからなり同一形式のプロセスに使用することができる、または、異なるプロセスステップ用の異なるモジュールからなっていてもよい。本図において示されているプロセスモジュールは、単に例証する目的のためのものである。実際、プロセスモジュール17は、スパッタクリーニングまたはエッチングに使用されるモジュールの典型的な例である。モジュールで使用されるガスキャビネット19は各スパッタ又はクリーニングプロセスチャンバ17の上方に位置している。プロセスモジュール18は、典型的なPVDプロセスモジュールとして示されている。しかしながら、これらの外観の呈示は、一例として表したものであり単に例証する目的のためのものであり、各使用者の判断により他のプロセス用のモジュールがシステムに含まれてもよく、実際に含まれると理解されるべきである。システム中のモジュールは、使用者によってシステム中のプロセス配置を変えるためにときどき他のモジュールに交換されうる。
動作において、フロントエンド12にあるロードロック13においてウエハをシステム11に供給する。本明細書の他の図においても同様である。この時点でウエハは大気圧状態から真空環境下に移行する。次にウエハはプロセスチャンバ17に移動し、そこで洗浄、エッチングが行われ、必要に応じて他のプロセスに回される。ウエハは定常的にトランスファチャンバ15に着くまでチャンバからチャンバへと移動し、トランスファチャンバ15においてウエハは、一方の移動経路(左側)から新しい経路に沿って処理されるために、もう一方の移動経路(右側)へ移動する。システム11へのエントリに続いて、このようにウエハは、ここでもシステムに関する本明細書による顧客の必要性によるが、例えばスパッタ蒸着サブシステムやプロセスサブシステムなどを含むことのできるプロセスチャンバ17を通り移動する。ウエハは次にシャトルチャンバ15に移動しシステムのもう一方の経路に沿って、例えばウエハに一例としてPVD、イオン注入またはCVD等のような蒸着プロセスを施すプロセスチャンバ18を通って戻る。次にウエハは、ロードロック13に入る前段階のプレロードロックチャンバ9に移動し、ロードロック13からウエハは本システムの外に出る。電源サブシステム16はシステム11における各チャンバの操作に必要な電力を供給し、同様にウエハをシステムに移動しまたシステム中を移動するのに必要な電力を供給する。コントロールボックス14(いくつかのみ本図において記されている)は、チャンバ内で行われるプロセスの種々のパラメータの制御、チャンバ内の真空条件、ウエハの移動等の制御を含む、隣接するチャンバ内での操作を行い、コントロールボックス14はコンピュータコントロール24に接続されコンピュータコントロール24によって操作される。
図2について述べると、ウエハはフロントエンド12(概して同一の符号を部材の特定に使用する)にロードされる。ウエハは、29で示される位置に設置されたウエハカセットからシステム11に置かれることができる。ウエハはシステム内に一枚ずつ供給される。未処理ウエハはフロントエンド12に入りロードロック13に移動し、続いてプロセスチャンバ17を通って順次移動する。簡潔化のため、左側の全チャンバ(本図において上側のチャンバラインで示される)は同一のプロセス用の同じ型のチャンバとみなされ、それぞれチャンバ17として特定される。ウエハはフロントエンド12からチャンバ17を通って移動しトラバースすなわちシャトルチャンバ15に到達し、シャトルチャンバ15でウエハはシステムの左側から右側(本図において下側のチャンバ列で示される)に移動する。ここでも説明を簡潔にするために、右側のチャンバ(図中下側)は全て18で指示されている。しかし使用者が希望する場合には各チャンバは異なるプロセスを行うことができると理解すべきである。いずれにしても、左側(図2において上側の列で示される)に沿って移動した後ウエハはトランスバースすなわちシャトルトランスファーチャンバ15を通過することによってシステム11の右側(図2において下側の列で示される)のチャンバに移動する。ウエハは順次18で指示されるチャンバを通過しプレロードロックチャンバ9に戻り、プレロードロックチャンバ9でウエハはロードロック13に移動するまで留まる。この移動は、ウエハがロードロック13から移動しシステムの左側のチャンバすなわち17で指示されるチャンバ内に移動することによって本システムに移動する場合に起こる。その時点ではロードロック内は空であり、ウエハはプレロードロックチャンバ9からロードロック13に移動し、真空から大気圧に戻りながらフロントエンド12にて装置の外に出る。このとき未処理の新ウエハはロードロック13に入りプロセスチャンバに移動する。チャンバ17とチャンバ18の経路は、本システムの床占有面積がチャンバそのものの床占有面積より実質的に大きくならないように並んでいる。プロセスチャンバ、シャトルチャンバおよびロードロックの床占有面積内で行われる一般的なウエハの移動は、以下に記載される。本図においてここではウエハのサイクルにおけるウエハの移動方向を示すために何カ所か矢印が記されている。
図3は、4ステーションシステムの概略図を示す。ある使用者は、ある理由からまたは他の理由からウエハを限られた処理だけ取扱うシステムを欲するかもしれない、そのような場合、本図はサイズが小さめなシステムの動作を理解するのに有益である。しかしながら重要なことに、より大きなシステムをより完全に理解することもでき、より大きなユニットを議論する場合に関わってくる複雑さよりもより複雑でなく理解することができるように、本システムはまた大きめのシステムのトランスポート経路と同様に実質的に鍵となる構成要素の全てを示している。図3において、フロントエンドは12として特定される。20はエッチングプロセスチャンバを示し、21はスパッタ洗浄プロセスチャンバを示している。22はPVDチャンバを示し、23は排気ガスポートを構成する。ガス流入ポートは排気ガスポート23に隣接して設けてもよい。これらのポートは独立して各ガスボックス25に接続されている。13はロードロックを示し、15はシャトルすなわちトランスバースチャンバを示す。27は真空ポンプを示す。本ポンプはロードロック13を真空にするのに使用される。プロセッサ電源16は、トランスファシャトル15の下方のシステムの後ろ側に示され、コンピュータが24で示される仕切室に収納されている。
図4A−J(A−J群から“I”は除く)は、ウエハのシステムにおける段階的移動を示す。これらの図において、ウエハのグループは同時に移動するが全てのウエハが同時に移動するわけではないと理解されるべきである。このことはこれらの図面に関する記述に沿って後述される。
図4Aにおいて、4ステーションシステムが示されており、このシステムでは、ウエハはロードロック13、プロセスチャンバ26およびプロセスチャンバ27、28、30にある。本図においてもフロントエンド12が記されている。システムにウエハを供給する構造は、本技術分野においてFOUPとして知られている。フープ(FOUP)は、ウエハがプロセスオペレーションに入る前の待機中に収納され清浄に保たれる封入容器からなる「front opening unified part」を表す。このユニットは、本例の場合のように、ウエハをプロセスシステムに置き、処理後ウエハが一時保管されるシステムからウエハを取り出す供給メカニズムを含んでいてもよい。ウエハカセット29はウエハを水平位置に置いて、フロントエンド構造すなわちフープ(FOUP)31に設置されている。ウエハは、ブレード32によって一枚ずつカセットから搬送される。ブレード32は、カセット内でウエハを持ち上げ、ロードロック室13に運ぶ。
図4Aは、一サイクルの初めを表している。ロードロック13にあるウエハは本システムに入り込むプロセスにある。ロードロック13でシステムは真空状態にある。チャンバ26内のウエハは処理を施されている。一例として、チャンバ26内のウエハはエッチングされている。チャンバ27内ではエッチングチャンバを通過したあとの他のウエハが例えばさらなる処理のために洗浄されている。チャンバ28内のウエハは第一金属蒸着チャンバ内で金属がコーティングされており、チャンバ30内のウエハには別の金属がコーティングされている。
図4Bにおいて、本システムにおける左側(本図中、上の列で示される)のウエハは、次にステージに移動するように示されている。より詳細に言うと、ロードロック13にあるウエハはエッチングプロセスチャンバ26に移動し、洗浄が行われるチャンバ27内のウエハはトランスファシャトル15に移動するところが表されている。2枚の未処理のウエハ35、36は本システムに搬入するために待機しているところが示されている。ウエハは通常フープ(FOUP)またはこれと同等な供給装置を使用してシステムに入るため、これらのウエハは図示する目的のためのみでかかる位置に示されている。チャンバ30および28で処理中のウエハは静止した状態で示されている。図から明らかなように、トランスバースチャンバ15内にはウエハが存在しない。したがって、左側チャンバ(本図中、上部に示される)と右側チャンバ(30および28)のウエハ移動のシステムはお互いに独立してセットすることができる。すなわち右側(下の列)におけるウエハは、左側(本図中、上の列)用にセットした時間の2倍の時間処理されることが可能であり、本システム中で処理されるウエハを次から次へと順次移動することもでき、使用者自身が選択または決定した完成品を得るために、使用者が希望する速度で移動させることも可能である。
図4Cにおいて、ロードロックチャンバにあったウエハが現在エッチングチャンバ26に移動しているので、ロードロック13が空の状態で示されている。一般的にウエハはサポート上をチャンバからまたチャンバを通って移動する。ウエハはロードロック13内のサポート上にすなわちウエハキャリア上に設置される。サポートは一旦ウエハに付くとシステムを通ってウエハが移動する間ウエハとともに移動する。
エッチングチャンバ26から移動していたウエハはチャンバ27に移動し、ここで洗浄すなわち表面処理が施される。クリーニングチャンバから移動していたウエハは、次にトランスバーストランスファすなわちシャトルチャンバ15に移動し、ここでウエハは一方側から他方側へと、ここではシステムの左側から右側へと移動する。ウエハがトランスファシャトル15に入ったときにウエハサポートすなわちキャリアを変更することも可能である。例えばこのような変更は、システムを通してウエハが動くときサポートの事前の被汚染に基づくプロセスチャンバの汚染を避けるためになされる。しかしながら、通常ウエハを処理する間、汚染源をプロセスチャンバから外部に離隔しておくことによってこの汚染は避けられる。サポートシステムの要素を交換する主な原因は、かかる要素の寿命がきたときである。本図においてウエハはシャトルチャンバ15においてシステムの左側から右側に移動しようとしている。
図4Dにおいて、チャンバ26、27内のウエハが処理されている。また空のキャリア37が、ロードロックチャンバ13からプレロードチャンバ9と呼ばれるチャンバへ移動しようとするところが示されている。一方、システムの左側にあるチャンバ、すなわちチャンバ26、27で処理されたウエハが、トランスバーストランスファすなわちシャトルチャンバ15においてシステムの左側から右側に移動するところが示されている。図4Eにおいて、空のキャリア37は、プレロードロックチャンバ38に到達し、ウエハはトランスバーストランスファチャンバ15においてシステムの右側に到達している。また同時にシステム右側(下の列)にあるプロセスチャンバ内のウエハ処理も完了している。
図4Fにおいて、システム右側(下の列)にあるウエハの動きを示している。より詳細には、第2のメタライゼーションチャンバ30で最終処理され全プロセスチャンバを通過しようとしている処理済みのウエハが、プレロードロックチャンバ9に移動しようとしているところが示されている。トランスバーストランスファすなわちシャトルチャンバ15において搬送されたウエハが第1メタライゼーションチャンバ28に移動しようとしているところが示されており、第1メタライゼーションチャンバ28にあったウエハは第2メタライゼーションチャンバ30に移動しようとしているところが示されている。
図4Gにおいて、トランスバーストランスファすなわちシャトルチャンバ15にあるサポートすなわちキャリア40がシステムの左側に移動しようとしているところが示され、プレロードロックチャンバ9にある処理完了のウエハがロードロックチャンバ13に移動しようとしているところが示されている(キャリア40の説明図である図6および図9参照)。図4Hにおいて、キャリアとしてのサポートはトランスバーストランスファすなわちシャトルチャンバ15においてシステムの左側(本図中、上部に示される)に到達し、完全に処理されたウエハはプレロードロックチャンバ9からロードロックチャンバ13へ移動が完了している。
図4Jにおいて、処理が完了したウエハは、ロードロックチャンバ13からフープ(FOUP)(図4に示される)にあるブレードに載り、ブレードで、処理済みのウエハは完成品としてフープ(FOUP)内のカセットに積まれ、カセットを満たすのに必要な他のウエハが処理され同カセットに供給される間、フープ(FOUP)には処理済みのウエハはとどまっている。そしてカセットはフロントエンド12から外される。ウエハの処理が完了しカセットに戻ったのち、ウエハをカセットに戻したブレードは、他の未処理のウエハをカセットから持ち上げ、チャンバからチャンバへの経路上に置き処理を開始させ、完全に処理済みのウエハとしてカセットに戻すために、ウエハをシステムに供給するのに使用される。このようにして、図4Aから説明をしてきた本サイクルは、各ウエハが本システムに入り込むように繰り返される。
図5はスパッタステーション21の概略図である。スパッタステーションは、本発明のシステムにおいて処理中のウエハの表面に蒸着材料をスパッタリングするためのプロセスチャンバとして使用することができる。システムにおける特定のプロセスは使用者が意図する用途に依存するので、かかるステーションの説明を目的としてステーションを図示する。したがって本システムでは、PVDプロセスチャンバが含まれてもよく、また含まれなくてもよい。もし含まれる場合には、スパッタステーション21のような外観とすることができる。本ステーションにおいて、スパッタチャンバ52が表されている。トランスファチャンバ47の基部には駆動機構53があり、本駆動機構53はローラ、ホイール、駆動モータまたは駆動キャリア40(図6参照)と同等の機構を含む磁気駆動システムからなる。キャリア40上には、ウエハが本チャンバに搬送され、さらに本チャンバから次のチャンバへ搬送される間、ウエハが載置される。49で特定される開口部はキャリアのスパッタチャンバ21への入口(または出口ともなりうる)となる。本図では、受け台41から下方に延びるアームである受け台41の支持用アームはトランスファチャンバ47の下方に延びており、より明確には図8に示される。この台は、処理中にウエハを上方に持ち上げるのに使用され、これにより、頂部を横切るスパッタ源51と底部を横切るウエハ43(図8参照)との間のスパッタチャンバ52を実質的に密閉する。本構成が、スパッタリング操作の間スパッタチャンバで必要とされる条件を満たしている。概してこのことは適切な真空を維持し、この技術分野で知られているようにスパッタリングを促進するためシードガスをチャンバ内へ供給することを意味する。
図6はスパッタプロセスチャンバ21の部分的な切り取り内部図である。キャリア40は、トランスファチャンバ47において、ウエハを搬送してスパッタプロセスチャンバ21へのウエハの搬出入を行い、トランスファチャンバ47ではウエハはPVDプロセスを経る。スパッタプロセスチャンバ21へまた本チャンバ21からウエハを搬送する。図示されるように、キャリア40の中央部がシステムを通して搬送される間ウエハを支持する。図6に示されるような一つのステーションにおいて、受け台41はキャリア40からウエハ43を持ち上げ、図8により全体が示されているように、分離リング42にほぼ接触するまで運ぶ。取り外し可能なシールド45はスパッタリングの行われるチャンバの側壁を覆っている。シールドはスパッタリングではじき飛ばされた物質によって被膜されるので、チャンバ壁の古い蒸着物質からチャンバ内で新しくスパッタリングされた層への汚染を避けるために、シールドは時々取り替えられる。スパッタ源51(図5参照)がスパッタ台46上に置かれ、それによってチャンバを囲んでいる。
図7には、ウエハ処理のためのプロセスチャンバ内においてウエハ43を位置決めする工程で、ウエハ43が分離リング42(図6)にほぼ接触するように持ち上げられた位置で示されている。本プロセスチャンバは、スパッタチャンバからなっていてもよく、また、エッチングチャンバ、化学的蒸気蒸着(CVD)チャンバまたは洗浄チャンバまたはシステムにおける他のチャンバからなっていてもよい。ウエハ43の下に受け台41とキャリア40が存在する。通路すなわち開口部49は、キャリアがトランスファチャンバ47に入ったり出たりするのを可能にする。このモジュールは、本図では見えない対向部にも同様の開口部を有している。図8でより充分に述べられるように、スパッタチャンバを密閉するためにウエハを上方に押す位置まで、受け台41を持ち上げる昇降機55も本図において示されている。図8に関してより充分に述べられ示されるように、受け台41は、中央開口部54(図6に示される)を通過しウエハ43をキャリア40から持ち上げ、ウエハ43をチャンバ内に位置させ、かつプロセスチャンバの分離リング42に対して基部を押圧してシールする。
ここで述べられる特定したプロセスチャンバは、例示して説明する目的のためのみのものである。本技術分野において知られているような半導体ウエハの製造に有益ないかなる種類のプロセスも本発明のシステムにおいて使用することができると理解すべきである。
図8A、図8Bおよび図8Cにおいて、プロセスチャンバ内でのウエハ処理に関連するウエハの取り扱いのメカニズムが図示されている。図8Aにおいて、システム操作が3プロセッサーセクションが図示されており、ここでシステムの操作は、ウエハ43がキャリア40上でチャンバトランスポート通路49を通ってウエハ処理のための新しいプロセスチャンバ18まで移動するという点にある。ウエハ43それ自体は、サブキャリアすなわちチャック60上に位置し、サブキャリアすなわちチャック60は今度はキャリア40上に位置している。このことは図8Cにおいてより明確である。好ましい態様の実施例におけるウエハは、かかるチャックまたはサブキャリアに付いてロードロックステーションすなわちロードロックチャンバでシステムに入る。ロードロックステーションすなわちロードロックチャンバにおいて、チャックは持ち上げられロボット搬送面でキャリアの上方の位置でウエハにくっ付けられる。全プロセスステップを通してチャックはウエハに付いたままで、ウエハがシステムから離れるときロードロックステーションでチャックがウエハから分かれる。またサブキャリア上のウエハはキャリア上でシステムを移動するといえる。キャリアとチャックの下方に位置する受け台57はベローズ58内に収容され、真空状態を維持し、処理のためプロセスチャンバにウエハを位置させるよう受け台が上昇するのを可能にしている。トランスファチャンバ15は図示されているシステムにおいてプロセスチャンバの端部に示されている。
図8Bの左側にある2個のチャンバ内で必要な操作時間は、受け台57がキャリア40の開口部に入って開口部を通りプロセスチャンバ18内の処理位置までウエハ43を上げる時間である。キャリア40は駆動機構53上に置かれている。本実施例において駆動機構53は、磁気的に連結されるホイールが採用されている磁気駆動システムが好ましいものとして示されている。本搬送システムは、同様に処理を施す基板をチャンバからチャンバへと搬送するのに使用されるロボット式のものと比べて、より廉価となる傾向がある。
チャンバ内での処理操作のためにどのようにチャンバが密閉されるかについての詳細は、図8Bに示される。図8A及び図8Cにおいてウエハ43は静電的チャック60上に位置した状態が示されている。シール61はチャック60の縁に密着し、処理のためにウエハがチャンバ18(図8B参照)まで持ち上げられるときチャンバエッジ62がシール61を押圧しシール61に密着する。図8B中左側の2つのプロセスチャンバにおいて、チャック60上のウエハ43が持ち上げられた状態で示されている。図8B中3番目に示されるプロセスチャンバ18において、受け台57が下がった位置で示されベローズ58は伸張し密閉されたエリアを維持している。図8Cは、静電的チャック60上に置かれたウエハ43を表し、静電的チャック60はキャリア40上にあり、シール61も示している。キャリア40の下方には、ウエハがシステム中を動くようにチャンバからチャンバへとキャリアを送るのに使用される駆動機構53の一部が示されている。
図9はトランスバースすなわちシャトルチャンバ15を示す。本チャンバにおいて、キャリア40上のウエハは、一つのプロセスチャンバ列の位置から次のプロセスチャンバ列の位置へと移動する。チャンバ間に位置するバルブは、これらのチャンバ内のウエハをプロセスチャンバ列で進行するプロセスから分離するために設けることもできる。このことは、他の列でなされる場合と比較して一つの列でなされるプロセスの純度を保証している。キャリアが一つのチャンバから別のチャンバへ移動するとき、キャリアはスロット36を通って移動する。本ユニットの基部には、キャリアを一つのチャンバから別のチャンバへ動かす駆動モータ53が示されている。本ユニットの後部にあるシャトルの場合には、両チャンバは高真空状態にある。
システムの入口および出口には同じようなチャンバを使用することができる。その場合、左側のチャンバは、通常ロードロックであり、ウエハがシステムに入るときウエハが置かれるチャンバと、ウエハが真空状態に導かれるチャンバからなっている。
システムから出るときは、ロードロックはウエハが真空状態から大気へと移動するのに通過する最後のチャンバになる。シャトルの場合では、ウエハはチャンバ30(例えば図4A及び図4B参照)から図1中のチャンバ9のような待機チャンバすなわちプレロードロックチャンバへと移動し、次に待機チャンバからロードロックに移動し、ロードロックからウエハはシステム外に出る。ウエハは、ロードロックが空になるまで(ウエハがロードロック室からチャンバ26へ移動後)待機チャンバすなわちプレロードロックチャンバにとどまり、ロードロックが空になったときウエハはロードロック内の位置まで移動し本システムから出る。
ここで述べられる本システムの独特な点は、半導体ウエハまたは他の基板が、装置のいずれの列においても同時に異なるステーションを移動する点である。さらに、ステーションは、ウエハが存在する間にステーションで施される処理が隣接するステーションと異なってもよい。なぜなら、ステーションは、ウエハまたは他の基板がチャンバからチャンバへまたはステーションへと移動するとき、チャンバ間にあって各チャンバを密閉するバルブシステムによってお互いに隔離されているからである。このようにして、第一のステーションではエッチングを行い、第二のステーションではイオン注入処理を行い、第三のステーションではCVDを行うなど、最終製品を製作するためのプロセスでウエハまたは他の基板が必要とするあらゆる処理プロセスを行うことができる。ある例として、同一のプロセスを行う一連のチャンバからなることも可能である。これは、チャンバ内のドウェル時間(time of dwell)がウエハに施される全処理を行うために必要とされる時間より少ないような場合にあてはまる。例えば、チャンバ内のドウェル時間(time of dwell)を時間tとしたとき、エッチングを例としてエッチングには時間4tを要するのであれば、別のプロセスチャンバに移る前に、4個のチャンバで続けてエッチングを行うように工程管理を行うことができる。もし全エッチングを続けて行う必要がないときは、その間に他のチャンバを入れることができ、そのようにして結局エッチングがなされる4個のチャンバをウエハが通ることになる。各プロセスチャンバは真空下にあるので、各チャンバが次の操作のために準備されるとき、チャンバから次のチャンバへの基板の動きは、一般的にいずれのチャンバにおいても完全なポンプダウンを必要としない。
本構成で注目すべきことは、複数の処理が本システム内で行われるので、製造者は複数の異なる装置ユニットを必要としないという点である。単一の装置に異なるプロセスを有することによって、異なるプロセスステップ用の別の装置間を移動する必要がなくなる。また、全プロセスを処理できる単一のユニットで異なるプロセスが行われるので、処理が遅延するということがなくなる。その遅延は、ウエハがある装置のあるプロセス、例えばエッチングというプロセス下におかれ、次にウエハはかかる装置から別の装置、例えばスパッタシステムに移動する場合に起こる。ここでは、ウエハは第二のプロセスに回る前に、2時間以上になりがちな通常の処理遅延の一部として在庫としてそのままに置かれる。もし現在存在する工場で第3のプロセスを使用する場合には、さらなる装置、さらなるフロア面積、さらなるクリーンルームの必要性、およびユニット間のウエハ搬送の遅延が、製造プロセスにおける費用となることは明らかである。またプロセスとプロセスの間で大気圧下に置かれないということは基板にとって有益であり、この利益は本発明によれば得ることができるが、基板が装置の別のユニットの間を移動するような場合にはこの利益は得られない。現在行われている実務上の欠点は、本発明の上述されたシステムによって克服することができる。
本発明の典型的な実施例を示し述べてきたが、ここに添付する請求の範囲に記載される本発明の範囲から逸脱することなく、当業者によって半導体層の製造に通常使用されるように種々のプロセスが採用され、上述したシステムの操作やメカニズムにおいて種々の変更、変形がなされ得ると理解すべきである。
本発明をよりよく理解するために、図面も組み入れ図面とともに説明するが、ここで、
図1は、本発明にかかる20ステーションシステムの概略図である。 図2は、システムのチャンバを示す図1における20ステーションシステムの概略平面図である。 図3は、本発明にかかる4ステーションシステムを説明する概略図である。 図4Aから4Jは、4ステーションシステムの動作を説明する概略図である。 図5は、スパッタステーションの概略図である。 図6は、スパッタチャンバの切り取り内部図である。 図7は、ウエハをプロセスステーション、例えばメタライゼーションチャンバに持ち上げる状態を説明する概略図である。 図8A、B、Cは、ディスクハンドリングメカニズムを概略的に示している。 図9は、シャトルチャンバの概略図である。

Claims (46)

  1. 基板が真空環境に入るロードロックチャンバと、
    プロセスシステムで処理される基板を支持するキャリアと、
    前記ロードロックに接続し前記ロードロックの横に配置されチャンバ内で基板に処理ステップを施す第1プロセスチャンバと、前記第1プロセスチャンバに接続し前記第1プロセスチャンバの横に配置されチャンバ内で基板に第2処理ステップを施す第2のプロセスチャンバとを少なくとも有する第1チャンバ列と、
    前記第1プロセスチャンバ列に隣接し前記第1プロセスチャンバ列の側部に位置しさらに基板を処理する複数のプロセスチャンバを有する少なくとも第2チャンバ列と、
    一つのプロセスチャンバ列に一端で接続し横に配置され、別のプロセスチャンバ列に一端で接続し横に配置され、基板を一つのプロセスチャンバ列から別のプロセスチャンバ列へ移送する少なくとも一つのトランスファチャンバと、
    前記第1プロセスチャンバ列を通り、前記トランスファチャンバを通り、そして前記第2プロセスチャンバ列を通って基板キャリアを動かす搬送システムとを備えた処理システムであって、
    前記処理システムは、前記プロセスチャンバ列および前記少なくとも一つのトランスファチャンバと略同一の床占有面積を占めることを特徴とする処理システム。
  2. 基板をカセットから持ち上げ、また基板を前記ロードロックに供給するロボットアーム構造を有する請求項1に記載の基板処理システム。
  3. ウエハを前記カセットから持ち上げ前記ロードロックに供給し、また処理済みのウエハを前記ロードロックから前記カセットに戻すように構成されたロボットアームを有する請求項2に記載のウエハ処理システム。
  4. 基板は、横方向に搬送され処理される請求項1に記載の基板処理システム。
  5. 前記第1チャンバ列は、蒸着材料物質をウエハの表面上にスパッタリングするチャンバを含む請求項3に記載のウエハ処理システム。
  6. 前記第1チャンバ列は、ウエハの表面上をエッチングするチャンバを含む請求項3に記載のウエハ処理システム。
  7. 前記キャリアは中央部に開口部を有し、昇降機は前記開口部を通って移動し処理用の基板を持ち上げる請求項1に記載の基板処理システム。
  8. 前記基板はウエハからなり、静電的チャックがウエハ面に接続し、昇降機が静電的チャックに接触する請求項7に記載のウエハ処理システム。
  9. バルブが前記トランスファチャンバを密閉し、チャンバ列に並んだトランスファチャンバの一区分(セグメント)は別個の環境として維持される請求項1に記載の基板処理システム。
  10. 前記第1チャンバ列のプロセスステーションにおける基板は、前記第1列においてお互いに他の基板と同時に次に並んでいるチャンバに移動する請求項1に記載の基板処理システム。
  11. 前記第2チャンバ列のプロセスステーションにおける基板は、前記第2列においてすべて同時に次に並んでいるチャンバに移動する請求項1に記載の基板処理システム。
  12. 前記基板はウエハからなり、前記搬送システムが前記ロードロックにあるウエハを第1プロセスチャンバへ動かすとき、前記搬送システムは前記第1チャンバ列にある複数のウエハを同時に前記列上の次のチャンバに配置する請求項10記載のウエハ処理システム。
  13. 前記基板はウエハからなり、前記搬送システムは、ウエハを前記第2チャンバ列上のプロセスチャンバからプレロードロックチャンバへ動かし、前記第2チャンバ列にある他のウエハを同時に前記列上に並ぶ次のチャンバに移動させる請求項11記載のウエハ処理システム。
  14. 前記第1チャンバ列にあるプロセスチャンバからシャトルチャンバへ基板を搬送するのと同時に、前記第1チャンバ列にある他のウエハは、前記列にある次のチャンバに配置される請求項10記載の基板処理システム。
  15. 前記基板はウエハからなり、前記搬送システムは、シャトルチャンバにおいて交差するようにして前記第2チャンバ列に隣り合う位置までウエハを搬送する請求項14記載のウエハ処理システム。
  16. スパッタステーション内のキャリアにある基板は、スパッタリング操作の間プロセスチャンバを密閉する位置まで持ち上げられる請求項1記載の基板処理システム。
  17. 前記基板はウエハからなり、キャリアにおけるある位置でウエハの裏面を押圧し、前記キャリアの開口部を通って上方に延び、ウエハのもう一方の面をプロセスチャンバの基部で密閉される位置に置くアームによって、ウエハが昇降する請求項16記載の処理システム。
  18. シャトルチャンバ内のウエハは前記第2プロセスチャンバ列にある隣接するプロセスチャンバに搬送され、前記第2チャンバ列にある他の前記ウエハは隣接するチャンバに移動する請求項15記載のウエハ処理システム。
  19. 前記第2の列にある基板が隣接するプロセスチャンバに置かれるときに、前記プレロードロックステーション内の前記基板は、前記ロードロックに移動する請求項14記載の基板処理システム。
  20. ウエハをカセットからロードロックチャンバへ供給するロボット式ウエハハンドリング装置と、
    ウエハを大気状態から真空環境へ移送するロードロックチャンバと、
    前記ロードロックに置かれプロセスチャンバを通って前記ロードロックに戻るウエハを支持するウエハキャリアと、
    前記ロードロックに接続し前記ロードロックの横に配置されチャンバ内で基板に処理ステップを施す第1プロセスチャンバと、前記第1プロセスチャンバに接続し前記第1プロセスチャンバの横に配置されチャンバ内でウエハに第2処理ステップを施す第2のプロセスチャンバとを少なくとも有する第1チャンバ列と、
    少なくとも前記第2プロセスチャンバに隣接し前記第2プロセスチャンバ列の側部に位置する少なくとも第3のプロセスチャンバと、少なくとも前記第3プロセスチャンバに接続し前記第3プロセスチャンバの横に配置される少なくとも第4のプロセスチャンバとを有する第2チャンバ列と、
    前記第1プロセスチャンバ列に一端で接続し横に配置され、前記第2プロセスチャンバ列に一端で接続し横に配置され、ウエハを前記第1プロセスチャンバ列から前記第2プロセスチャンバ列へ移送するトランスファチャンバと、
    前記ロードロックから、前記第1プロセスチャンバ列を通り、前記トランスファチャンバを通り、そして前記第2プロセスチャンバ列を通って前記ロードロックに戻り大気状態にでるように前記ウエハキャリアを動かす搬送システムとを備えたウエハ処理システムであって、
    前記ウエハ処理システムは、前記ロードロック、前記第1および第2プロセスチャンバ列および前記トランスファチャンバと略同一の床占有面積を占めることを特徴とするウエハ処理システム。
  21. ウエハが前記キャリアに置かれるとき、チャックがウエハの背面部に付着する請求項20に記載のウエハ処理システム。
  22. 前記第2列上の最終プロセスチャンバと前記第1列にある前記ロードロックとの間にあり、前記ロードロックへの搬送を待機している処理済みウエハの搬送のための経路に、前記第2チャンバ列と直線上に配列されるプレロードロックチャンバを有する請求項20に記載のウエハ処理システム。
  23. 前記第1列にある隣接する少なくとも2個のプロセスチャンバで同一の処理を行う請求項20に記載のウエハ処理システム。
  24. 前記第1列にある隣接する2個のプロセスチャンバで真空のもとで異なる処理を行う請求項20に記載のウエハ処理システム。
  25. 前記第2列にある隣接する2個のプロセスチャンバで同一の処理を行う請求項20に記載のウエハ処理システム。
  26. 前記搬送システムは、前記第1列にある複数のウエハを前記第1列上の隣接するチャンバに同方向に同時に動かす請求項20に記載のウエハ処理システム。
  27. 前記搬送システムは、前記第2列にある複数のウエハを前記第2列上の隣接するチャンバに同方向に同時に動かす請求項20に記載のウエハ処理システム。
  28. 前記搬送システムは、前記第1列にて特定時間の間隔をおいて複数のウエハを隣接するプロセスチャンバに順次配置する請求項20に記載のウエハ処理システム。
  29. 前記搬送システムは、前記第2列にて特定時間の間隔をおいて複数のウエハを隣接するプロセスチャンバに順次配置する請求項20に記載のウエハ処理システム。
  30. 少なくとも2個の隣接するチャンバは、お互いにチャンバ内での処理の間、離隔している請求項20に記載のウエハ処理システム。
  31. 3個に並んで配列されているチャンバの中央のチャンバは、両側を取り囲むチャンバ間にあって隔離されたチャンバである請求項20に記載のウエハ処理システム。
  32. 前記チャンバのうち一つのチャンバは、ウエハのメタライゼーションのためのチャンバからなる請求項3に記載のウエハ処理システム。
  33. 前記チャンバのうち一つのチャンバは、ウエハのイオン注入のためのチャンバからなる請求項3に記載のウエハ処理システム。
  34. 前記チャンバのうち一つのチャンバは、ウエハ表面を洗浄するためのチャンバからなる請求項3に記載のウエハ処理システム。
  35. 前記チャンバのうち一つのチャンバは、ウエハを熱処理するためのチャンバからなる請求項3に記載のウエハ処理システム。
  36. 前記昇降機は本システム内に納められたロッド上の受け台からなり、受け台はウエハを支持しているチャックに押圧される請求項8に記載のウエハ処理システム。
  37. 前記昇降機はウエハを分離リングに隣接する位置まで持ち上げ、チャックに対してシールを接触させることによってチャンバを密閉する請求項8に記載のウエハ処理システム。
  38. 処理すべきウエハを複数のプロセスチャンバからなるシステムに移動させることを含む一連のプロセス操作を複数のウエハに同一時間施し、
    少なくとも二つのウエハを同時にプロセスチャンバにて同一時間処理し、
    処理を行うチャンバにウエハを入れ処理後該チャンバからウエハを出すように搬送し、
    ウエハを新たなチャンバで処理し該新しいチャンバからウエハを動かして次の処理を続けるステップを繰り返し、
    搬送及び処理は真空下で行い、
    前記プロセスチャンバ内でウエハを処理する間、ウエハを水平位置に維持し、
    プロセスチャンバのサイズと同等な物理的空間で前記ウエハを製品に製造するウエハ製造方法。
  39. ウエハ処理は、複数のウエハ処理技術によってなされる請求項38に記載の方法。
  40. ウエハをエッチング処理及び洗浄処理に供する請求項38に記載の方法。
  41. ウエハをエッチング処理及びメタライゼーション処理に供する請求項38に記載の方法。
  42. ウエハを少なくともイオン注入処理に供する請求項38に記載の方法。
  43. ウエハを少なくともスパッタリング処理に供する請求項38に記載の方法。
  44. ウエハを熱処理に供する請求項43に記載の方法。
  45. ウエハを熱処理に供する請求項42に記載の方法。
  46. ウエハを少なくともCVD処理に供することによりウエハ表面に成膜する請求項38に記載の方法。
JP2007543085A 2004-11-18 2005-10-31 ウエハファブ Pending JP2008520837A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/991,722 US20060102078A1 (en) 2004-11-18 2004-11-18 Wafer fab
PCT/US2005/039433 WO2006055236A2 (en) 2004-11-18 2005-10-31 Wafer processing system and method of manufacturing wafers

Publications (2)

Publication Number Publication Date
JP2008520837A true JP2008520837A (ja) 2008-06-19
JP2008520837A5 JP2008520837A5 (ja) 2008-11-27

Family

ID=36384826

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007543085A Pending JP2008520837A (ja) 2004-11-18 2005-10-31 ウエハファブ

Country Status (6)

Country Link
US (1) US20060102078A1 (ja)
EP (1) EP1815041A4 (ja)
JP (1) JP2008520837A (ja)
CN (1) CN101208454A (ja)
TW (1) TWI300964B (ja)
WO (1) WO2006055236A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011049167A (ja) * 2009-08-27 2011-03-10 Samsung Mobile Display Co Ltd 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
JP2014125505A (ja) * 2012-12-25 2014-07-07 National Institute Of Advanced Industrial & Technology 部品製造方法、接合剥離装置、および複合キャリア
WO2020137169A1 (ja) * 2018-12-27 2020-07-02 株式会社Sumco 気相成長装置

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130175323A1 (en) * 2002-07-01 2013-07-11 Jian Zhang Serial thermal linear processor arrangement
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
KR20100061731A (ko) * 2007-09-14 2010-06-08 퀄컴 엠이엠스 테크놀로지스, 인크. Mems 제조에 이용되는 에칭 방법
WO2009060541A1 (ja) * 2007-11-09 2009-05-14 Canon Anelva Corporation インライン型ウェハ搬送装置
WO2009060539A1 (ja) * 2007-11-09 2009-05-14 Canon Anelva Corporation インライン型ウェハ搬送装置
WO2009060540A1 (ja) * 2007-11-09 2009-05-14 Canon Anelva Corporation インライン型ウェハ搬送装置
CN101889101B (zh) * 2007-12-06 2014-09-24 因特瓦克公司 用于基板的双面溅射蚀刻的系统和方法
US8475591B2 (en) * 2008-08-15 2013-07-02 Varian Semiconductor Equipment Associates, Inc. Method of controlling a thickness of a sheet formed from a melt
JP5388279B2 (ja) * 2009-02-27 2014-01-15 インテバック・インコーポレイテッド 基板搬送処理装置及び方法
JP2012521094A (ja) * 2009-03-16 2012-09-10 アルタ デバイセズ,インコーポレイテッド ウエハキャリアトラック
JP2010280943A (ja) * 2009-06-04 2010-12-16 Sony Corp 蒸着装置及び蒸着方法
JP5328726B2 (ja) 2009-08-25 2013-10-30 三星ディスプレイ株式會社 薄膜蒸着装置及びこれを利用した有機発光ディスプレイ装置の製造方法
JP5611718B2 (ja) * 2009-08-27 2014-10-22 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
US8876975B2 (en) 2009-10-19 2014-11-04 Samsung Display Co., Ltd. Thin film deposition apparatus
KR101084184B1 (ko) 2010-01-11 2011-11-17 삼성모바일디스플레이주식회사 박막 증착 장치
KR101174875B1 (ko) 2010-01-14 2012-08-17 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101193186B1 (ko) 2010-02-01 2012-10-19 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
US10808319B1 (en) 2010-02-26 2020-10-20 Quantum Innovations, Inc. System and method for vapor deposition of substrates with circular substrate frame that rotates in a planetary motion and curved lens support arms
US10550474B1 (en) 2010-02-26 2020-02-04 Quantum Innovations, Inc. Vapor deposition system
KR101156441B1 (ko) 2010-03-11 2012-06-18 삼성모바일디스플레이주식회사 박막 증착 장치
KR101202348B1 (ko) * 2010-04-06 2012-11-16 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US8894458B2 (en) 2010-04-28 2014-11-25 Samsung Display Co., Ltd. Thin film deposition apparatus, method of manufacturing organic light-emitting display device by using the apparatus, and organic light-emitting display device manufactured by using the method
TW201137143A (en) * 2010-04-28 2011-11-01 Hon Hai Prec Ind Co Ltd Sputtering system
KR101223723B1 (ko) 2010-07-07 2013-01-18 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
US20120058630A1 (en) * 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
KR101678056B1 (ko) 2010-09-16 2016-11-22 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101723506B1 (ko) 2010-10-22 2017-04-19 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101738531B1 (ko) 2010-10-22 2017-05-23 삼성디스플레이 주식회사 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR20120045865A (ko) 2010-11-01 2012-05-09 삼성모바일디스플레이주식회사 유기층 증착 장치
KR20120065789A (ko) 2010-12-13 2012-06-21 삼성모바일디스플레이주식회사 유기층 증착 장치
KR101760897B1 (ko) 2011-01-12 2017-07-25 삼성디스플레이 주식회사 증착원 및 이를 구비하는 유기막 증착 장치
KR101923174B1 (ko) 2011-05-11 2018-11-29 삼성디스플레이 주식회사 정전 척, 상기 정전 척을 포함하는 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
KR101840654B1 (ko) 2011-05-25 2018-03-22 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101852517B1 (ko) 2011-05-25 2018-04-27 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101857249B1 (ko) 2011-05-27 2018-05-14 삼성디스플레이 주식회사 패터닝 슬릿 시트 어셈블리, 유기막 증착 장치, 유기 발광 표시장치제조 방법 및 유기 발광 표시 장치
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
KR101826068B1 (ko) 2011-07-04 2018-02-07 삼성디스플레이 주식회사 유기층 증착 장치
KR20130069037A (ko) * 2011-12-16 2013-06-26 삼성디스플레이 주식회사 유기층 증착 장치, 이를 이용한 유기 발광 표시 장치의 제조 방법 및 유기 발광 표시 장치
DE102012100927A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Prozessmodul
EP2828416B1 (en) * 2012-03-20 2019-09-04 Quantum Innovations, Inc. Vapor deposition system and method
US9496524B2 (en) 2012-07-10 2016-11-15 Samsung Display Co., Ltd. Organic layer deposition apparatus, method of manufacturing organic light-emitting display apparatus using the same, and organic light-emitting display apparatus manufactured using the method
KR101959974B1 (ko) 2012-07-10 2019-07-16 삼성디스플레이 주식회사 유기층 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR102064391B1 (ko) * 2012-08-31 2020-01-10 삼성디스플레이 주식회사 기판 처리 장치
JP5778731B2 (ja) * 2012-09-17 2015-09-16 ピーエスケー・インコーポレーテッド 連続線形熱処理装置の配列
KR102013318B1 (ko) 2012-09-20 2019-08-23 삼성디스플레이 주식회사 유기층 증착 장치, 이를 이용한 유기 발광 표시 장치의 제조 방법 및 유기 발광 표시 장치
KR102081284B1 (ko) 2013-04-18 2020-02-26 삼성디스플레이 주식회사 증착장치, 이를 이용한 유기발광 디스플레이 장치 제조 방법 및 유기발광 디스플레이 장치
KR102108361B1 (ko) 2013-06-24 2020-05-11 삼성디스플레이 주식회사 증착률 모니터링 장치, 이를 구비하는 유기층 증착 장치, 증착률 모니터링 방법, 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
WO2015023603A1 (en) * 2013-08-12 2015-02-19 Applied Materials Israel, Ltd. System and method for forming a sealed chamber
CN103531508B (zh) * 2013-10-17 2016-05-18 深圳市华星光电技术有限公司 基板运输设备及运输方法
US10348172B2 (en) 2013-11-13 2019-07-09 Brooks Automation, Inc. Sealed switched reluctance motor
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
JP6679482B2 (ja) 2013-11-13 2020-04-15 ブルックス オートメーション インコーポレイテッド ブラシレス電気機械の制御方法および装置
US9948155B2 (en) 2013-11-13 2018-04-17 Brooks Automation, Inc. Sealed robot drive
KR102162797B1 (ko) 2013-12-23 2020-10-08 삼성디스플레이 주식회사 유기 발광 디스플레이 장치의 제조 방법
CN106784394B (zh) * 2013-12-30 2018-10-09 Sfa工程股份有限公司 用于附着玻璃与掩模的设备及方法、以及用于装载基板的系统及方法
US10236197B2 (en) * 2014-11-06 2019-03-19 Applied Materials, Inc. Processing system containing an isolation region separating a deposition chamber from a treatment chamber
US9812344B2 (en) 2015-02-03 2017-11-07 Applied Materials, Inc. Wafer processing system with chuck assembly maintenance module
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse
JP6731793B2 (ja) * 2016-06-08 2020-07-29 株式会社ディスコ ウェーハ加工システム
CN108699669B (zh) * 2017-02-09 2020-09-01 应用材料公司 用于真空处理设在基板上的薄膜晶体管(tft)沟道的方法、薄膜晶体管和用于真空处理基板的设备
GB201913356D0 (en) * 2019-09-16 2019-10-30 Spts Technologies Ltd Wafer processing system
CN115244672A (zh) * 2020-03-02 2022-10-25 朗姆研究公司 衬底处理系统的冷却物通断型连接器

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6431971A (en) * 1987-07-28 1989-02-02 Tokuda Seisakusho Vacuum treatment device
JPH09209147A (ja) * 1995-12-05 1997-08-12 Applied Materials Inc 基板支持装置および堆積チャンバシールド組立体
JPH11293459A (ja) * 1998-04-07 1999-10-26 Murata Mfg Co Ltd 多層成膜装置
JP2002517055A (ja) * 1998-05-26 2002-06-11 ホワイトセル,アンドリュー,ビー. 基板取扱いおよび処理システムと方法
JP2002184706A (ja) * 2000-12-19 2002-06-28 Ulvac Japan Ltd 真空処理装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3717119A (en) * 1971-07-30 1973-02-20 Gen Motors Corp Vacuum processing machine for aluminizing headlamp reflectors
US4756815A (en) * 1979-12-21 1988-07-12 Varian Associates, Inc. Wafer coating system
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
JP2859632B2 (ja) * 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4981408A (en) * 1989-12-18 1991-01-01 Varian Associates, Inc. Dual track handling and processing system
US5275709A (en) * 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
TW317644B (ja) * 1996-01-26 1997-10-11 Tokyo Electron Co Ltd
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP3249395B2 (ja) * 1996-06-21 2002-01-21 東京応化工業株式会社 処理ユニット構築体
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
JP3582330B2 (ja) * 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
WO2001006030A1 (en) * 1999-07-19 2001-01-25 Young Park High throughput thin film deposition for optical disk processing
US6336999B1 (en) * 2000-10-11 2002-01-08 Centre Luxembourgeois De Recherches Pour Le Verre Et Al Ceramique S.A. (C.R.V.C.) Apparatus for sputter-coating glass and corresponding method
NL1020633C2 (nl) * 2002-05-21 2003-11-24 Otb Group Bv Samenstel voor het behandelen van substraten.

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6431971A (en) * 1987-07-28 1989-02-02 Tokuda Seisakusho Vacuum treatment device
JPH09209147A (ja) * 1995-12-05 1997-08-12 Applied Materials Inc 基板支持装置および堆積チャンバシールド組立体
JPH11293459A (ja) * 1998-04-07 1999-10-26 Murata Mfg Co Ltd 多層成膜装置
JP2002517055A (ja) * 1998-05-26 2002-06-11 ホワイトセル,アンドリュー,ビー. 基板取扱いおよび処理システムと方法
JP2002184706A (ja) * 2000-12-19 2002-06-28 Ulvac Japan Ltd 真空処理装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011049167A (ja) * 2009-08-27 2011-03-10 Samsung Mobile Display Co Ltd 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
JP2014125505A (ja) * 2012-12-25 2014-07-07 National Institute Of Advanced Industrial & Technology 部品製造方法、接合剥離装置、および複合キャリア
WO2020137169A1 (ja) * 2018-12-27 2020-07-02 株式会社Sumco 気相成長装置
JP2020107718A (ja) * 2018-12-27 2020-07-09 株式会社Sumco 気相成長装置
JP7163764B2 (ja) 2018-12-27 2022-11-01 株式会社Sumco 気相成長装置
US11898246B2 (en) 2018-12-27 2024-02-13 Sumco Corporation Vapor deposition device

Also Published As

Publication number Publication date
WO2006055236A2 (en) 2006-05-26
TW200623309A (en) 2006-07-01
US20060102078A1 (en) 2006-05-18
CN101208454A (zh) 2008-06-25
EP1815041A4 (en) 2009-07-29
EP1815041A2 (en) 2007-08-08
WO2006055236A3 (en) 2007-11-15
TWI300964B (en) 2008-09-11

Similar Documents

Publication Publication Date Title
JP2008520837A (ja) ウエハファブ
KR100970516B1 (ko) 기판 처리 장치
US6919001B2 (en) Disk coating system
US9524896B2 (en) Apparatus and methods for transporting and processing substrates
US7901539B2 (en) Apparatus and methods for transporting and processing substrates
US6176667B1 (en) Multideck wafer processing system
US20050118001A1 (en) Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
JP4753224B2 (ja) ガスラインシステム
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
JPH04190840A (ja) 真空処理装置
JP2001135704A (ja) 基板処理装置及び基板搬送用トレイの搬送制御方法
JP5247094B2 (ja) 基板処理システム
US20090162170A1 (en) Tandem type semiconductor-processing apparatus
WO2017209881A1 (en) Dodecadon transfer chamber and processing system having the same
US20120014768A1 (en) Vacuum processing apparatus
JP2004304116A (ja) 基板処理装置
JP2006190968A (ja) 半導体素子製造装置
KR100569604B1 (ko) 트윈 공정챔버를 이용한 클러스터 툴 시스템 및 이를 통한 박막 증착 방법
US20230133714A1 (en) Apparatus for treating substrate and method for treating substrate
JPH0466119A (ja) 真空処理方法及び装置
KR20040083623A (ko) 반도체 제조 장치
WO2002073664A1 (en) Automatic continue wafer processing system and method for using the same
JP2012164850A (ja) 基板処理装置及び基板処理装置の表示方法
WO2002075789A1 (en) Automatic continue wafer processing system and method for using the same

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081008

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081008

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110920

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120306