TWI300964B - Wafer fab - Google Patents

Wafer fab Download PDF

Info

Publication number
TWI300964B
TWI300964B TW094132534A TW94132534A TWI300964B TW I300964 B TWI300964 B TW I300964B TW 094132534 A TW094132534 A TW 094132534A TW 94132534 A TW94132534 A TW 94132534A TW I300964 B TWI300964 B TW I300964B
Authority
TW
Taiwan
Prior art keywords
chamber
processing
chambers
substrate
wafer
Prior art date
Application number
TW094132534A
Other languages
English (en)
Other versions
TW200623309A (en
Inventor
Kevin P Fairbairn
Hari Ponnekanti
Christopher Lane
Robert Edward Weiss
Ian Latchford
Terry Bluck
Original Assignee
Intevac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intevac Inc filed Critical Intevac Inc
Publication of TW200623309A publication Critical patent/TW200623309A/zh
Application granted granted Critical
Publication of TWI300964B publication Critical patent/TWI300964B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Description

1300964 九、發明說明: 【發明所屬之技術領域】 本發明係關於晶圓製造,且特定言之係關於一種在一用 於晶圓製造之通用加工工具中之模組系統。 【先前技術】 歷史上,晶圓係成批接受處理。因此,在(例如)一晶匣中 之一批晶圓曝露至一處理步驟。接著自該設備移除該等晶 圓,且再循環該設備用於下一批晶圓。再循環涉及延遲及 損失,因為一旦處理腔室打開且曝露至空氣條件,在下一 批晶圓可經由該系統得以循環或處理之前需要排氣。接著 使該批晶圓穿過下一處理步驟。若干年後,該分批處理系 統發展成單一晶圓處理單元。此等發展之歷史可追溯到美 國專利4,756,815,該專利亦描述了一種以單一晶圓模式而 非成批模式運作之錢鍍系統。本質上,在單一晶圓上作業 產生之價值在經濟上使其適合自成批處理改變成單一晶圓 處理現今,通常使處理腔室叢集於一中心晶圓搬運系統 周圍’且將晶圓自該中心區域傳輸至一處理腔室,且接著 使晶圓返回該中心區域,此處可能將晶圓運載至叢集於該 中心區域周圍之另一腔室以進一步對其處理。此等工具可 旎已包括額外之處理。作為一實例,美國專利4,756,815中 描述之工具除包括濺鍍之外亦包括加熱及冷卻處理步驟。 然而,該等處理之此種組合趨於與該設備之主要或關鍵處 理相互關聯,因為加熱及/或冷卻步驟補充該設備中執行之 濺鍍處理。其它專用單元之實例描述於美國專利5,186,718 104978.doc 1300964
及5,855,681中。由於現今通常用於工業中之此等工具趨於 為單一功能單元,意即其執行濺鍍或物理氣相沉積,或其 執行化學氣相沉積(CVD),或,或離子植入冑,因此製 造商可能被迫購買用於每一處理步驟之單獨工具以用於製 k最、·^的半導體裝置。由於對自設備至設備之晶圓傳輸及 -匕μ圓搬運之考慮,因此發展對於超潔淨之清潔室的需 要且加上多個機器或工具佔據之較大面積,在清潔室内運 作進一步增加了對於φ責且特殊之設施的需要,且又增加 了在晶圓加工區域内之製造費用。舉例而言,一半導體製 造設備之領先製造商Applied购咖在其網站上之產: 總覽中列舉了超過H)種之不同機器。每—者在加卫線上使 用,其每—單元實施1同之處理。此外,此等單元之每 單元可花費超過幾百萬美元,更不必說存在其它半導體製 造設備之製造商提供亦在加卫線上使用之用於處理晶圓的 不同處理的其它單b現今建立—新加卫線可花費20億美 兀或更多’此對於任何行業來說皆為重大的投資。 一般而言,現今使用之單一曰 早日日回處理糸統係基於使處理 腔室叢集於中心晶圓加工系統 ^ ^ ^ 如所述,該等系統在 製ie %地上且尤其在清潔室内的 円的工間使用上效率低。該等 系統在達成處理晶圓之目的上亦 们上亦效率低,因為在此等單元 中’與搬運子系統相對之該箄曰 寺日日回加工子系統佔據該系统 以及其場地面積之50〇/〇或更多。豕/系、,死 Ί夕另外,通常使用機器人來 處理在該設備之搬運部分中之曰 1刀干之日曰囫,且機器人可 之淨生產量之瓶頸。同樣,從生 為糸、洗 <硯點來看,晶圓自 104978.doc 1300964 一腔室按序傳輸至另一腔室本質上並不理想。亦存在對於 添加處理工作站之限制。一方面,此可歸因於中心區段上 出口之數目’且另一方面,此可歸因於此中心區段周圍之 物理空間的限制。相關聯之腔室趨於彼此獨立地運行之事 實使得難以共享諸如泵、質量流量控制器或發電機之輔助 、、且件。亦由於該等腔室全部連接入中心隔室,因此存在交 又汚染之真正的風險,故需要對可整合入單一工具内之處 理之數目進行限制。 【發明内容】 所描述之本發明解決此等問題。其減少晶圓傳輸子系統 所扃之空間,使得晶圓傳輸子系統不會佔據超過該等處理 子系統所佔據之實際場地空間或佔據面積。本質上,晶圓 搬運機構處於一般由處理工作站所佔據之空間内。該系統 包括多個腔室,且以按順序及並行之方式自一個腔室至另 一個腔室地傳輸晶圓,將在下文對其詳細描述。在開始時, 諸如當晶圓進人承載鎖定腔室之時刻,晶圓與_支撐夹盤 組合,^晶圓在夾盤上之合適位置行進穿過㈣統返回= 承載鎖定腔室。此具有降低成本及防止在薄基板之處理: 破損的作肖。在腔室間之晶圓傳輸連續發生即:—晶圓自 一處理腔室傳遞至下一相鄰處理腔室,·且腔室間之晶圓 輸並行發生即··藉由同時將全部晶圓自一個腔室至另_ 腔室地移動而同時移動一列腔室中之全部晶圓,且不合個 外發生腔至間之晶圓轉移。另外,腔室内之處理時間 每一腔室皆為相同的。另外,該設備可建構成用於相同2 104978.doc 1300964 處理,或用於多於單一虛 或用於一隔絕腔室以完全分 二靡、私。亦可能獲得在多個腔室之間共享諸如泵或氣 體供應器之辅助設備的好處,且此等單元可在多個腔室中 同夺或刀開使用。亦可能將該系統建構成使得在處理腔室 =享電源、氣體控制器。因*,作為-實例,該工具 此夠僅執㈣鍍或物理氣相沉積,或執行諸如僅化學氣相 :積、僅㈣、僅金屬a、僅離子植入等等之其它處理, :在相同系統中之相同框架上同時執行所有此等處理。此 專處理可具有獨立之立擔杜 又撐件或可具有基於一共享配置之支 撐件。該工具可具有用於留 有用於早一處理之多個腔室,且此等腔
室可彼此緊挨,或可力盆M 在/、間以其它運作裝置間隔。此可在 未對晶圓或處理腔宮士、、- 至3^成巧染的情況下全部達成。可在腔 至之間使用閥門將腔官她 至彼此隔開,當一晶圓離開一腔室且 另一晶圓進入時該間門;璽从 ^ , 乍。精由控制該設備之中心控制 系統中之壓力,亦可能膝 J此將一晶圓饋入穿過連續腔室,且以 在系統之處理之間軔小认从严^ 夕的排氧在隨後之腔室中達成低直 空。由於大體上無限制,介n ^ f 亦可添加用於額外處理之腔室。 對於擴充之限制趨於為兮T B . 為該工具之總長度。本質上,在某些 方面期望考慮一第二工具。 一 雖然本發明之整個括祕击> 土 中之重點將在於加工由所描述之 各種處理過程而處理之B圓 里之日日囡,但應瞭解,除了該等薄基板 層之外,亦可加工J:它:a 4c: ^ 一匕基板,諸如分割晶圓、在帶子上之 分割晶圓(diced wafer on tan“ on tape)、在帶子上之完整晶圓(wh〇ie wafer on tape)、光碟、采4 M 干板及太陽能電池。因此,雖然該 104978.doc -9- 1300964 述係關於θθ圓,但應理解,此等其它基板之任一者可代 替該等晶圓以根據本發明用於處理。 【實施方式】 多看圖1其展不根據本發明之一實施例之實例:一 20 作站系統。雖然在該圖中展示了一定數目之工作站,但 -里解·根據*裝需要可在_具有較多或較少工作站之系 :中實施本發明。同樣,與右側相比,左側上圖示不同之 (在該圖中分別展現為在後面或在前面)。然而,可作 ”所展不之該等卫作站不同之卫作站選擇,且該單元將 =對其所期望之目的而起作用。在該圖巾,U表示該20 ^ 系、、充該系統之前端12為承載鎖定腔室13所位於之 -在另端處為一橫向室或傳輸室15及用於處理腔室、 傳輸系、、4及該系統之其它機構的電源工6。在該圖中,類似 卜觀之處理核組17沿自前端12觀察之左侧展現。雖然僅若 命处理椒、、且t主有數字,但同樣如該圖中所示根據用戶.之 而要’ 4整個側面可包括相同之處理模組。在另一侧上, 參 不同組之處理模組18。此處同樣展示類似外觀之模 且僅右干杈組標注有數字18。然而,同樣取決於 。、之需求,所有模組可包含相同類型之模組,且因此 可用於相同形式之處理,或其可包含用於不同處理步驟之 ^ 在該圖中’所展示之處理模組僅用於說明之目 、事實上,處理模組17為可用於濺鍍清潔或蝕刻之模組 σ、、二!代表。可看見一用於該模組中之氣瓶櫃19位於濺鍍 或月潔處理腔室17之每一者的上方。處理模組聞示成為 104978.doc 1300964 =型的物理氣相沉積處理模組。然、而應理解:此等展示僅 意懲作為代表且僅用於說明目的,且由每一使用者判定用 於其它處理之模組可以且可能包括於該系統中。該系統中
之模組亦可時常由使用者與其它模組交換,以改變該系統 中之處理配置。 ’、V
在運作中,—晶圓將於位於前端12處之承載鎖定腔室13 處進入系統11。此亦展示於本說明書之其它圖中。此時, 該曰曰圓自空氣條件移入真空環境中。該晶圓接著移至一處 理腔室17 ’晶圓將在處理腔室17中得以清潔及㈣,且根 據需要經受其它處理。其將例行地自—個腔室移至另一個 腔室,直至其到達傳輸腔室15為止,該晶圓在傳輸腔室Μ 中自用於處理之行進路徑之一者(左側)移至另一行進路徑 (右側),用於沿此新路徑進行處理。因此,在進入系統二 之後,該晶圓將移動穿過處理腔室17,處理腔室17可包括 (例如)濺鍍沉積子系統或類似之處理子系統,其同樣取決於 根據系統規格之用戶需要。該晶圓接著移入傳輸室15中, 且沿該系統之另一路徑返回,且穿過處理腔室18,此處(例 如)該晶圓曝露於諸如(例如)物理氣相沉積、離子植入或化 學氣相沉積之沉積處理中。其接著將移入預承載鎖定腔室9 内,以準備進入承載鎖定腔室13,晶圓自承載鎖定腔室13 L出該系統。電源子系統丨6提供在系統丨丨中之單獨腔室之 運作所而要的電力,以及將晶圓移入系統及移動穿過該系 統所需要的電力。控制盒14(在該圖中僅標注若干控制盒) 提供在相鄰腔室内之運作,包括控制各腔室内執行之處理 104978.doc 1300964 的各種參數以及各腔室内之真空條件、晶圓之移動等等, 且控制盒14連接入電腦控制器24,且由電腦控制器以運作。 參看圖2,一晶圓於前端12處載入(相同數字一般用於等 同元件)。可自一置於29指示之位置處的晶匣將晶圓置入系 統11内。接著將晶圓一個接一個地饋入該系統内。一新的 晶圓在刖端12處進入,且移入承載鎖定腔室13,隨後該晶 圓按序移動穿過處理腔室17。為簡單說明之目的,將認為 腔室之整個左側(在該圖中展示為上列腔室)為用於相同類 型之處理的相同類型之腔室,且將每一者標識為腔室17。 該晶圓自前端12移動穿過腔室丨7,且最終到達橫向室或傳 輸室15,此處該晶圓自該系統之左侧移至右側(在該圖中展 示為下列腔室)。同樣為簡化此說明,右側上之腔室(在該圖 中為下列腔室)全部指定為18,但應理解每一腔室可支持一 不同處理(若此為使用者之需求)。無論如何,在沿腔室17 之左側(圖2中展示為上列)移動後之晶圓藉由穿過橫向室或 傳輸室15移至系統丨丨之右側上之腔室(圖2中展示為下列)。 該晶圓接著返回,按序穿過指示為18之腔室,到達預承載 鎖定腔室9,該晶圓保持此處直至其轉移入承載鎖定腔室13 内。當晶圓藉由移入該系統左侧上之腔室或進入指示為17 之腔室而轉移出承載鎖定腔室13並進入該系統時,發生此 轉移。此時,該承载鎖定腔室為空的,且來自預承載鎖定 腔室9之晶圓進入承載鎖定腔室13,且接著於機器之前端12 處退出該機器,自真空進入空氣。此時,一新的晶圓可以 進入且確實進入承載鎖定腔室13,並接著進入處理腔室。 104978.doc -12- 1300964 腔室17與18之路徑為並排的,使得此系統之佔據面積大體 上不大於該等腔室自身之佔據面積。通常在處理腔室、傳 輸室及承載鎖定腔室之佔據面積内發生之晶圓傳輸將在隨 後之材料中描述。在該圖中之點處包括箭頭以說明晶圓在 其循環中處於彼點處之移動方向。 圖3展不一四工作站系統之示意圖。由於某種原因,某些 使用者可能想要系統來搬運晶圓之有限處理,且因此該圖 在理解一較小尺寸之系統之運作方面有用。然而,重要的 疋,該系統亦大體上說明了所有關鍵組件以及較大系統之 傳輸路徑,以便亦能夠更加完全理解較大之系統,且此理 解之複雜性比討論較大單元時所涉及之複雜性較少。在圖3 中,將前端標識為12。20表示一蝕刻處理腔室,且21表示 一濺鍍清潔處理腔室。22表示一物理氣相沉積腔室,且23 包含排氣埠。進氣埠可與排氣埠23相鄰。此等埠獨立連接 至個別氣體盒25。13表示承載鎖定腔室,且15表示傳輸室 或橫向室。27表示一真空泵。此泵用於在承載鎖定腔室13 内汲取真空。處理器電源16展示於系統之後部上、傳輸室 15之下方,且電腦包容於由24所展示之隔室内。 圖4A至圖41說明晶圓穿過該系統之逐步移動。應理解, 在孩、、且圖中之該專組之晶圓同時移動,但並非全部同時移 動。將在進行此等圖之描述時論述此内容。 :圖4A中’展示一4工作站系統,其中展示晶圓佔據承载 鎖定腔室13、處理腔室26及處理腔室27、以及%。在該圖 中亦圖示一前端丨2。一用於將晶圓饋入系統内之結構 104978.doc •13- 1300964 項技術中已知為FOUP。此代表前開式統一部分,其包含一 外设’ Μ圓包谷於該外设内’且在等待進入處理運作腔室 時保持清潔。在該實例之狀況下,此單元亦可包括饋入機 構以將晶圓置入系統内用於處理,且在處理後將晶圓自系 統取出以臨時儲存晶圓。一晶£29置入此前端結構或F〇up 3 1内,其中該晶圓位於水平位置上。晶圓接著由葉片% 一 個接一個地自晶匣轉移,該葉片32將在晶匣内之一晶圓提 起,且將該晶圓載入承載鎖定腔室13内。 圖4A說明一循環之開始。在承載鎖定腔室13内之晶圓處 於進入該系統之過程中。現在,晶圓在承載鎖定腔室Η内 處於該系統之真空中。腔室26中之晶圓正接受處理。舉例 而a,在腔室26中之晶圓正接受餘刻。在腔室27中,已穿 過蝕刻腔室之另一晶圓(例如)為進一步之處理正接受清 潔。腔室28中之晶圓正在第一金屬沉積腔室中被一種金屬 塗覆,且腔至3 0中之晶圓正被一額外金屬塗覆。 在圖4B中,系統内左侧上之晶圓(在該圖中展示為上列) 展不為移至下-階段。更特定言之,展示承載鎖定腔室U 内之晶圓JL移入餘刻處理月空室26,且可看I其中發生清潔 之腔室27中之晶圓正移入傳輸室。内。兩個未處理之晶圓 35與36圖示為正等待移人該“。由於晶圓通常將使用_ FOUP或某種等同之饋人裝置進人系統,故此等位置處展示 之此等晶圓僅為說明之目的。在腔室30與28中正經受處理 之a曰圓展示為靜止的。如圖所示,在橫向室Μ中不存在晶 圓因此可彼此獨立設定對於左側腔室(在該圖中展示為 104978.doc -14- 1300964 上方)及右側腔室(30與28)之晶圓移動的系統。因此,右侧 中之晶圓(下列)可被處理歷時左側(在該圖中為上列)設定 之時間的兩倍,或該等晶圓可以其在系統中接受處理之順 序移動’或可根據使用者需求以任何速率移動,以達成他 或她自己選擇或判定的成品。 在圖4C中,承載鎖定腔室13展示為空的,因為原來在該 腔室内之晶圓現在已移至蝕刻腔室26。一般而言,晶圓在 一支撐件上自腔室行進且穿過腔室。晶圓在承載鎖定腔室 _ is中被置於支樓件或晶圓載體上。一旦一支撐件附著至一 晶圓,其在晶圓行進穿過該系統期間保持與晶圓一起行進。 先前已自蝕刻腔室26移出之晶圓現在已移入其中發生清 潔或表面處理之腔室27内。已自清潔腔室移出之晶圓現在 已移至其中晶圓自一側轉移至另一側(在此狀況下,為自系 統之左側轉移至右側)之橫向轉移室或傳輸室15。當晶圓進 入傳輸室15時,可能改變晶圓支撐件或晶圓載體。舉例而 籲 言,可作出此一改變,以當晶圓移動穿過該系統時,避免 基於先前支撐件之曝露而對一處理腔室造成之污染。然 而,通常藉由在晶圓處理期間保持任何受污染之表面在處 理腔室之外可避免此情況。改變支撐系統中之元件的主要 • 原因為當該等元件已到達其使用壽命之終點之時。在該圖 中,晶圓正在傳輸室15中自該系統之左側移至其右側。 在圖4D中,腔室26與27中之晶圓正接受處理。亦展示了 空的載體37正自承載鎖定腔室13移至一可稱作預承载鎖定 腔室9之腔室,同時展示在腔室26與27中已在該系統左側上 104978.doc -15- 1300964 之腔室中處理過之晶圓正在橫向傳輸腔室或傳輸室15中自 該系統之左側移至其右側。在圖4E中,空的載體37已到達 預承載鎖定腔室38,且在橫向傳輸腔室15中之晶圓已到達 該系統之右側。在此同時,在該系統右侧上之處理腔室(下 列)中之晶圓處理亦已完成。
在圖4F中,展示該系統右侧上之晶圓(下列)處於運動 中更特疋5之,展示現在已穿過所有處理腔室且最後在 第二金屬化腔室30中接受處理之經處理之晶圓正移入預承 載鎖定腔室9中。展示已轉移入橫向傳輸腔室或傳輸室^之 晶圓正移入第一金屬化腔室28,且展示先前在第一金屬化 腔至28中之晶圓正移入第二金屬化腔室%中。 在圖4G中,展示在橫向傳輸腔室或傳輸室15中之支撐件 或載體40正移至該系統之左側’且展示在預承載鎖定腔室9 中完成之晶圓正移入承載鎖定腔室13。(對於載體4〇之進一 步說明請參看圖6與圖9^在圖4H中,支撐件或載體 向傳輸腔室或傳輸室15中到達該系統之左侧(在該圖已2 示在上部),且充分處理過之晶圓6完成其自預承載鎖定腔 室9至承載鎖定腔室13之移動。 在圖41中,完成之晶圓移出承載鎖定腔室丨3,到達F〇up 中之葉片上(展示於圖4A中)’晶圓在該處作為成品置入 FOUP内之晶匣中,晶圓將保持於晶匣中,同時需要去填充 晶匣之其它晶圓接受處理,且然後被饋入相同晶匣中。接 著將晶E自前端12移除。在一晶圓之完整處理之後,且在 晶圓返回晶E之後,使晶圓返回晶£之葉片用於自晶匡提 104978.doc -16- 13〇〇964 起另一未處理之晶圓,且將其饋入該系統内,使其在開始 :一個腔室至另一個腔室之傳輸,且作為一充分處理過之 曰曰圓返回晶艮。如此對於進入該系統之每一晶圓重複在圖 4A處開始之已解釋之循環。 圖5為濺鍍工作站21之示意圖。濺鍍工作站可在本發明之 系、、先中用作一處理腔室,以將沉積材料濺鍍於正接受處理 圓表面上。此一工作站為說明之目的而展示,因為 系、、’充中包括之特定處理過程將取決於使用者所需要之應 用。因此,在一給定系統中,可包括或可不包括一物理氣 相沉積處理腔室。若包括,則其可與⑽工作站21十分相 似、。在此工作站中展示賤錢腔室52。在傳輸腔室Ο之底部 處為可包3 一包括滾筒、滾輪及驅動馬達之磁性驅動系 統㈣動機構53或等同機構,以在晶圓傳輸入此腔室内且 接者轉移出此腔室進入下一腔室期間驅動其上搁置晶圓之 載體40(見圖6)。標識為49之開口為用於載體進入濺鑛腔室 之入口(或可為出口)。在該圖中在傳輸腔室47下方向下延 伸的為#狀支撐基条41(其更加清楚地展示於圖8中),其 包含一自基架41向下延伸之臂。該基架用於在處理期間向 上提昇晶® m密封頂部之濺鍍來源51與通常底部之 晶圓43(見圖8)之間的_腔室52。此結構將支持在義運 作期間㈣腔室中所需要之條件。—般而!,如此項技術 中所熟知的,此意謂維持適當之真空,且將一種子氣體 (seeding gas)饋入該腔室内以有助於濺鍍。 圖6為誠處理腔室21之部分剖面圖。載體40將傳輸腔室 104978.doc -17- 1300964 47中之晶圓轉移入且轉移出濺鍍處理腔室2丨,此處將該晶 圓運载穿過物理氣相沉積處理。如圖所示,載體4〇之中心 4刀在晶圓傳輸穿過該系統期間支樓該晶圓。在一諸如圖6 中說明之工作站的工作站中,如圖8中所更加地充分展示, 基架41將晶圓43提昇出載體40,且使其與隔離環42緊密接 觸 成可更換之護罩45覆蓋發生濺鍍之腔室之侧壁。 該荨遵罩塗覆以經激鍍之材料,且時常置換,以避免腔室 壁上之舊沉積材料對腔室中最近濺鍍之層造成污染。濺鍍 來源51(見圖5)抵靠濺鍍座架46,藉此封閉該腔室。 在圖7中’展示在將晶圓43定位於用於處理之處理腔室内 的過%中,晶圓43位於一升高位置處以提昇至與隔離環 42(圖6)緊密接觸。此處理腔室可包含一濺鍍腔室,但其亦 可包含一蝕刻、CVD或清潔腔室或該系統之其它腔室。晶 圓43下方為基架41及載體4〇。通道或開口 49允許載體進入 或離開傳輸腔室47。此模組包括一在相反側上之類似開 口’其在该圖示中無法看見。該圖中亦展示了提昇器55, 如圖8中所更加充分地論述,其將基架41升高至位置以向上 壓住晶圓來密封該濺鍍腔室。如根據圖8所更加充分地展示 及論述,基架41穿過中心開口 54(展示於圖6中),且自其載 體40提起晶圓43以將晶圓43置入腔室内,且抵靠該處理腔 室之隔離環42來密封該底部。 所論述之特定處理腔室僅為說明之目的。應理解,此項 技術中所熟知之在半導體晶圓之製造中有用之各種工藝的 任一種可用於本發明之系統中。 104978.doc -18 - 1300964 在圖8A、圖8B及圖8C中,聯繫在處理腔室中之晶圓處理 «兒明用於晶圓搬運之機構。在圖8 a中,說明一 3處理器部 分’其中系統運作裝置處於晶圓43已在載體40上行進穿過 腔室傳輸通道49前往新的處理腔室丨8以進行處理之時刻。 晶圓43自身位於子載體或夾盤60上,子載體或夾盤60又位 於載體40上。此情形在圖中將更加清楚。較佳實施例中 之晶圓當在承載鎖定腔室工作站或腔室處進入系統時附著 至該一夾盤或子載體,在該承載鎖定腔室工作站或腔室處 夫盤或子載體在機器人傳輸平面上被提昇至載體上方之一 位置且附著至該晶圓。夾盤或子載體在所有處理步驟期間 保持附著至晶圓,且當晶圓離開系統時在承載鎖定腔室工 作站處與晶圓分離。子載體上之晶圓又在一載體上移動穿 過該系統。位於載體及夾盤下方的是包圍於膜盒(beU〇w)58 内之基架57以維持真空條件且允許提昇基架,以將晶圓置 入處理腔室内以進行處理。圖示傳輸腔室ls位於所說明之 系統之處理腔室的末端處。 在圖8B中,在該圖之左侧上之兩個腔室中抓取之運作時 刻為基架57已進入且穿過載體4〇内之開口以將晶圓杓升高 至一用於在處理腔室18中處理之位置的時刻。載體4〇擱置 於驅動機構53上,在該實施例中,驅動機構53展示為其中 採用磁力耦合輪之較佳磁性驅動系統。與亦可用於從一個 腔室至另一個腔室傳輸該基板使其接受處理的機器人系統 相比’此傳輸糸統趨於較為便宜。 圖8B中說明關於如何為腔室内之處理運作而密封腔室的 104978.doc -19- 1300964 細節。在圖8A與8C中展示晶圓43位於一靜電夾盤6〇上。當 晶圓提昇入腔室18(見圖8B)用於處理時,一密封件6丨裝配 入夾盤60之邊緣,且腔室邊緣62抵壓且裝配入密封件61 中。於圖8B中之處理腔室所說明之兩個左手侧圖中展示夾 盤60上之晶圓43位於一升高位置處。在圖8B中說明之第三 處理腔室18中,展示基架57位於一降低之位置處,且膜盒 58延伸以保持所密封之區域。圖8C說明裝配於載體4〇上之 靜電夾盤60上的晶圓43,亦展示了密封件61。载體4〇下方 展示了驅動機構53之部分,該驅動機構53當晶圓移動穿過 該系統時用於驅動載體自一個腔室至另一個腔室移動。 圖9說明橫向室或傳輸室15。在該腔室中,一晶圓在載體 40中自一與一列處理腔室對齊之位置移至一與下一列腔室 對齊之位置處。可包括安置於該等腔室之間之閥門,用於 將此等腔室中之晶圓與一列處理腔室中正進行處理之晶圓 分隔開。與一列中正進行之處理相比,此可確保在另一列 中進行之處理的純淨。正自一腔室移至另一腔室之載體移 動穿過狹槽63。在此單元之底部處圖示了可驅動載體自一 ,室移動至:¾ _腔室之驅動馬達53。在該單元後部之傳輸 室之狀況下,兩個腔室皆處於高真空中。 一類似之腔室可用於該系統之入口及出口處。在該狀況 下,左侧上之腔室通常將為承載鎖定腔室,且將包含晶圓 在進入系統時置入之腔室及其中晶圓被引入真空條件中之 腔室。在離開系統之路徑上,承載鎖定腔室將為晶圓在其 離開真空進人空氣條件之路徑上之最後穿過的腔室。在該 104978.doc 1300964 狀況下,在傳輸室中,晶圓將自腔室30(例如參看圖4a與圖 4B)進入一固持腔室或諸如圖!中之腔室9的預承載鎖定腔 室,且接著自該固持腔室移至承載鎖定腔室,晶圓將自承 载鎖定腔室退出該系統。晶圓將保持於固持腔室或預承栽 鎖定腔室内,直至承載鎖定腔室為空的為止(在一晶圓自承 載鎖定腔室移人腔室26之後),此時,晶圓將移人承载鎖定 腔室中之位置處以退出該系統。
關於所描述之系統的獨特之處在於半導體晶圓或复它美 板同時移動穿過設備之任一列中之各個工作站。另^^ 存在-晶81之時間間隔期間執行之處理過程方面,一工作 站可與—㈣工作料同,因為該k作站可由腔室間之 -閥系統彼此隔絕’該閥系統隨著晶圓或其它基板自該腔 室移至下-腔室或工作站之移動而密封每—腔室。因此, =一工^可執行_處理,第二卫作站可執行離子植入 ^ 订化學氧相沉積處理等,從而執行 在形成最終成品之過程中晶圓或 理過程。在一些情況下,此可=基=要的所有處 匕栝執仃相同處理之一系 =。當晶圓在一腔室内之停留時間少於在晶行 =所需即為此狀況,列而言, 要…則可排程在繼續進人其 “ 腔室中執行蝕刻。若不需:…知序在四個 ㈣腔室間插入其它腔要==整之㈣’則可在 刻之四個腔室。由於每該晶^最終曝露至執純 由於母處理腔室處於真空中,因此基板 104978.doc -21- 1300964 自一腔室至另一腔室之移動一般將不需要對腔室之任一者 進打充分排氣,因為每一腔室準備好用於其下一運作。 此配置之顯著之處在於:由於可在該系、統内執行多個處 理,因此生產商無需獲得多個且不同設備單元。同樣藉2 將各種處理包括於單件設備中,可消除對用於在不同二 步驟之獨立設備間進行傳輸的需要。同樣,由於可在可執 行所有處理之單一單元内執行各種處理,因此不會遇到當 曰曰圓在一機器中曝露於一(例如)蝕刻之處理中且然後自該 設備移至例如濺鍍系統之另一機器時存在的延遲,其中晶 圓作為在其循環至曝露於第二處理中之前可能延長兩小時 至兩小時以上之正常延遲之部分而處於庫存中。顯然,若 在現有廠房中使用一第三處理,則對於更多設備、更多場 也二間更夕π潔至之需要及在單元間傳輸晶圓之延遲全 部於製造過程中以高成本花費進行建造。另外,一些基板 受益於在處理之間不曝露於空氣條件下,且此亦可在本發 明之系統中達成,且當基板在設備之獨立單元之間移動時 這疋不可肖b的。與該等實務相關之此等缺點可由本發明描 述之系統克服。 雖然已展不且描述了本發明之例示性實施例,但熟習此 項技術者應理解,可採用在半導體層之製造中通常使用的 各種處理過程,且在不脫離如隨附申請專利範圍中界定之 本發明之範疇的前提下,可在所論述之系統的運作及機構 中作出各種更改及修正。 【圖式簡單說明】 104978.doc -22- 1300964 圖1為根據本發明之一 2〇工作站系統的示意圖。 圖2為展示系統之腔室的圖1之20工作站系統的示意性俯 視圖。 圖3為根據本發明之一說明性四工作站系統的示意圖。 圖4A至41為4工作站系統之運作的說明。 圖5為一濺鍍站之示意圖。 圖6為一濺鍍腔室之剖面圖。
圖7為將-晶圓提昇入一工藝工作站(例如可為金屬化腔 室)内的示意性說明。 圖8A、圖8B及圖8C示意性說明碟片搬運機構 圖9為傳輸室之示意性說明。 【主要元件符號說明】 9、38 預承載鎖定腔室 11 工作站系統 12 前端 13 承載鎖定腔室 14 控制盒 15 橫向室/傳輸室 16 電源 17、18 處理模組/腔室 19 氣瓶櫃 24 電腦控制器 20 蝕刻處理腔室 21 濺鍍(清潔)處理腔室/ 22 物理氣相沉積腔室 104978.doc •23- 錢錢工作站 1300964 23 排氣埠 25 氣體盒 27 真空泵/處理腔室 26 ' 28 、 30 處理腔室 29 晶匣 31 FOUP 32 葉片 35 、 36 、 43 晶圓 37、40 載體/支撐件 41、57 基架 42 隔離環 45 護罩 46 濺鍍座架 47 傳輸腔室 49 開口 51 濺鍍來源 52 濺鍍腔室 53 驅動機構/驅動馬達 54 中心開口 55 提昇器 58 膜盒 60 子載體/夾盤 61 密封件 62 腔室邊緣 63 狹槽 104978.doc -24-

Claims (1)

  1. 年月 ΕΗ:Γ歡Έ本: D 00#糾132534號^利申請案 中文申請專利範圍替換本(9'7年3月) 十、申請專利範圍: 1. 一種基板處理系統,其包含: 一承載鎖定腔室,用於使基板進入一真空環境, 複數個載體,每個載體包含一子載體用於支樓待在該 處理系統中接受處理之基板之一, 一第一列腔室,其包含: 一第一處理腔室,其附著至該承載鎖定腔室且與其 X平對背以在其腔室中對一基板執行一處理步驟; • 以及 至少一第二處理腔室,其附著至該第一處理腔室且 與其水平對齊,以在其腔室中對該基板執行一第二處 理步驟;以及 至少一第二列腔室,其包含: 處理腔室’其與該第一列處理腔室相鄰,且定位於 其一側上以進一步處理基板; 至少一個傳輸腔室,其在該第一列處理腔室之一末 端處附著至該第一列處理腔室且與其水平對齊,且在 該第二列腔室之一末端處附著至該第二列腔室且與其 對齊’以將該基板自該第一列腔室傳輸至該第二列腔 室, 一傳輸系統,用於使該等載體移動穿過該第一列腔 室,穿過該傳輸腔室且然後穿過該第二列腔室,以及 其中該第一列及該第二列腔室之每個處理腔室包含: 一處理區段及位於該處理區段下方之一傳輸通道,每 104978-970321.doc 1300964 ' 個傳輸通道連接至最多二個相鄰的處理腔室,以及 -升降提升ϋ將該子制從該傳輸通道提升至該處理 區段。 2. 如請求項1之基板處理系統,其中該子載體包含一夾盤。 3. 如請求項2之基板處理系統,其中該子载體進一步包含一 密封塾,當該升降提升器提升該子載體時用以密封該處 理區段。 4. ㈣求項i之基板處理系統’進_步包含—閥門系統在 一基板從一腔室至下一腔室的運動中密封每個處理腔 室。 5·如請求項1之基板處理系統,其中該傳輸系統包含一磁驅 動系統。
    如請求項丨之基板處理系統,其中該處理腔室之至少一個 包含一可置換屏蔽覆蓋該處理區段之側壁。 如請求項2之基板處理系統,其中該夾盤包含一靜電夾盤 用於夾住該基板貫穿該傳輸穿過該第一列及該第二列腔 室。 8·如明求項7之基板處理系統,進一步包含配置在介於該等 腔室之間的複數個閥門。 9·如清求項1之基板處理系統,其中閥門密封該傳輸腔室, 使侍與一列腔室對齊之該傳輸腔室之區段可保持為一獨 特環境。 1〇·如請求項1之基板處理系統,其中在該第一列腔室中之該 等處理工作站中之該等基板的每一者都與其它基板同時 104978-970321.doc .l3〇〇964 按序移動至在該第一列中 n , 〜甲之下一腔室。 .如請求項i之基板處理系、统, 等處理工作站中在該t列腔室中之該 ^ ^ #基板全部同時按序移動至在該第 —夕1】肀之下一腔室。 如叫求項10之基板處理系盆 ρ ^ ^ ^ . /、肀該專基板包含晶圓,
    ^傳輸錢將該承载鎖定腔室中之該晶圓移入該第 :處理腔室時’該傳輸系統使在該第—列腔室中之該等 曰曰圓按序同時傳輸至該列中之下一腔室。 13·如請求項W基板處理系統,其中該等基板包含晶圓, 且该傳輸系統將-晶圓自該第二列腔室中之—處理腔室 移至-預承載鎖定腔室,且同時將該第二列腔室中之其 它晶圓按序移動至該列中之下一腔室。 14. 如凊求項10之基板處理系統,其中該第一列腔室中之其 它晶圓係按序傳輸至該列中之下—腔室,同時_基板自' 該第一列腔室中之一處理腔室傳輸至一傳輸室内。 15. 如請求項14之基板處理系統,其中該基板包含—晶圓, 且該傳輸系統將該傳輸室中之該晶圓橫向傳輸至一與該 第二列腔室相鄰之位置處。 16.如請求項1之基板處理系統,其中在一濺鍍工作站中之一 載體中之一基板係被升高至一位置處,使得在一處理腔 室中之濺鍍運作期間密封該處理腔室。 17 ·如請求項16之基板處理系統,其中該基板包含一晶圓, 且该晶圓係猎由被一臂挺起而升南,該臂在一載體中之 位置處抵壓該晶圓之背部,且向上延伸穿過該載體中之 104978-970321.doc 1300964 一開口,將該晶圓之另一表面置於該處理腔室之底邱户 的一密封位置處。 18·如請求項15之基板處理系統,其中在該傳輸室中之該晶 圓係經傳輸至該第二列處理腔室中之一相鄰處理腔室阳 且在該第二列腔室中之該等其它晶圓每—者都移至一相 鄰腔室。 19.如請求項13之基板處理系統,其中當該第二列中之基板 按序傳輸至該等相鄰之處理腔室時,在該預承載鎖定腔 室工作站中之該基板移入該承載鎖定腔室。 2〇· —處理系統,包含: 複數個處理站,每個處理站包括一處理腔室及位於該 處理腔室下方之一傳輸腔室; 複數個載體,每個載體包含一子載體調適用以運載一 基板; 驅動機制,調適用以驅動介於該傳輸腔室之間的該等 g 載體; 提升機制’調適用以提升該子载體朝向該處理腔室; 以及 複數個閥門,配置在介於該等腔室之間,以在該等載 體從一傳輸腔室驅動至一相鄰的傳輸腔室後,使該等腔 室彼此隔離。 21. 如請求項20的處理系統’其中每個該子載體包含一密封 塾用以在該處理腔室中基板處理期間密封該處理腔二 22.如請求項20的處理系統 其中該處理腔室之一或多個係 104978-970321.doc 1300964 選自由以下所組成的群· 拜、、且·錢鍍腔室,清潔腔室,蝕刻 腔室,離子佈植腔室, 乂及化學η*相沉積腔室。 23.如請求項20的處理系統,其中該等處理站係沿著一或多 列配置,其中每個該傳輪腔室包含沿著同—列之二個相 對開口,其中該驅動機制係位於該傳輸腔室之中,以及 其中該提升機制包括從該傳輸腔室下方進入之基座。 241請求項23的處理系統,其中該等載體係實質上同時沿 著每個該一或多列移動穿過該等傳輸腔室。 25· —處理站,包含: 一處理腔室; 一傳輸腔室,耦合至該處理腔室且界定介於該處理腔 室與該傳輸腔室之間的一通道; 一驅動機制,調適用以驅動一基板載體穿過在一傳輸 腔室壁中的一第一開口以及在該傳輸腔室壁中的一第二 開口,該第二開口相對於該第一開口;以及 一基座,從該傳輸腔室下方進入該傳輸腔室,且調適 用以提升一位於該基板載體上之子載體朝向該處理腔 室’並藉此密封該通道。 26·如請求項25的處理站,其中在該基板載體進入該傳輸腔 室後,該處理腔室中的空間及該傳輸腔室中的空間係與 相鄰的處理站隔離。 27.如請求項25的處理站,其中該子載體包含一靜電失盤。 104978-970321.doc
TW094132534A 2004-11-18 2005-09-20 Wafer fab TWI300964B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/991,722 US20060102078A1 (en) 2004-11-18 2004-11-18 Wafer fab

Publications (2)

Publication Number Publication Date
TW200623309A TW200623309A (en) 2006-07-01
TWI300964B true TWI300964B (en) 2008-09-11

Family

ID=36384826

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094132534A TWI300964B (en) 2004-11-18 2005-09-20 Wafer fab

Country Status (6)

Country Link
US (1) US20060102078A1 (zh)
EP (1) EP1815041A4 (zh)
JP (1) JP2008520837A (zh)
CN (1) CN101208454A (zh)
TW (1) TWI300964B (zh)
WO (1) WO2006055236A2 (zh)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130175323A1 (en) * 2002-07-01 2013-07-11 Jian Zhang Serial thermal linear processor arrangement
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
KR20100061731A (ko) * 2007-09-14 2010-06-08 퀄컴 엠이엠스 테크놀로지스, 인크. Mems 제조에 이용되는 에칭 방법
WO2009060541A1 (ja) * 2007-11-09 2009-05-14 Canon Anelva Corporation インライン型ウェハ搬送装置
WO2009060539A1 (ja) * 2007-11-09 2009-05-14 Canon Anelva Corporation インライン型ウェハ搬送装置
WO2009060540A1 (ja) * 2007-11-09 2009-05-14 Canon Anelva Corporation インライン型ウェハ搬送装置
CN101889101B (zh) * 2007-12-06 2014-09-24 因特瓦克公司 用于基板的双面溅射蚀刻的系统和方法
US8475591B2 (en) * 2008-08-15 2013-07-02 Varian Semiconductor Equipment Associates, Inc. Method of controlling a thickness of a sheet formed from a melt
JP5388279B2 (ja) * 2009-02-27 2014-01-15 インテバック・インコーポレイテッド 基板搬送処理装置及び方法
JP2012521094A (ja) * 2009-03-16 2012-09-10 アルタ デバイセズ,インコーポレイテッド ウエハキャリアトラック
JP2010280943A (ja) * 2009-06-04 2010-12-16 Sony Corp 蒸着装置及び蒸着方法
JP5328726B2 (ja) 2009-08-25 2013-10-30 三星ディスプレイ株式會社 薄膜蒸着装置及びこれを利用した有機発光ディスプレイ装置の製造方法
JP5677785B2 (ja) * 2009-08-27 2015-02-25 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
JP5611718B2 (ja) * 2009-08-27 2014-10-22 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
US8876975B2 (en) 2009-10-19 2014-11-04 Samsung Display Co., Ltd. Thin film deposition apparatus
KR101084184B1 (ko) 2010-01-11 2011-11-17 삼성모바일디스플레이주식회사 박막 증착 장치
KR101174875B1 (ko) 2010-01-14 2012-08-17 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101193186B1 (ko) 2010-02-01 2012-10-19 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
US10808319B1 (en) 2010-02-26 2020-10-20 Quantum Innovations, Inc. System and method for vapor deposition of substrates with circular substrate frame that rotates in a planetary motion and curved lens support arms
US10550474B1 (en) 2010-02-26 2020-02-04 Quantum Innovations, Inc. Vapor deposition system
KR101156441B1 (ko) 2010-03-11 2012-06-18 삼성모바일디스플레이주식회사 박막 증착 장치
KR101202348B1 (ko) * 2010-04-06 2012-11-16 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US8894458B2 (en) 2010-04-28 2014-11-25 Samsung Display Co., Ltd. Thin film deposition apparatus, method of manufacturing organic light-emitting display device by using the apparatus, and organic light-emitting display device manufactured by using the method
TW201137143A (en) * 2010-04-28 2011-11-01 Hon Hai Prec Ind Co Ltd Sputtering system
KR101223723B1 (ko) 2010-07-07 2013-01-18 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
US20120058630A1 (en) * 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
KR101678056B1 (ko) 2010-09-16 2016-11-22 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101723506B1 (ko) 2010-10-22 2017-04-19 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101738531B1 (ko) 2010-10-22 2017-05-23 삼성디스플레이 주식회사 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR20120045865A (ko) 2010-11-01 2012-05-09 삼성모바일디스플레이주식회사 유기층 증착 장치
KR20120065789A (ko) 2010-12-13 2012-06-21 삼성모바일디스플레이주식회사 유기층 증착 장치
KR101760897B1 (ko) 2011-01-12 2017-07-25 삼성디스플레이 주식회사 증착원 및 이를 구비하는 유기막 증착 장치
KR101923174B1 (ko) 2011-05-11 2018-11-29 삼성디스플레이 주식회사 정전 척, 상기 정전 척을 포함하는 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
KR101840654B1 (ko) 2011-05-25 2018-03-22 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101852517B1 (ko) 2011-05-25 2018-04-27 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101857249B1 (ko) 2011-05-27 2018-05-14 삼성디스플레이 주식회사 패터닝 슬릿 시트 어셈블리, 유기막 증착 장치, 유기 발광 표시장치제조 방법 및 유기 발광 표시 장치
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
KR101826068B1 (ko) 2011-07-04 2018-02-07 삼성디스플레이 주식회사 유기층 증착 장치
KR20130069037A (ko) * 2011-12-16 2013-06-26 삼성디스플레이 주식회사 유기층 증착 장치, 이를 이용한 유기 발광 표시 장치의 제조 방법 및 유기 발광 표시 장치
DE102012100927A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Prozessmodul
EP2828416B1 (en) * 2012-03-20 2019-09-04 Quantum Innovations, Inc. Vapor deposition system and method
US9496524B2 (en) 2012-07-10 2016-11-15 Samsung Display Co., Ltd. Organic layer deposition apparatus, method of manufacturing organic light-emitting display apparatus using the same, and organic light-emitting display apparatus manufactured using the method
KR101959974B1 (ko) 2012-07-10 2019-07-16 삼성디스플레이 주식회사 유기층 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR102064391B1 (ko) * 2012-08-31 2020-01-10 삼성디스플레이 주식회사 기판 처리 장치
JP5778731B2 (ja) * 2012-09-17 2015-09-16 ピーエスケー・インコーポレーテッド 連続線形熱処理装置の配列
KR102013318B1 (ko) 2012-09-20 2019-08-23 삼성디스플레이 주식회사 유기층 증착 장치, 이를 이용한 유기 발광 표시 장치의 제조 방법 및 유기 발광 표시 장치
JP6188051B2 (ja) * 2012-12-25 2017-08-30 国立研究開発法人産業技術総合研究所 部品製造方法、接合剥離装置、および複合キャリア
KR102081284B1 (ko) 2013-04-18 2020-02-26 삼성디스플레이 주식회사 증착장치, 이를 이용한 유기발광 디스플레이 장치 제조 방법 및 유기발광 디스플레이 장치
KR102108361B1 (ko) 2013-06-24 2020-05-11 삼성디스플레이 주식회사 증착률 모니터링 장치, 이를 구비하는 유기층 증착 장치, 증착률 모니터링 방법, 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
WO2015023603A1 (en) * 2013-08-12 2015-02-19 Applied Materials Israel, Ltd. System and method for forming a sealed chamber
CN103531508B (zh) * 2013-10-17 2016-05-18 深圳市华星光电技术有限公司 基板运输设备及运输方法
US10348172B2 (en) 2013-11-13 2019-07-09 Brooks Automation, Inc. Sealed switched reluctance motor
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
JP6679482B2 (ja) 2013-11-13 2020-04-15 ブルックス オートメーション インコーポレイテッド ブラシレス電気機械の制御方法および装置
US9948155B2 (en) 2013-11-13 2018-04-17 Brooks Automation, Inc. Sealed robot drive
KR102162797B1 (ko) 2013-12-23 2020-10-08 삼성디스플레이 주식회사 유기 발광 디스플레이 장치의 제조 방법
CN106784394B (zh) * 2013-12-30 2018-10-09 Sfa工程股份有限公司 用于附着玻璃与掩模的设备及方法、以及用于装载基板的系统及方法
US10236197B2 (en) * 2014-11-06 2019-03-19 Applied Materials, Inc. Processing system containing an isolation region separating a deposition chamber from a treatment chamber
US9812344B2 (en) 2015-02-03 2017-11-07 Applied Materials, Inc. Wafer processing system with chuck assembly maintenance module
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse
JP6731793B2 (ja) * 2016-06-08 2020-07-29 株式会社ディスコ ウェーハ加工システム
CN108699669B (zh) * 2017-02-09 2020-09-01 应用材料公司 用于真空处理设在基板上的薄膜晶体管(tft)沟道的方法、薄膜晶体管和用于真空处理基板的设备
JP7163764B2 (ja) * 2018-12-27 2022-11-01 株式会社Sumco 気相成長装置
GB201913356D0 (en) * 2019-09-16 2019-10-30 Spts Technologies Ltd Wafer processing system
CN115244672A (zh) * 2020-03-02 2022-10-25 朗姆研究公司 衬底处理系统的冷却物通断型连接器

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3717119A (en) * 1971-07-30 1973-02-20 Gen Motors Corp Vacuum processing machine for aluminizing headlamp reflectors
US4756815A (en) * 1979-12-21 1988-07-12 Varian Associates, Inc. Wafer coating system
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
JPS6431971A (en) * 1987-07-28 1989-02-02 Tokuda Seisakusho Vacuum treatment device
JP2859632B2 (ja) * 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4981408A (en) * 1989-12-18 1991-01-01 Varian Associates, Inc. Dual track handling and processing system
US5275709A (en) * 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
TW317644B (zh) * 1996-01-26 1997-10-11 Tokyo Electron Co Ltd
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP3249395B2 (ja) * 1996-06-21 2002-01-21 東京応化工業株式会社 処理ユニット構築体
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
JP3582330B2 (ja) * 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
JPH11293459A (ja) * 1998-04-07 1999-10-26 Murata Mfg Co Ltd 多層成膜装置
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6083566A (en) * 1998-05-26 2000-07-04 Whitesell; Andrew B. Substrate handling and processing system and method
WO2001006030A1 (en) * 1999-07-19 2001-01-25 Young Park High throughput thin film deposition for optical disk processing
US6336999B1 (en) * 2000-10-11 2002-01-08 Centre Luxembourgeois De Recherches Pour Le Verre Et Al Ceramique S.A. (C.R.V.C.) Apparatus for sputter-coating glass and corresponding method
JP4531247B2 (ja) * 2000-12-19 2010-08-25 株式会社アルバック 真空処理装置
NL1020633C2 (nl) * 2002-05-21 2003-11-24 Otb Group Bv Samenstel voor het behandelen van substraten.

Also Published As

Publication number Publication date
WO2006055236A2 (en) 2006-05-26
TW200623309A (en) 2006-07-01
US20060102078A1 (en) 2006-05-18
CN101208454A (zh) 2008-06-25
EP1815041A4 (en) 2009-07-29
EP1815041A2 (en) 2007-08-08
JP2008520837A (ja) 2008-06-19
WO2006055236A3 (en) 2007-11-15

Similar Documents

Publication Publication Date Title
TWI300964B (en) Wafer fab
EP1592822B1 (en) Disk coating system
US7901539B2 (en) Apparatus and methods for transporting and processing substrates
US10204810B2 (en) Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) Apparatus and methods for transporting and processing substrates
KR100970516B1 (ko) 기판 처리 장치
US8293066B2 (en) Apparatus and methods for transporting and processing substrates
EP2207909B1 (en) Method for manufacturing workpieces and apparatus
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
EP1098353A2 (en) Substrate processing system
KR100818044B1 (ko) 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
JPH1055972A (ja) マルチデッキウエハ処理装置
KR20010031111A (ko) 기판 가열 및 냉각을 개선한 진공 프로세싱 시스템
EP1800329A2 (en) Multi-single wafer processing apparatus
KR20010080658A (ko) 카세트 저장 및 이동 장치
WO2013101851A1 (en) System architecture for combined static and pass-by processing
WO2014163791A1 (en) Semiconductor device manufacturing platform with single and twinned processing chambers
JP5247094B2 (ja) 基板処理システム
US20090162170A1 (en) Tandem type semiconductor-processing apparatus
JP2006190968A (ja) 半導体素子製造装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees