JPH1055972A - マルチデッキウエハ処理装置 - Google Patents

マルチデッキウエハ処理装置

Info

Publication number
JPH1055972A
JPH1055972A JP9147007A JP14700797A JPH1055972A JP H1055972 A JPH1055972 A JP H1055972A JP 9147007 A JP9147007 A JP 9147007A JP 14700797 A JP14700797 A JP 14700797A JP H1055972 A JPH1055972 A JP H1055972A
Authority
JP
Japan
Prior art keywords
wafer
chamber
processing
chambers
wafers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9147007A
Other languages
English (en)
Inventor
Fueabean Kebuin
フェアベアン ケヴィン
Kee Shinha Ashiyotsuku
ケー. シンハ アショック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH1055972A publication Critical patent/JPH1055972A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67271Sorting devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 マルチデッキウェハ処理装置が、半導体ウェハの処理を
目的として説明されている。このシステムには少なくと
も2つの処理チャンバが含まれており、一方が他方の上
に積み重ねられて、クリーンルームスペースの単位面積
当たりの高いウェハスループットが得られている。処理
チャンバが積み重ねられることにより、処理チャンバ用
の加圧、ガス、電気及び制御の支援サービスを共用する
ことができる。

Description

【発明の詳細な説明】
【0001】
【発明の背景】本発明は、半導体ウェハ処理装置に関
し、特に、2以上のウェハを、垂直方向に配置される2
以上の処理チャンバ内で同時に処理するための方法及び
装置に関する。
【0002】集積回路を作製する半導体ウェハの処理に
おいて使用をするための多くの方法と装置がよく知られ
ている。上述の処理を行う(「ファブス(fabs)」
として知られる)最近の製造設備は、内部に床面積が数
千平方フィートという「クリーンルーム」を備える大き
な建物になっているのが典型的である。このクリーンル
ームには、種々の半導体製造プロセスが行われる装置、
例えば、ウェハ上に導電材料又は絶縁材料を堆積させる
ための化学気相堆積装置、ウェハ中へ不純物を注入する
ためのイオン注入装置、ウェハを加熱するための炉、及
び、ウェハから材料を除去するためのプラズマエッチン
グ装置等が含まれている。
【0003】最近まであった上記従来のものと比較して
も、クリーンルームは今日極めて清浄になっており、粒
子密度がクラス1以下になっている。粒子密度がこのよ
うなに低くくなると、あらゆる点で特殊な配慮が必要と
されるだけでなく、クリーンルーム内の空気を浄化する
高価な装置もが必要とされる。そのような対策を講じた
結果として、クリーンルームの床面積は高価になってし
まう。維持費だけでなく、単位平方フィート当たりの建
設費も高くなってしまう。
【0004】集積回路の製造における他の傾向として
は、枚葉式処理装置の使用がある。枚葉式装置では、1
回につき1枚のウェハについて処理を行う。即ち、多く
のウェハを保持するカセットから処理チャンバ内へ、一
のウェハが導入される。そのウェハに対する必要な処理
をチャンバ内で行った後は、ウェハはチャンバから取り
除いて、次のウェハを導入する。典型的には、上述の枚
葉式処理チャンバが、各ウェハをチャンバに装荷できる
中央ロボットの周りに集められる。枚葉式処理を使用す
ると、典型的には直径が8インチ、近い将来には12イ
ンチになるウェハ全体にわたって当該プロセスを制御で
きるようにすることによって、さらに高い歩留まりがも
たらされる。枚葉式装置によって生じる歩留まりが高い
ほど、半導体産業で今日使用されている数多くの最新式
製造設備の使用による効果に帰着することになる。
【0005】
【発明の概要】本発明は、枚葉式又はマルチウェハ処理
チャンバの使用を可能にし、装置により占められるクリ
ーンルームスペースの単位面積当たりの上述の装置のス
ループットが増大し、また、被処理ウェハ当たり投資コ
ストが低くなる。このことは、処理チャンバを一方が他
方の上になるように垂直に積み重ねることによって達成
される。一度に2(又はそれ以上の)のウェハを、ウェ
ハ収納カセット又は他の手段から搬送することにより、
垂直方向に配置された処理チャンバを同時に作動させる
ことができるので、クリーンルームの単位面積の単位時
間当たりウェハ処理数が増加する。これは、クリーンル
ームスペースの効率を高め、同時に、処理ウェハ当たり
の投資コストが低くなる。
【0006】好適な実施態様では、本発明の装置は、一
群のウェハを収納するためのウェハ収納機構と、上下に
積み重ねられた少なくとも2つのチャンバを有する少な
くとも一組の処理チャンバと、ウェハをウェハ収納機構
から少なくとも2つのチャンバに運ぶためのウェハ搬送
チャンバとを含んでいる。
【0007】本発明の他の態様においては、一以上のウ
ェハを同時に処理する方法は、一方が他方の上に配設さ
れる少なくとも2つのウェハ処理チャンバを設けるステ
ップと、複数のウェハをウェハ収納機構に収納するステ
ップと、少なくとも2枚のウェハをウェハ収納機構から
取り出すステップと、少なくとも2つのウェハのそれぞ
れを、対応する処理チャンバ内に配置するステップと、
ウェハに対して処理を行ってウェハの状態を変えるステ
ップと、少なくとも2つのウェハのうちの少なくとも1
つを、対応する処理チャンバから取り出すステップと、
先行する当該ステップで取り出されたウェハを、ウェハ
収納機構に戻すステップとからなる。何れの実施態様に
おいても、所望であれば、第2ロボットを用いてウェハ
カセットからウェハを、ウェハ収納機構自体に供給する
ことができる。
【0008】
【特定の実施形態の説明】図1は、マルチデッキウェハ
処理装置の断面図である。図1に示される装置には、一
群のウェハ、基本的にはおよそ4〜100枚でウェハを
収納するウェハ収納機構10が含まれている。また、図
1には、概して領域20内に位置している一対のウェハ
処理チャンバが示されている。以下で論じるように、一
対の処理チャンバが縦方向に配設されていることは重要
である。各チャンバは、一以上のウェハを処理すること
ができる。ウェハ処理チャンバ20とウェハ収納機構1
0との間には、ウェハ搬送チャンバ30が配置されてい
る。ウェハ搬送チャンバ30は、収納機構10からウェ
ハを搬送し、処理チャンバ20内にそれらのウェハを置
くようになっている。装置の各要素は、以下でより詳細
に論じる。
【0009】シリコンウェハ又は他の種類の半導体ウェ
ハを用いる集積回路の製造においては、処理装置のウェ
ハカセット12内にウェハが設けられることが基本的で
ある。ウェハカセット12は大抵、プラスチック、金属
又はセラミックの材料であり、そして、ウェハは互いに
隣接するスロット内に配置されている。各スロットには
突出部が含まれ、各ウェハが、隣接するウェハから間隔
を開けて位置決めされて保持されるようになっている。
ロードロック15は、開放可能なドア16とカセットが
支持されて動くエレベータ17とを含むことが基本的で
ある。また、ロードロックは一の側に追加の開口部1
8,19も含む。これら開口部により、ロボットアーム
32がカセット内に到達して、ウェハ11を移動させる
ことができる。
【0010】以下、作用について説明する。カセット1
2をロードロック15内に置き、ドア16を閉じる。こ
のとき、ドア18,19も閉じる。チューブ若しくは適
切な他の相互連結管により、ロードロック15が真空ポ
ンプと連結される(図3を参照)。カセットを導入して
ドア16を閉じた後に、真空ポンプと係合し、ロードロ
ック内の圧力を、ウェハ搬送チャンバ30内に対応する
圧力にまで減じる。次いで、開口部18,19を覆うド
アを開けて、ロボットアーム32がウェハをカセット1
2から引き出すことができるようにする。その後、残り
の半導体製造作業を、ロードロックを再開放する必要な
く行う。カセットの全ウェハを処理するとすぐ、ロード
ロックを大気圧に開放し、ドア16を開けて完了したカ
セットを取り除き、新しいカセットを導入する。他の実
施形態においては、上記装置を用いて、大気圧や大気圧
より高い圧力で処理を行うことができるようになってい
る。そのような場合には、真空ポンプを、所望の処理圧
力を提供する他のポンプと交換してもよい。
【0011】上記のように、一連の処理チャンバ20
が、ウェハ搬送チャンバ30に連結されている。これら
処理チャンバを、図1の右側に示し、チャンバA1及び
チャンバA2として明示する。垂直方向に整列した2つ
の処理チャンバだけが、図1に示されているが、所望の
限り多数のものが垂直方向に積み重ねられてもよいこと
を理解すべきである。例えば、垂直方向に配置した3つ
の処理チャンバが設けられた実施形態が、以下に述べら
れている。
【0012】好適な実施形態において、各処理チャンバ
は、特定の半導体処理作業又は一組の処理作業を行える
ようになっている。例えば、半導体処理チャンバを用い
て、絶縁材料又は導電材料をウェハに堆積させる化学気
相堆積を行ってもよい。他のよく知られた半導体処理チ
ャンバを用いて、基本的にはフォトレジストマスキング
層内に開口部が通るウェハのエッチングを行ってもよ
い。もちろん、プラズマ気相堆積、エピタキシャル層堆
積のような適切な任意の半導体作業を、これらチャンバ
内で行うことができる。後述するように、上記作業の選
択は、本明細書に記載された装置に関連するものであれ
ば任意である。基本的なプロセスを図解するため、図1
に示されたチャンバには、化学気相堆積に使用される
「シャワーヘッド」、及び、処理のためにウェハが配置
されるウェハヒータの概略図が含まれている。
【0013】垂直方向に整列したウェハ処理チャンバ2
0とロードロック15との間には、ウェハ搬送チャンバ
30が設けられている。ウェハ搬送チャンバは、一連の
所望位置間でアーム32を動かすロボット33を含んで
いる。図1には、異なる2つの位置にあるアームが示さ
れている。図の左側の第1位置においては、アームが2
つのウェハ11をカセット12から抜き出す位置にあ
る。(単位チャンバ当たり2以上のウェハを処理する実
施形態では、ロボットが一回又は数回の手順で、多数の
ウェハを操作することができる。)支持フィンガ35
は、アーム32からウェハの下方に延在している。これ
らフィンガは、隣接するウェハ間のギャップに挿入さ
れ、ロボットアームは、ウェハの重量をアームの支持フ
ィンガが支えられる地点まで、わずかに持ち上げられ
る。
【0014】動作が継続するにつれ、アームが動き、ウ
ェハ搬送チャンバ30の略右側に示される第2位置へ達
する。アーム32を移動させて所望位置にフィンガ35
を支持するロボット33の動作により、ウェハは第2位
置に配置される。この位置ではウェハが支持フィンガ上
で載置されている。このことは、ウェハを処理チャンバ
内へ移動させることができるように、2つのスリットバ
ルブ22を開放することに備えている。ロードロックと
ウェハ搬送チャンバが、ほぼ同じ圧力で維持されるの
で、搬送作業で真空ポンプを使用することが最小限必要
とされるか、或いは必要とされない。
【0015】いったん、ウェハがウェハ搬送チャンバ3
0の右側に示される位置に来ると、スリットバルブ22
を開放し、再びロボット33を使用して、ウェハをプロ
セスチャンバ内に挿入することができる。チャンバが枚
葉式チャンバの場合は、次に、所望のプロセスをウェハ
上で行う。他方、何れか一方のチャンバ若しくは両チャ
ンバが、一度に2以上のウェハを取り扱うように設計さ
れている場合は、次に、追加のウェハを挿入して所望の
処理を行う。最終的に、ウェハを処理チャンバから移動
してカセットに戻す。次に、別の一組のウェハをカセッ
トから移動し、プロセスチャンバに挿入する。このプロ
セスは、カセット内の全てのウェハが処理されるまで繰
り返され、その後は、新しいカセットを導入して当該プ
ロセスを繰り返す。もちろん、多数のロードロックが使
用可能な場合には、上記ロードロックを再充填する一方
で、別のロードロックからのカセットを、ウェハ源とし
て用いることができる。
【0016】単一のロボットを使用して、一度に2以上
のウェハを取り扱うことができることが好ましいが、実
施形態の中には、複数のロボットを使用するものがあ
る。これは、チャンバに異なる時間で負荷がかかる事
態、例えば、2種類の異なるプロセスが、積層した各チ
ャンバの1つで行われる場合に対して有利となる。
【0017】図2は、マルチデッキウェハ処理装置の上
平面図である。図2には、上から見たときの、基本的な
装置の外観が示されている。図2に示す装置では、2つ
のロードロック10が設けられ、それぞれが、カセット
を収納してカセットを上昇及び下降させ、アーム32及
び支持体35により、ウェハをカセットから移動するこ
とを可能にするための独自のチャンバを有する。図示の
ように、ロードロックは、ロボットが位置するウェハ搬
送チャンバ30と連結されている。ウェハ搬送チャンバ
30の周縁部を囲んで、所望のウェハ処理チャンバが連
結されている。例えば、図1に示されているウェハ処理
チャンバA1及びA2が、図2の上部付近に示されてい
る一方で、追加のチャンバ24及び25がチャンバ20
と隣接して示されている。追加のチャンバにはそれぞ
れ、図1に示されるような積み重ねられた一対のチャン
バが含まれている。例えば、チャンバ25は、垂直方向
に整列したチャンバB1及びB2から成っているとき、
一方、チャンバ24も、垂直方向に整列したチャンバC
1及びC2から成っている。もちろん、更に多くの或い
は更に少ないチャンバを、積み重ねようとも又は単体と
しようとも、所望通りに含むものとすることができる。
また、図2には、マルチウェハクールダウンチャンバ2
8が示されており、ウェハを処理チャンバから移動させ
た後、且つ、ロードロック内のカセットに再導入する前
に、ウェハを冷却することができるようになっている。
図2から明らかなように、上記ウェハ処理装置全体の範
囲(footprint)は、単一の列だけのウェハ処
理チャンバを用いる装置と同じ寸法になっていることは
重要である。従って、図2で示されるように、6つの処
理チャンバは、3つの処理チャンバを備える従来技術の
製造装置と同じフロアスペースに設置される。
【0018】図2に示す2つのロードロックを用いるこ
とにより、一のロードロックを作動ロードロックとし、
同時に、新規のウェハ供給源を他方のロードロックに導
入して、所望のレベルまで圧力を低下させることができ
る。第1カセットが、第1ロードロックによって処理が
完了されるときに、第2ロードロック内の第2カセット
の処理の準備を行う。このような態様では、完了した第
1カセットを第1ロードロックから取り除いて、第3カ
セットを処理のために導入することができる。これによ
り、装置の効率が向上する。
【0019】図3は、本明細書で述べる本発明の他の態
様を示したものである。垂直方向に積み重ねられたマル
チチャンバ装置を使用すると、無線周波装置、真空ポン
プ装置、処理ガス装置及び制御装置のうち2以上の共用
が可能になる。即ち、それらの各装置を、垂直に積み重
ねられたチャンバが共用することができる。例えば、図
3には、単一の真空ポンプセット40が設けられている
ことが好ましい。これは、単一のスロットルバルブ44
を介して、チャンバA1及びA2に連結されている。他
の実施形態においては、真空ポンプセット40が、2つ
のスロットルバルブ41及び42を介して、上下方向に
に配置された2つのチャンバA1及びA2に連結されて
いる。このような他の実施形態を示すために、2つの絞
りバルブを破線で概略図を示してある。
【0020】動作を改良するため、好適な実施形態にお
いては、各チャンバにつきひとつとする別々のRF発生
器53及び54が用いられる。破線56で示される他の
実施形態では、単一のRF発生器50が、電力分割器5
1を介して2つの各チャンバに連結されている。更に、
洗浄のガスだけでなく、堆積処理又は他の処理のガスを
得るための単一供給源55が設けられ、両チャンバによ
り共用される。従来のウェハ処理装置では、単一のRF
発生器が、単一の真空ポンプセット及び単一の処理ガス
源と同様に、各チャンバのために用いられるのが典型的
であった。これら支援サービスのいくつか或いは全てを
共有することができることは、都合のよいことである。
【0021】図3には、マルチデッキ枚葉式処理装置を
制御するための制御装置80の図が概略的に示されてい
る。制御装置80には、オペレータ制御装置82及びメ
モリ84に連結されたプロセッサ81が含まれている。
プロセッサ81及びオペレータ制御装置82は、既知の
構成部品から成っている。ウェハ処理装置のオペレータ
は、例えば、オペレータ制御装置82のキーボードや他
の装置を用いて、命令を入力することが基本的である。
かかる命令の指示の下では、プロセッサ81は、メモリ
84に記憶されているプログラムとデータを用いて、所
望のウェハ処理ステップを実施する。
【0022】好適な実施形態においては、適切なインタ
ーフェイス87を介してプロセッサ81が接続されて、
ウェハ処理システムに命令が与えられる。次に、インタ
ーフェイス87はバス又は他の結線88を介して真空装
置に接続され、プロセッサ81が真空装置に対して所望
の命令を与えたり、真空装置から情報を受け取ったりす
ることができるようになる。類似する他の相互接続部8
9,92,95により、プロセッサが、RF発生器、処
理ガス装置及びウェハを取り扱うロボットの動きを制御
することができる。もちろん、システムインターフェイ
スとの接続部94を介したセンサにより、プロセッサに
情報が提供されてもよい。更に、他の望ましい装置を、
システムインターフェイスとの適切な接続部97によっ
て、プロセッサ81に連結してもよい。上記態様では、
制御装置80は、マルチデッキ枚葉式処理装置の動作を
制御することが可能になる。
【0023】図4には、3つの処理チャンバA1,A
2,A3を上下に配設した、本発明の他の実施形態が示
されている。図4に示される構成部品は、図1に関連し
て述べたものに対応しているが、処理チャンバA3が追
加して設けられている。更に、大型のロードロックに必
要とされる排気時間及びベント時間を低減するため、一
群の小型のロードロック61,62,63が用いられ
る。これらのロードロックには、一のカセットよりも少
ないウェハ、例えば2つのウェハが含まれている。第1
のウェハは、第2のウェハの処理中に冷却されてカセッ
トに戻され、第3のウェハが導入される。より小型のロ
ードロックチャンバを使用すると、より速く所望の低い
圧力レベルにまで排気できるので、外部ロボット(図示
せず)とカセットを使った供給が可能になる。この方法
による、ウェハの冷却は、装置のスループットを変える
ことなく、個々のロードロック内で生じる。
【0024】本明細書で図面に関連して説明するような
マルチデッキ装置において重要なことの一つとして、チ
ャンバの点検修理がある。基本的なウェハ処理チャンバ
では、当該チャンバの上部を取り外すことができて、チ
ャンバ内の洗浄を可能にしている。これは、2段装置或
いは3段装置の上部のチャンバにとっては実行可能であ
るが、下方のチャンバでは実行をすることができない。
その結果、本明細書に記載された装置の好適な実施形態
においては、チャンバがヒンジで取り付けられているの
で、その結果、上部のチャンバを支点に回転させて、す
なわち、上部のチャンバを下方のチャンバの上から外に
動かして、両者を修理することができるようになる。或
いは、チャンバをウェハ搬送チャンバから取り外すこと
ができるように、製作することもできる。基本的には、
マルチデッキチャンバはボルト締めされるか、そうでな
ければウェハ搬送チャンバに接続され、ウェハ搬送チャ
ンバから取り外すため、レール、旋回アーム、ヒンジ又
は他の支持手段の上に配置される。動作要件によって
は、予備の処理チャンバを、被洗浄チャンバの代わりに
用いてもよく、又は、チャンバを取り外して洗浄した後
に、復帰させてもよい。
【0025】本発明の装置は、枚葉式処理装置の全体の
スループットを、2倍にも3倍にも、或いはもっと増や
すことができ、しかも、ファブ内に床面積を追加するこ
とにもない。更に、本装置では、一群の枚葉式処理チャ
ンバが、ガス系統、電源、真空ポンプ及び他の高価な装
置を共有することができる。同時に、枚葉式処理装置で
もって使用した場合、本発明の装置は、個々のウェハ毎
に処理ができ、より最適な処理条件が得られ、問題が起
きた場合の損失は1枚のウェハだけですむ。
【0026】上記装置は、従来のウェハ処理装置より多
くの利点を有し、複数のウェハを単一のチャンバ内で処
理する従来のマルチウェハ処理装置と同様に満足ゆくも
のである。一度に2以上のウェハを取り扱うことによ
り、装置全体の範囲を増すことなく、スループットは少
なくとも2倍になる。RF供給方法、ガス供給方法及び
真空分割方法をそれぞれ用いることにより、単位チャン
バ当たりのコストが低くなり、しかも同時に、枚葉式処
理に伴う品質と信頼性もがもたらされる。
【0027】本発明の好適な実施形態の上記説明は、本
発明の理解を目的としてなされたものである。かかる説
明は、本発明を網羅するものではなく、つまり、上記の
記載の通りに限定しようとするものではない。例えば、
好適な実施形態では、各処理チャンバで一度に1枚のウ
ェハを処理する方法を提供したが、一群のウェハを、本
発明の範囲から逸脱することなく、単一のチャンバ内で
同時に処理してもよい。多くの修正及び変更は、上記教
示内容を考慮した上で可能となる。
【図面の簡単な説明】
【図1】マルチデッキウェハ処理装置の断面図である。
【図2】図1に示される装置の平面図である。
【図3】図1及び図2に示される装置のための基本的な
真空装置、RF装置及び処理ガス供給装置を、制御装置
に加えて例示している概略線図である。
【図4】3つのチャンバが垂直方向に配置されるマルチ
デッキ装置の断面図である。
【符号の説明】
10,15,61,62,63…ロードロック、11…
ウェハ、12…カセット、16…ドア、17…エレベー
タ、18,19…開口部、20,24,25…チャン
バ、22…スリットバルブ、28…マルチウェハクール
ダウンチャンバ、32…アーム、33…ロボット、35
…支持体、40…真空ポンプセット、41,42,44
…スロットルバルブ、50,53,54…RF発生器、
51…電力分割器、55…単一供給源、56…波線、8
1…プロセッサ、82…オペレータ制御装置、84…メ
モリ、87…インターフェース、88…結線、89,9
2,95…相互接続部、94,97…接続部。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 アショック ケー. シンハ アメリカ合衆国, カリフォルニア州, パロ アルト, ハバート ドライヴ 4176

Claims (40)

    【特許請求の範囲】
  1. 【請求項1】 半導体ウェハ処理装置であって、 複数のウェハを収納するためのウェハ収納機構と、 一方が他方の上に積み重ねられた少なくとも2つのウェ
    ハ処理チャンバを有する、少なくとも一組のウェハ処理
    チャンバと、 前記ウェハ収納機構及び前記少なくとも2つのチャンバ
    に連結され、前記ウェハ収納機構から前記少なくとも2
    つのチャンバへ、ウェハを搬送することを可能にするウ
    ェハ搬送チャンバと、を備えることを特徴とする半導体
    ウェハ処理装置。
  2. 【請求項2】 前記ウェハ搬送チャンバが、前記ウェハ
    収納機構から少なくとも2枚のウェハを一緒に動かし、
    且つ、前記少なくとも2つのウェハ処理チャンバのそれ
    ぞれに前記ウェハを1つを載置することができる機構を
    備えることを特徴とする請求項1に記載の半導体ウェハ
    処理装置。
  3. 【請求項3】 前記少なくとも2つのウェハ処理チャン
    バのそれぞれが、一度に1つのウェハだけを処理するチ
    ャンバを備えることを特徴とする請求項2に記載の半導
    体ウェハ処理装置。
  4. 【請求項4】 前記少なくとも2つのウェハ処理チャン
    バのそれぞれが、一度に2以上のウェハを処理するチャ
    ンバを備えることを特徴とする請求項2に記載の半導体
    ウェハ処理装置。
  5. 【請求項5】 前記少なくとも2つのウェハ処理チャン
    バのそれぞれが、半導体製造プロセスを行うチャンバを
    備えることを特徴とする請求項1に記載の半導体ウェハ
    処理装置。
  6. 【請求項6】 前記半導体製造プロセスが、化学気相堆
    積プロセスを備えることを特徴とする請求項5に記載の
    装置。
  7. 【請求項7】 前記半導体製造プロセスが、堆積プロセ
    ス、プラズマ気相堆積プロセス又はエピタキシャル堆積
    プロセスを備えることを特徴とする請求項5に記載の装
    置。
  8. 【請求項8】 前記少なくとも2つのウェハ処理チャン
    バが、前記チャンバの内部に所望の圧力をそれぞれ設定
    するためのポンプ装置に連結されていることを特徴とす
    る請求項5に記載の装置。
  9. 【請求項9】 前記ポンプ装置が、前記少なくとも2つ
    のウェハ処理チャンバにより共用される共有の真空ポン
    プセットを備えることを特徴とする請求項5に記載の装
    置。
  10. 【請求項10】 前記少なくとも2つのウェハ処理チャ
    ンバが、それぞれ、前記チャンバの内部に電磁場をそれ
    ぞれ発生させるための電源に連結されていることを特徴
    とする請求項5に記載の装置。
  11. 【請求項11】 前記少なくとも2つのウェハ処理チャ
    ンバが、共有の無線周波発生器を共用することを特徴と
    する請求項10に記載の装置。
  12. 【請求項12】 前記少なくとも2つのウェハ処理チャ
    ンバが、前記チャンバのそれぞれにガスを供給するため
    のガス装置に連結されていることを特徴とする請求項5
    に記載の装置。
  13. 【請求項13】 前記少なくとも2つのウェハ処理チャ
    ンバが、共有のガス供給装置を共用することを特徴とす
    る請求項12に記載の装置。
  14. 【請求項14】 前記ウェハ収納機構が、各々が少なく
    とも2つのウェハを保持する少なくとも2つのロードロ
    ックチャンバを備えることを特徴とする請求項1に記載
    の装置。
  15. 【請求項15】 前記ウェハ収納機構が、ウェハを収納
    するカセットを各々が保持する一対のロードロックチャ
    ンバを備えることを特徴とする請求項14に記載の装
    置。
  16. 【請求項16】 前記ウェハ搬送チャンバに連結されて
    いる追加チャンバを備え、 前記追加チャンバが、処理の後ウェハを一時的に収納し
    てウェハを低温まで冷却することを可能にする、ことを
    特徴とする請求項1に記載の装置。
  17. 【請求項17】 半導体ウェハを処理する装置であっ
    て、 複数の被処理ウェハを収納するロードロックチャンバ
    と、 一方が他方の上に積み重ねられた少なくとも一対の処理
    チャンバと、 前記ウェハ収納機構から前記少なくとも一対の処理チャ
    ンバへウェハを搬送するウェハハンドリング装置を含む
    ウェハ搬送チャンバと、を備え、 前記各チャンバが、ウェハを処理するための独立した装
    置を含み、 前記ウェハ搬送チャンバが、前記ロードロックチャンバ
    から前記処理チャンバへ、少なくとも2つのウェハを同
    時に運ぶことができる、ことを特徴とする半導体ウェハ
    処理装置。
  18. 【請求項18】 前記ウェハハンドリング装置が、前記
    ロードロックチャンバから少なくとも2つのウェハを取
    り、前記少なくとも2つのウェハを、前記少なくとも一
    対の処理チャンバ内へ、一の操作で別々に配置するロボ
    ットを備えることを特徴とする請求項17に記載の半導
    体ウェハ処理装置。
  19. 【請求項19】 前記少なくとも一対の処理チャンバ
    が、それぞれ、半導体製造プロセスを行うチャンバを備
    えることを特徴とする請求項18に記載の半導体ウェハ
    処理装置。
  20. 【請求項20】 前記少なくとも一対の処理チャンバ
    が、それぞれ、前記チャンバの内部に所望の圧力をそれ
    ぞれ設定するためのポンプ装置に連結されていることを
    特徴とする請求項17に記載の装置。
  21. 【請求項21】 前記ポンプ装置が、前記少なくとも一
    対の処理チャンバにより共用される共有の真空ポンプセ
    ットを備えることを特徴とする請求項20に記載の装
    置。
  22. 【請求項22】 前記少なくとも一対の処理チャンバ
    が、それぞれ、前記チャンバの内部に電磁場をそれぞれ
    発生させるための共有の電源に連結されていることを特
    徴とする請求項17に記載の装置。
  23. 【請求項23】 前記少なくとも一対の処理チャンバ
    が、それぞれ、前記チャンバの内部に電磁場をそれぞれ
    発生させるための独立した電源に連結されていることを
    特徴とする請求項17に記載の装置。
  24. 【請求項24】 前記少なくとも一対の処理チャンバ
    が、それぞれ、前記チャンバのそれぞれにガスを供給す
    るためのガス装置に連結され、且つ、 前記ウェハ処理チャンバが、それぞれ、共有のガス供給
    装置を共用することを特徴とする請求項22に記載の装
    置。
  25. 【請求項25】 一以上のウェハを同時に処理する方法
    であって、 一方が他方の上に配設される少なくとも2つの処理チャ
    ンバを設けるステップと、 複数のウェハをウェハ収納機構に収納するステップと、 少なくとも2つのウェハを前記ウェハ収納機構から除去
    するステップと、 前記少なくとも2つのウェハのうちの少なくとも1つ
    を、対応する処理チャンバ内に配置するステップと、 前記ウェハに対してプロセスを行い、前記ウェハの状態
    を変化させるステップと、 前記少なくとも2つのウェハのうちの少なくとも1つ
    を、前記対応する処理チャンバから除去するステップ
    と、 先行する前記ステップで取り除かれた前記ウェハを、前
    記ウェハ収納機構に戻すステップと、を備えることを特
    徴とする方法。
  26. 【請求項26】 前記除去ステップが、ロボット機構を
    用いて少なくとも2枚のウェハを一緒に前記ウェハ収納
    機構から移動させることを備え、且つ、 前記ウェハのうちの1つを、前記少なくとも2つのチャ
    ンバの中にそれぞれ配置するステップが、前記ロボット
    機構と同じロボット機構を用いて、前記ウェハを配置す
    ることを備えることを特徴とする請求項25に記載の半
    導体ウェハを処理する方法。
  27. 【請求項27】 前記少なくとも2つのチャンバが、そ
    れぞれ、一のウェハだけを処理するチャンバを備えるも
    のとすることを特徴とする請求項21に記載の半導体ウ
    ェハを処理する方法。
  28. 【請求項28】 プロセスを行う前記ステップが、化学
    気相堆積プロセス、エッチングプロセス、堆積プロセス
    又はプラズマ気相堆積プロセスのうち、少なくともひと
    つを行うことを備えることを特徴とする請求項25に記
    載の方法。
  29. 【請求項29】 前記半導体製造プロセスが、前記堆積
    プロセスを含むことを特徴とする請求項25に記載の方
    法。
  30. 【請求項30】 前記ウェハ処理チャンバをポンプ装置
    に連結し、 配置する前記ステップに続いて、前記チャンバの内部の
    圧力をそれぞれ変化させるステップを備えることを特徴
    とする請求項25に記載の方法。
  31. 【請求項31】 前記ウェハ処理チャンバを、前記チャ
    ンバのそれぞれにガスを供給するガス装置に連結し、 前記ウェハの状態を変化させるために前記ウェハに対し
    てプロセスを行う前記ステップときに、前記処理チャン
    バの双方に前記ガスを供給するステップを更に備えるこ
    とを特徴とする請求項25に記載の方法。
  32. 【請求項32】 前記ガスを供給する前記ステップが、
    一方が他方の上に積み重ねられた前記少なくとも一対の
    処理チャンバのそれぞれに、共有のガス供給装置からガ
    スを供給するステップを備えることを特徴とする請求項
    31に記載の方法。
  33. 【請求項33】 半導体ウェハを処理する方法であっ
    て、 複数のウェハをロードロックチャンバ内に収納するステ
    ップと、 一方が他方の上に積み重ねられた少なくとも一対の処理
    チャンバを設けるステップと、 前記ロードロックチャンバから前記少なくとも一対の処
    理チャンバのぞれぞれに対して、少なくとも2つのウェ
    ハを1つづつ搬送するステップと、を備えることを特徴
    とする方法。
  34. 【請求項34】 前記ロードロックチャンバから少なく
    とも2つのウェハを搬送する前記ステップが、ロボット
    を用いて前記ウェハを搬送する段階を備えることを特徴
    とする請求項33に記載の半導体ウェハを処理する方
    法。
  35. 【請求項35】 前記少なくとも一対の処理チャンバ
    が、それぞれ、半導体製造プロセスを行うチャンバを備
    えるものとすることを特徴とする請求項33に記載の半
    導体ウェハを処理する方法。
  36. 【請求項36】 前記チャンバの内部に大気圧よりも低
    い圧力をそれぞれ設定するステップを更に備えることを
    特徴とする請求項33に記載の方法。
  37. 【請求項37】 前記チャンバの内部に大気圧よりも低
    い圧力をそれぞれ設定するステップが、共有の真空ポン
    プセットを用いて前記チャンバのそれぞれからガスを排
    出させるステップを備えることを特徴とする請求項36
    に記載の方法。
  38. 【請求項38】 前記少なくとも一対の処理チャンバの
    それぞれを、電力分割器を介して共有の電源に連結し
    て、前記チャンバの内部に電磁場をそれぞれ発生させる
    ためのステップを更に備えることを特徴とする請求項3
    3に記載の方法。
  39. 【請求項39】 前記少なくとも一対の処理チャンバの
    ぞれぞれを、共有のガス装置に連結して、前記チャンバ
    のそれぞれにガスを供給するステップを更に備えること
    を特徴とする請求項38に記載の方法。
  40. 【請求項40】 複数のウェハを収納するためのウェハ
    収納機構と、 一方が他方の上に積み重ねられた少なくとも2つのチャ
    ンバを有する少なくとも一組の処理チャンバと、 前記ウェハ収納機構及び前記少なくとも2つのチャンバ
    に連結され、前記ウェハ収納機構からのウェハを、前記
    少なくとも2つのチャンバに搬送できるようにするウェ
    ハ搬送チャンバと、を含む半導体ウェハ装置おいて、前
    記装置を制御するための記憶プログラムであって、 前記ウェハを前記ウェハ収納機構から前記少なくとも2
    つのチャンバへ動かすことを制御する第1の命令シーケ
    ンスと、 前記少なくとも一組の処理チャンバを制御して、前記少
    なくとも一組の処理チャンバに所望の半導体製造動作を
    行わせる第2の命令シーケンスと、 前記ウェハを前記少なくとも一組の処理チャンバから前
    記ウェハ収納機構へ動かすことを制御する第3の命令シ
    ーケンスと、を備えることを特徴とするプログラム。
JP9147007A 1996-04-30 1997-04-30 マルチデッキウエハ処理装置 Pending JPH1055972A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/644,636 US6176667B1 (en) 1996-04-30 1996-04-30 Multideck wafer processing system
US08/644636 1996-04-30

Publications (1)

Publication Number Publication Date
JPH1055972A true JPH1055972A (ja) 1998-02-24

Family

ID=24585753

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9147007A Pending JPH1055972A (ja) 1996-04-30 1997-04-30 マルチデッキウエハ処理装置

Country Status (4)

Country Link
US (1) US6176667B1 (ja)
JP (1) JPH1055972A (ja)
KR (1) KR100312046B1 (ja)
TW (1) TW376376B (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1033750A1 (en) * 1998-09-21 2000-09-06 Nissin Electric Co., Ltd. Vacuum processing device
US6382895B1 (en) 1998-12-28 2002-05-07 Anelva Corporation Substrate processing apparatus
JP2003037107A (ja) * 2001-07-25 2003-02-07 Tokyo Electron Ltd 処理装置及び処理方法
JP2003293134A (ja) * 2002-04-09 2003-10-15 Tdk Corp 薄膜形成装置および方法、および当該装置を用いた電子部品の製造方法
EP1145288B1 (en) * 1999-11-30 2005-09-28 Wafermasters Incorporated Wafer processing system
JP2007027068A (ja) * 2005-07-15 2007-02-01 New Power Plasma Co Ltd マルチチャンバプラズマプロセスシステム{multichamberplasmaprocesssystem}
JP2008526032A (ja) * 2004-12-22 2008-07-17 アプライド マテリアルズ インコーポレイテッド 基板を処理するクラスタツールアーキテクチャ
JP2013529358A (ja) * 2010-04-30 2013-07-18 株式会社テラセミコン プラズマ処理装置
US8911193B2 (en) 2004-12-22 2014-12-16 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
KR101484553B1 (ko) * 2013-10-24 2015-01-20 주식회사 테라세미콘 클러스터형 기판처리 장치
CN104616955A (zh) * 2013-11-04 2015-05-13 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子体加工设备
JP2016219629A (ja) * 2015-05-21 2016-12-22 東京エレクトロン株式会社 処理システム
WO2018051463A1 (ja) * 2016-09-15 2018-03-22 堺ディスプレイプロダクト株式会社 基板処理装置
JP2018110198A (ja) * 2017-01-05 2018-07-12 東京エレクトロン株式会社 基板処理装置

Families Citing this family (207)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
JP2002504744A (ja) * 1997-11-28 2002-02-12 マットソン テクノロジイ インコーポレイテッド 真空処理を行う非加工物を、低汚染かつ高処理能力で取扱うためのシステムおよび方法
CA2320278C (en) * 1998-02-12 2006-01-03 Acm Research, Inc. Plating apparatus and method
US6598279B1 (en) * 1998-08-21 2003-07-29 Micron Technology, Inc. Multiple connection socket assembly for semiconductor fabrication equipment and methods employing same
JP2000306978A (ja) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd 基板処理装置、基板搬送装置、および基板処理方法
US6350097B1 (en) * 1999-04-19 2002-02-26 Applied Materials, Inc. Method and apparatus for processing wafers
TW501196B (en) * 1999-08-05 2002-09-01 Tokyo Electron Ltd Cleaning device, cleaning system, treating device and cleaning method
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6320402B1 (en) * 2000-02-03 2001-11-20 Advanced Micro Devices Inc Parallel inspection of semiconductor wafers by a plurality of different inspection stations to maximize throughput
US6919001B2 (en) * 2000-05-01 2005-07-19 Intevac, Inc. Disk coating system
US6745783B2 (en) * 2000-08-01 2004-06-08 Tokyo Electron Limited Cleaning processing method and cleaning processing apparatus
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
KR100422467B1 (ko) * 2001-05-09 2004-03-12 삼성전자주식회사 반도체장치 제조설비
TW588403B (en) * 2001-06-25 2004-05-21 Tokyo Electron Ltd Substrate treating device and substrate treating method
WO2003038145A2 (en) * 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
US7351291B2 (en) * 2002-02-20 2008-04-01 Tokyo Electron Limited Semiconductor processing system
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6790777B2 (en) * 2002-11-06 2004-09-14 Texas Instruments Incorporated Method for reducing contamination, copper reduction, and depositing a dielectric layer on a semiconductor device
KR100480826B1 (ko) * 2002-12-11 2005-04-07 엘지.필립스 엘시디 주식회사 액정표시장치의 배향막 형성장치
US20040141832A1 (en) * 2003-01-10 2004-07-22 Jang Geun-Ha Cluster device having dual structure
CN101894779B (zh) * 2003-08-29 2013-05-01 交叉自动控制公司 用于半导体处理的方法和装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7621714B2 (en) * 2003-10-23 2009-11-24 Tdk Corporation Pod clamping unit in pod opener, pod corresponding to pod clamping unit, and clamping mechanism and clamping method using pod clamping unit
US7500822B2 (en) * 2004-04-09 2009-03-10 Edwards Vacuum, Inc. Combined vacuum pump load-lock assembly
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7611322B2 (en) 2004-11-18 2009-11-03 Intevac, Inc. Processing thin wafers
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US7819079B2 (en) * 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7699021B2 (en) * 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US20060182535A1 (en) * 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
US7651306B2 (en) * 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7374391B2 (en) * 2005-12-22 2008-05-20 Applied Materials, Inc. Substrate gripper for a substrate handling robot
KR100737716B1 (ko) * 2005-05-26 2007-07-10 주식회사 에이디피엔지니어링 플라즈마 처리장치
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
KR100794649B1 (ko) 2006-03-02 2008-01-14 브룩스오토메이션아시아(주) 로드락 챔버
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
WO2007150009A1 (en) * 2006-06-23 2007-12-27 Gsi Group Corporation System and method for semiconductor wafer processing
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US20080166210A1 (en) * 2007-01-05 2008-07-10 Applied Materials, Inc. Supinating cartesian robot blade
US7694688B2 (en) 2007-01-05 2010-04-13 Applied Materials, Inc. Wet clean system design
US7950407B2 (en) * 2007-02-07 2011-05-31 Applied Materials, Inc. Apparatus for rapid filling of a processing volume
US20080202420A1 (en) * 2007-02-27 2008-08-28 Smith John M Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
US20080202417A1 (en) * 2007-02-27 2008-08-28 Smith John M Self-contained process modules for vacuum processing tool
US20080202410A1 (en) * 2007-02-27 2008-08-28 Smith John M Multi-substrate size vacuum processing tool
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20080202686A1 (en) * 2007-02-27 2008-08-28 Smith John M Self-contained process modules for magnetic media processing tool
US20080202892A1 (en) * 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
US20080202687A1 (en) * 2007-02-27 2008-08-28 Smith John M Stacked process chambers for flat-panel display processing tool
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
JP5006122B2 (ja) 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP5128918B2 (ja) 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
JP5160204B2 (ja) * 2007-11-30 2013-03-13 株式会社Sokudo 基板処理装置
JP5318403B2 (ja) 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
JP5179170B2 (ja) * 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
DE102008026314B4 (de) * 2008-05-31 2010-07-22 Roth & Rau Ag Vakuumanlage mit mindestens zwei Vakuumkammern und einer Schleusenkammer zwischen den Vakuumkammern
CN101351076B (zh) * 2008-09-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备
DE102010016471A1 (de) * 2010-04-16 2011-10-20 Aixtron Ag Vorrichtung und Verfahren zum gleichzeitigen Abscheiden mehrerer Halbleiterschichten in mehreren Prozesskammern
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN103155133A (zh) * 2010-08-06 2013-06-12 东京毅力科创株式会社 基板处理系统、搬送模块、基板处理方法和半导体元件的制造方法
US20120058630A1 (en) * 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
KR101252742B1 (ko) * 2011-08-02 2013-04-09 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US9177842B2 (en) 2011-08-10 2015-11-03 Applied Materials, Inc. Degassing apparatus adapted to process substrates in multiple tiers with second actuator
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9997384B2 (en) * 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
US9799543B2 (en) * 2012-02-16 2017-10-24 Saint-Gobain Glass France Process box, arrangements and methods for processing coated substrates
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
EP2876674A1 (de) 2013-11-25 2015-05-27 Roth & Rau AG Vorrichtung zur Rückgewinnung von Inertgas aus Schleusenkammern
KR20150060086A (ko) * 2013-11-25 2015-06-03 주식회사 테라세미콘 클러스터형 배치식 기판처리 시스템
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9916995B2 (en) * 2014-02-24 2018-03-13 Lam Research Corporation Compact substrate processing tool with multi-station processing and pre-processing and/or post-processing stations
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9564350B1 (en) * 2015-09-18 2017-02-07 Globalfoundries Inc. Method and apparatus for storing and transporting semiconductor wafers in a vacuum pod
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN109417030A (zh) * 2016-08-01 2019-03-01 朗姆研究公司 高深宽比圆筒蚀刻的沉积侧壁钝化技术
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
DE102020110570A1 (de) 2020-04-17 2021-10-21 Aixtron Se CVD-Verfahren und CVD-Reaktor mit austauschbaren mit dem Substrat Wärme austauschenden Körpern
EP4389929A1 (en) * 2022-12-22 2024-06-26 Swiss Cluster AG Apparatus for depositing material layers on a substrate

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE7710800L (sv) 1976-10-05 1978-04-06 Western Electric Co Forfarande for astadkommande av ett epitaxiellt skikt pa ett substrat
US4530750A (en) * 1981-03-20 1985-07-23 A. S. Laboratories, Inc. Apparatus for coating optical fibers
JPS6362233A (ja) * 1986-09-03 1988-03-18 Mitsubishi Electric Corp 反応性イオンエツチング装置
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
ATE95949T1 (de) 1988-07-15 1993-10-15 Balzers Hochvakuum Haltevorrichtung fuer eine scheibe sowie anwendung derselben.
US5001594A (en) 1989-09-06 1991-03-19 Mcnc Electrostatic handling device
FR2656598B1 (fr) * 1989-12-29 1992-03-27 Commissariat Energie Atomique Dispositif de chargement et de dechargement d'objets plats dans une cassette de rangement.
US5310410A (en) * 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
US5099571A (en) 1990-09-07 1992-03-31 International Business Machines Corporation Method for fabricating a split-ring electrostatic chuck
JP2924141B2 (ja) * 1990-09-14 1999-07-26 松下電器産業株式会社 ワイヤボンディングの前工程における基板のプラズマクリーニング装置
JPH04240721A (ja) * 1991-01-25 1992-08-28 Sony Corp マルチチャンバプロセス装置
US5166856A (en) 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5297910A (en) * 1991-02-15 1994-03-29 Tokyo Electron Limited Transportation-transfer device for an object of treatment
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
KR0156263B1 (ko) 1991-05-28 1998-12-01 이노우에 아키라 이온주입장치
JP3309997B2 (ja) * 1991-09-05 2002-07-29 株式会社日立製作所 複合処理装置
US5275709A (en) * 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
US5315473A (en) 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
US5431737A (en) 1992-02-04 1995-07-11 Genus, Inc. Interchangeable CVD chuck surface
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
US5303671A (en) * 1992-02-07 1994-04-19 Tokyo Electron Limited System for continuously washing and film-forming a semiconductor wafer
JPH06104326A (ja) * 1992-09-18 1994-04-15 Tokyo Electron Ltd 処理システム
US5292554A (en) 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
KR100279763B1 (ko) 1992-11-12 2001-03-02 조셉 제이. 스위니 저열팽창 클램프 장치 및 클램핑 방법
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
JP3218488B2 (ja) * 1993-03-16 2001-10-15 東京エレクトロン株式会社 処理装置
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
CH687986A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Plasmabehandlungsanlage und Verfahren zu deren Betrieb.
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
US5452510A (en) 1993-12-20 1995-09-26 International Business Machines Corporation Method of making an electrostatic chuck with oxide insulator
US5486975A (en) 1994-01-31 1996-01-23 Applied Materials, Inc. Corrosion resistant electrostatic chuck
US5491603A (en) 1994-04-28 1996-02-13 Applied Materials, Inc. Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
JP3196917B2 (ja) * 1994-06-17 2001-08-06 大日本スクリーン製造株式会社 基板処理装置
US5826129A (en) * 1994-06-30 1998-10-20 Tokyo Electron Limited Substrate processing system
JP3033009B2 (ja) * 1994-09-09 2000-04-17 東京エレクトロン株式会社 処理装置
JP3143770B2 (ja) * 1994-10-07 2001-03-07 東京エレクトロン株式会社 基板搬送装置
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1033750A4 (en) * 1998-09-21 2007-08-08 Nissin Electric Co Ltd VACUUM TREATMENT DEVICE
US6391114B1 (en) 1998-09-21 2002-05-21 Nissin Electric Co., Ltd. Vacuum processing apparatus
EP1033750A1 (en) * 1998-09-21 2000-09-06 Nissin Electric Co., Ltd. Vacuum processing device
US6382895B1 (en) 1998-12-28 2002-05-07 Anelva Corporation Substrate processing apparatus
EP1145288B1 (en) * 1999-11-30 2005-09-28 Wafermasters Incorporated Wafer processing system
JP2003037107A (ja) * 2001-07-25 2003-02-07 Tokyo Electron Ltd 処理装置及び処理方法
JP2003293134A (ja) * 2002-04-09 2003-10-15 Tdk Corp 薄膜形成装置および方法、および当該装置を用いた電子部品の製造方法
JP2008526032A (ja) * 2004-12-22 2008-07-17 アプライド マテリアルズ インコーポレイテッド 基板を処理するクラスタツールアーキテクチャ
US8911193B2 (en) 2004-12-22 2014-12-16 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
JP2007027068A (ja) * 2005-07-15 2007-02-01 New Power Plasma Co Ltd マルチチャンバプラズマプロセスシステム{multichamberplasmaprocesssystem}
JP2013529358A (ja) * 2010-04-30 2013-07-18 株式会社テラセミコン プラズマ処理装置
KR101484553B1 (ko) * 2013-10-24 2015-01-20 주식회사 테라세미콘 클러스터형 기판처리 장치
CN104616955A (zh) * 2013-11-04 2015-05-13 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子体加工设备
JP2016219629A (ja) * 2015-05-21 2016-12-22 東京エレクトロン株式会社 処理システム
WO2018051463A1 (ja) * 2016-09-15 2018-03-22 堺ディスプレイプロダクト株式会社 基板処理装置
JP2018110198A (ja) * 2017-01-05 2018-07-12 東京エレクトロン株式会社 基板処理装置

Also Published As

Publication number Publication date
KR970072001A (ko) 1997-11-07
US6176667B1 (en) 2001-01-23
KR100312046B1 (ko) 2003-11-28
TW376376B (en) 1999-12-11

Similar Documents

Publication Publication Date Title
JPH1055972A (ja) マルチデッキウエハ処理装置
JP7253015B2 (ja) 高圧ウエハ処理システム及び関連方法
US8192131B1 (en) Architecture for high throughput semiconductor processing applications
US6486444B1 (en) Load-lock with external staging area
US9263307B2 (en) Apparatus and method for treating substrate
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US6913652B2 (en) Gas flow division in a wafer processing system having multiple chambers
US20080171435A1 (en) Vacuum Processing Apparatus, Method for Manufacturing Semiconductor Device, and System For Manufacturing Semiconductor Device
JP2001237297A (ja) 統合モジュール式処理プラットフォーム
KR20070052331A (ko) 다중-단일 웨이퍼 처리 장치
JPH05218176A (ja) 熱処理方法及び被処理体の移載方法
JP2004523880A (ja) 処理装置用ダブル二重スロット式ロードロック
JP2008520837A (ja) ウエハファブ
JP2002541657A (ja) 垂直にスタックされた処理チャンバーおよび単一軸二重ウエハー搬送システムを備えた半導体ウエハー処理システム
KR101106803B1 (ko) 반도체 웨이퍼 처리용 반도체 제조 시스템, 대기중 로봇핸들링 장비 및 반도체 웨이퍼의 반송 방법
KR101336420B1 (ko) 진공 처리 장치
US5915957A (en) Method of transferring target substrates in semiconductor processing system
JPH10242244A (ja) 多基板処理装置
US20020137346A1 (en) Workpiece distribution and processing in a high throughput stacked frame
JP2018110198A (ja) 基板処理装置
JPH04137613A (ja) 半導体装置の製造装置
WO2002071453A1 (en) Automatic continue wafer processing system and methof for using the same
KR100807600B1 (ko) 반도체 자재 예열 기능을 갖는 인덱서
JP2003209152A (ja) ワークピース処理チャンバ
WO2002073664A1 (en) Automatic continue wafer processing system and method for using the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040423

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070130

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070501

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070508

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070731