KR100312046B1 - 2개이상의웨이퍼를동시에처리하기위한멀티데크식웨이퍼처리시스템및방법 - Google Patents

2개이상의웨이퍼를동시에처리하기위한멀티데크식웨이퍼처리시스템및방법 Download PDF

Info

Publication number
KR100312046B1
KR100312046B1 KR1019970016374A KR19970016374A KR100312046B1 KR 100312046 B1 KR100312046 B1 KR 100312046B1 KR 1019970016374 A KR1019970016374 A KR 1019970016374A KR 19970016374 A KR19970016374 A KR 19970016374A KR 100312046 B1 KR100312046 B1 KR 100312046B1
Authority
KR
South Korea
Prior art keywords
wafers
processing
wafer
chamber
chambers
Prior art date
Application number
KR1019970016374A
Other languages
English (en)
Other versions
KR970072001A (ko
Inventor
페어벤언 켄빈
신하 아사크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR970072001A publication Critical patent/KR970072001A/ko
Application granted granted Critical
Publication of KR100312046B1 publication Critical patent/KR100312046B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67271Sorting devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 반도체 웨이퍼 처리를 위하여 기술된 멀티덱 웨이퍼 처리 시스템에 관한 것이다. 상기 시스템은 클린룸 공간의 단위 면적당 보다 높은 웨이퍼 스루풋을 제공하기 위하여 하나가 다른 하나상에 적층된 적어도 2개의 처리 챔버를 포함한다. 상기 적층된 처리 챔버는 챔버 처리를 위하여 가압, 가스, 전기 및 제어 지지 장치를 공유하게 한다.

Description

멀티덱 웨이퍼 처리 시스템
본 발명은 반도체 웨이퍼를 처리하기 위한 장비 및 특히 2개 이상 수직적으로 정렬된 처리 챔버에서 동시적에 2개 이상 웨이퍼를 처리하기 위한 방법 및 장치에 관한 것이다.
집적 회로를 만들기 위한 반도체 웨이퍼 처리에 사용되는 다양한 기술 및 장치가 공지되어 있다. 그러한 처리를 수행하기 위하여 종래 제조 편리성("패브"로 공지된)의 상태는 플로우 영역의 수천 자승 피트의 "클린 룸"이 제공되는 전형적인 큰 빌딩 형태이다. 상기 클린 룸은 다양한 반도체 제조 처리가 수행되는 장비, 예를들면 웨이퍼 상에 도전체 또는 절연체 재료 증착을 위한 화학 증기 증착 장비, 웨이퍼로 불순물 주입을 위한 장비, 상기 웨이퍼를 가열하기 위한 노, 상기 웨이퍼로부터 재료를 제거하기 플라즈마 에칭을 포함한다.
최근의 종래 클린 룸과 비교하면, 오늘날 클린 룸은 상당히 청결하고, 클래스(1) 보다 적은 입자 밀도를 가진다. 그러한 낮은 입자 밀도는 클린룸에서의 공기를 정화하기 위하여 값비싼 장비, 및 모든 측면에서 특별한 주위를 요구한다. 단위 자승 피트 구조는 비용 및 유지비용이 높다.
집적 회로의 제조에 다른 경향은 단일 웨이퍼 처리 장비를 사용하는 것이다. 단일 웨이퍼 장비에서, 처리는 동시에 한 웨이퍼씩 수행된다. 웨이퍼 상에 필요한 처리는 챔버에서 수행되고, 그러면 상기 웨이퍼는 챔버 및 다음에 도입된 웨이퍼로부터 제거된다. 전형적으로, 그러한 단일 웨이퍼 처리 챔버는 개별적인 웨이퍼를 갖는 상기 챔버에 실을 수 있는 중앙 로봇 주위에 밀집된다. 단일 웨이퍼 처리의 사용은 전형적으로 8인치 직경, 미래에는 12인치를 갖는 전체 웨이퍼 양단에 더 제어가능한 처리를 만들므로서 보다 높은 산물을 만든다. 단일 웨이퍼 시스템에 의하여 만들어진 보다 높은 산물은 오늘날 반도체 산업에서 사용된 개선된 제조 편리성에 많이 사용하는 결과가 되었다.
본 발명은 단일 또는 다중 웨이퍼 처리 챔버를 사용가능하게 하고, 상기 장비에 의하여 소비된 클린룸 스페이스의 단위 면적당 그러한 시스템 전체 및 처리되는 웨이퍼당 보다 낮은 비용을 증가시킨다. 이것은 다른 하나 상에 하나를 수직적으로 처리 챔버를 적층함으로서 이룩된다. 카세트 또는 다른 수단을 저장하는 웨이퍼로부터 동시에 두개(이상) 웨이퍼를 전송함으로서, 상기 수직적으로 정렬된 처리 챔버는 동시적으로 동작할 수 있고, 그러므로서 클린룸 스페이스의 단위 면적당 단위 시간당 처리되는 웨이퍼의 수를 증가한다. 이것은 처리되는 웨이퍼당 비용을 낮춘다.
한 그룹의 웨이퍼를 저장하기 위한 웨이퍼 저장 메카니즘을 포함하는 본 발명의 바람직한 적어도 한 세트의 처리 챔버는 다른 하나 위에 하나가 적층된 적어도 2개의 챔버 및 웨이퍼 저장 메카니즘으로부터 적어도 2개의 챔버까지 웨이퍼를 이동하기 위한 웨이퍼 전송 챔버를 가진다.
본 발명의 다른 실시예에서, 한 웨이퍼 이상의 웨이퍼를 처리하기 위한 방법은 다른 하나위에 하나가 적층된 적어도 2개의 처리 챔버를 제공하고, 웨이퍼 저장 메카니즘에서의 다수의 웨이퍼를 저장하고, 웨이퍼 저장 메카니즘으로부터 적어도 2개의 웨이퍼를 제거하고, 적어도 각 2개의 웨이퍼를 상응하는 처리 챔버에 배치하고, 웨이퍼 상태를 변화하기 위하여 웨이퍼 상에 처리를 수행하고, 처리 단계에서 제거된 웨이퍼를 웨이퍼 저장 메카니즘으로 복귀하는 단계로 구성된다. 다른 실시예에서, 만약 요구된다면 웨이퍼 저장 메카니즘 그자체는 제 2로봇을 사용하여 웨이퍼 카세트로부터 웨이퍼를 공급할 수 있다.
도 1은 멀티데크 웨이퍼 처리 시스템의 단면도;
도 2는 도 1에 도시된 시스템의 평면도;
도 3은 도 1 및 도 2에 도시된 장치에 대하여 전형적인 진공, RF, 및 처리 가스 공급 시스템 및 제어 시스템을 나타내는 계략적인 도면; 및
도 4는 3가지 챔버가 수직적으로 정렬된 멀티데크 시스템의 단면도.
* 도면 주요 부분에 대한 부호의 설명*
10 : 웨이퍼 저장 메카니즘 20 : 웨이퍼 처리 챔버
30 : 웨이퍼 전송 챔버 50 : RF 발생기
82 : 조작기 제어 시스템 84 : 메모리
도 1은 멀티데크 처리 시스템의 단면도이다. 도 1에 도시된 시스템은 4개 내지 100웨이퍼 정도의 웨이퍼 그룹이 저장되는 웨이퍼 저장 메카니즘을 포함한다. 또한 영역(20)에 일반적으로 위치된 한 쌍의 웨이퍼 처리 챔버가 도 1에 도시된다. 아래에 설명될 바와같이, 한 쌍의 처리 챔버는 하나가 다른 하나 위에 배치된다. 각 챔버는 하나 이상의 웨이퍼를 처리 능력을 제공한다. 웨이퍼 처리 챔버(20) 및 웨이퍼 처리 메카니즘(10) 사이에 웨이퍼 전송 챔버(30)가 배치된다. 웨이퍼 전송 챔버(30)는 저장 메카니즘(10)으로부터 웨이퍼를 전송하기에 적절하고, 상기 웨이퍼들을 처리 챔버(20)에 놓는다. 상기 시스템의 각 엘리먼트는 아래에 더 상세히 기술될 것이다.
실리콘 웨이퍼 또는 다른 형태의 반도체 웨이퍼를 사용하는 집적회로의 제조에서, 상기 웨이퍼는 전형적으로 웨이퍼 카세트(12)의 처리 장치에 제공된다. 웨이퍼 카세트(12)는 상기 웨이퍼가 서로 인접한 슬롯에 배치된 플라스틱, 금속 또는 세라믹 재료를 사용한다. 각 슬롯은 인접한 웨이퍼로부터 공간적으로 떨어진 위치에서 각 웨이퍼를 유지하기 위한 돌출부를 포함한다. 로드락(15)은 개구가능한 도어(16) 및 카세트가 배치된 엘리베이터(17)를 포함한다. 상기 로드락은 한 측면상에 추가 개구부(18, 19)를 포함한다. 이러한 개구부는 로봇 암(32)을 상기 카세트에 도달하게 하고 웨이퍼(11)를 제거한다.
동작할 때, 카세트(12)는 밀봉된 로드락(15) 및 도어(16)에 배치된다. 동시에 도어(18, 19)는 밀봉될 것이다. 터빙, 또는 다른 적절한 상호접속 파이핑은 로드락(15)을 진공 펌퍼(도 3에 도시됨)에 결합한다. 상기 카세트가 도입되고 상기 도어(16)가 밀봉된 후에, 상기 진공 펌퍼는 맞물리고 로드락내의 압력은 웨이퍼 전송 챔버(30)의 압력과 상응하는 압력으로 줄어든다. 로봇 암(32)이 상기 카세트(12)로부터 웨이퍼를 이끌어내기 위하여 개구부(18, 19) 상의 도어가 개방된다. 반도체 제조 공정의 나머지는 로드락의 재개방의 필요성없이 수행된다. 웨이퍼의 전체 카세트가 처리되면, 상기 로드락은 대기압으로 배출되고; 도어(10)는 개방되고; 완성된 카세트는 제거되고; 새로운 카세트가 도입된다. 다른 실시예에서 언급된 시스템은 대기압 또는 대기압보다 높은 곳에서 처리를 수행한다. 그러한 경우에, 상기 진공 챔버는 상기 요구된 처리 압력이 체크된 다른 펌퍼에 의하여 대피될 수 있다.
언급한 바와같이, 일련의 처리 챔버(20)는 상기 웨이퍼 전송 챔버(30)에 결합된다. 이러한 처리 챔버는 도 1의 오른쪽에 도시되고 챔버(A1) 및 챔버(A2)로 표시된다. 비록 2개의 수직적으로 정렬된 처리 챔버가 도 1에 도시될라도, 가능한 많은 챔버가 수직적으로 적층될 수 있다는 것을 인식해야 한다. 예를들면, 3가지 수직적으로 정렬된 처리 챔버가 제공되는 실시예가 아래에 기술된다.
바람직한 실시예에서, 각 처리 챔버는 특정 반도체 처리 동작 또는 한 세트의 처리 동작을 수행하기에 적절하다. 예를들면, 상기 반도체 처리 챔버는 절연 또는 도전 재료가 웨이퍼 상에 증착되는 화학적인 증기 증착 동작을 수행하는데 사용될 수 있다. 다른 공지된 반도체 처리 쳄버는 상기 웨이퍼를 에칭, 전형적으로 포토레지스트 마스킹 층의 스로우 개구부에 대하여 사용된다. 물론, 어떤 적절한 반도체 동작은 플라즈마 증기 증착, 에피텍샬 층 증착과같은 이러한 챔버에서 수행될 수 있다. 기술된 바와같이, 그러한 동작의 선택은 본 명세서에 기술된 시스템의 내용에서 임의적이다. 전형적인 처리의 설명에 대하여, 도 1에 기술된 챔버는 화학 증기 증착 및 상기 웨이퍼가 처리되기 위하여 배치된 웨이퍼 가열기에 사용된"쇼워헤드"의 개략적인 모습을 포함한다.
수직적으로 정렬된 웨이퍼 처리 챔버(20) 및 상기 로드락(15) 사이에, 상기 웨이퍼 전송 챔버(30)가 제공된다. 상기 웨이퍼 전송 챔버는 일련의 요구된 위치로 아암(32)을 이동시키는 로봇(33)을 포함한다. 도 1에서 상기 암은 2개의 다른 위치로 도시된다. 도면의 왼쪽 측면상의 제 1위치에서, 상기 암은 카세트(12)로부터 2개의 웨이퍼(11)를 추출하기 위하여 정위치이다. (챔버당 한 웨이퍼가 처리되는 실시예에서, 상기 로봇은 하나 도는 몇몇 과정에서 다중 웨이퍼를 처리할 수 있다.) 지지 핑거(35)는 상기 웨이퍼 아래의 암(32)로부터 연장된다. 이러한 핑거는 인접한 웨이퍼 사이의 갭에 삽입되고, 웨이퍼의 무게가 암의 지지 핑거상에서 견디는 포인트에 약간 들어올려진다.
상기 동작이 계속됨으로서, 상기 암은 웨이퍼 전송 챔버(30)의 오른쪽 측면상에 일반적으로 도시된 제 2위치로 이동할 것이다. 상기 웨이퍼는 요구된 위치로 암(32)을 이동하고 핑거(35)를 지지하는 로봇(33)의 작동에 의하여 제 2위치로 배치된다. 이러한 위치에서, 상기 웨이퍼는 지지 핑거 상에 지지된다. 상기 웨이퍼가 상기 처리 챔버로 이동을 허용하기 위하여 개방되는 2개의 슬릿 밸브(22)에 대한 준비이다. 상기 로드락 및 웨이퍼 전송 챔버는 실질적으로 동일 압력에서 유지되고, 전송 동작의 진공 챔버의 최소 또는 전혀 사용하지 않는 것이 필요하다.
상기 웨이퍼가 웨이퍼 전송 챔버(30)의 오른쪽 측상에 도시된 위치에 있을 때, 상기 슬릿 밸브(22)는 개방될 수 있고 상기 웨이퍼는 로봇(33)을 사용하여 처리 챔버로 삽입된다. 만약 챔버가 단일 웨이퍼 챔버라면, 요구된 압력은 웨이퍼 상에서 수행된다. 궁극적으로, 상기 웨이퍼가 처리 챔버로부터 제거되고 카세트로 복귀한다. 다른 세트의 웨이퍼는 카세트로부터 제거되고 처리 챔버로 삽입된다. 이러한 처리는 카세터의 모든 웨이퍼가 처리될때까지 반복되고, 그러면 새로운 카세트가 도입되고 상기 처리가 다시 반복된다. 물론, 만약 다중 로드락이 이용된다면, 그러면 다른 로드락으로부터의 카세트가 웨이퍼의 소스로서 사용될 수 있고 한편 상기 기술된 로드락이 다시 채워진다.
동시에 2개 이상의 웨이퍼를 다루는 능력을 가진 단일 로봇의 사용이 바람직하지만, 어떤 실시예에서는 다중 로봇이 사용된다. 이것은 예를들면 2개의 다른 처리가 수행된다면, 상기 각 적층된 챔버의 하나를 서로 다른 시간에서 적층되는 상황에 대하여 유용하다.
도 2는 멀티데크 웨이퍼 처리 시스템의 평면도 이다. 도 2는 상부에서 볼 때의 시스템의 모습을 나타낸다. 도 2에 도시된 시스템에서, 카세트를 저장하고 상기 웨이퍼가 암(32) 및 지지체(35)에 의하여 상기 카세트로부터 제거되게하기 위하여 카세트를 위 아래로 이동시키기 위한 자신의 챔버를 가진 2개의 로드락(10)이 제공된다. 도시된 바와같이 상기 로드락은 상기 로봇이 위치된 웨이퍼 전송챔버(30)에 결합된다. 예를들면, 도 1에 도시된 웨이퍼 처리 챔버(A1,A2)는 도 2의 상부 부분에 도시되고, 한편 부가 챔버(24, 25)는 챔버(20)에 인접하여 도시된다. 상기 부가 챔버는 도 1에 도시된 적층된 쌍의 챔버를 포함한다. 예를들면, 챔버(25)는 수직적으로 정렬된 챔버(B1,B2)로 구성되고, 한편 챔버(24)는 수직적으로 정렬된 챔버(C1,C2)로 구성된다. 물론, 적층된 또는 단일의 다소의 챔버는 요구된 바와같이 포함할 수 있다. 또한 처리 챔버로부터 제거된 후 및 로드락으로 상기 카세트가 재도입되기전 상기 웨이퍼를 냉각하기 위하여 다중웨이퍼 냉각 챔버(28)가 도 2에 도시된다. 도 2에 도시된 바와같이, 기술된 전체 웨이퍼 처리 시스템의 자국은 웨이퍼 처리 챔버의 단일 타이어(tier)가 사용된 이러한 시스템의 크기와 동일하다. 그래서, 도 2에 도시된 바와같이, 6개의 처리 챔버는 3가지 처리 챔버를 갖는 종래 기술의 시스템과 동일한 패브 프로우의 동일 공간 영역에 제공된다.
도 2에 도시된 바와같은 2개의 로드락의 사용은 하나의 로드락이 처리 장치에 의하여 사용되기 위하여 활성 로드락으로 되게 하고, 한편 새로 공급된 웨이퍼는 다른 로드락으로 도입되고 압력은 요구된 레벨로 낮아진다. 제 1카세트가 제 1로그락으로부터 완전히 처리될 무렵, 제 2로드락의 제 2카세트는 처리를 위하여 준비된다. 이러한 방법에서, 상기 완성된 제 1카세트는 제 1로드락으로부터 제거될 수 있고, 제 3카세트는 처리를 위하여 도입된다. 이것은 시스템의 효율을 개선한다.
도 3은 본 명세서에 기술된 기술된 발명의 부가적인 장점을 나타낸다. 수직적으로 적층된 다중 챔버 시스템의 사용은 하나 이상의 무선 주파수 시스템, 진공 챔버 시스템, 처리 가스 시스템 및 제어 시스템을 공동 사용하게 한다. 즉, 이러한 시스템은 수직적으로 정렬된 챔버에 의하여 공유될 수 있다. 예를들면, 도 3에서 단일 진공 펌프 세트(40)가 바람직하게 제공된다. 이것은 단일 스로틀 밸브(44)를 통하여 챔버(A1,A2)에 결합된다. 다른 실시예에서, 진공 챔버 세트(40)가 2개의 스로틀 밸브(41, 42)를 통하여 2개의 챔버(A1,A2)에 하나가 다른 하나 위에 결합된다. 이러한 다른 실시예를 설명하기 위하여, 상기 2개의 스로틀 밸브는 점선으로 개략적으로 도시된다.
동작을 개선하기 위하여, 바람직한 실시예에서 분리 RF 발생기(53, 54)가 각 챔버에 대하여 하나씩 사용된다. 점선(56)으로 도시된 다른 실시예에서, 단일 RF 발생기(50)는 파워 스플리터(51)를 통하여 상기 2개의 챔버의 각각에 결합된다. 더욱이, 증착 도는 다른 처리 가스 및 청결 가스 단일 공급부(55)가 양 챔버에 의하여 제공되고 공유된다. 종래기술에서, 단일 RF 발생기는 각 챔버에 사용되고, 그것은 단일 진공 펌퍼 세트 및 단일 소스의 처리 가스이다. 어떤 또는 모든 이러한 지지 장치를 공유하는 능력은 유용하다.
멀티덱 단일 웨이퍼 처리 시스템을 제어하기 위하여 제어 시스템(80)이 도 3에 개략적으로 기술된다. 제어 시스템(80)은 동작기 제어 시스템(82) 및 메모리(84)에 결합된 프로세스(81)를 포함한다. 프로세서(81) 및 동작기 제어 시스템(82)은 공지된 콤포넌트로 구성된다. 상기 웨이퍼 처리 시스템의 동작기는 전형적으로 동작기 제어 시스템(82)에서의 키보드 또는 다른 장치를 사용하여 명령한다. 이러한 명령하에서, 상기 프로세서(81)는 요구된 웨이퍼 처리 단계를 수행하기 위하여 메모리(84)에 저장된 상기 프로그램 및 데이터를 사용할 것이다.
바람직한 실시예에서, 상기 프로세서(81)는 적절한 인터페이스(87)를 통하여 웨이퍼 처리 시스템에 명령을 제공하기 위하여 접속된다. 인터페이스(87)는 차례로 상기 프로세서(81)가 진공 시스템에 요구된 명령을 제공하도록 하고 프로세서로부터 정보를 수신하는 버스 또는 다른 접속부(88)를 통하여 진공 시스템에 접속된다. 다른 유사한 상호 접속부(89, 92 및 95)는 상기 프로세서가 RF 발생기, 처리 가스 시스템, 웨이퍼 이동하는 로봇을 제어하게 한다. 물론, 센서는 접속부(94)를 통하여 프로세서로 정보를 제공할 수 있고 시스템 인터페이스로 제공할 수 있다. 기술된 방법에서, 상기 제어 시스템(80)은 멀티데크 단일 웨이퍼 처리 시스템의 동작을 제어할 수 있다.
도 4는 3가지 처리 챔버(A1,A2및A3)가 다른 하나 위에 하나가 배치되는 본 발명의 다른 실시예를 나타낸다. 도 4에 도시된 콤포넌트는 도 1에 관련하여 기술된 것과 상응하지만, 부가적인 처리 챔버(A3)가 제공된다. 부가적으로, 큰 로드락에 의하여 요구된 펌핑 및 배출 시간을 줄이기 위하여, 한 그룹의 적은 로드락(61, 62, 63)이 사용된다. 이러한 로드락은 카세트보다 적은 웨이퍼 예를들면 2개의 웨이퍼를 포함한다. 제 2웨이퍼의 처리 동안에, 상기 제 1웨이퍼를 냉각하고 카세트로 복귀하고, 제 3웨이퍼가 도입된다. 보다 작은 로드락 챔버가 상기 요구된 낮은 압력 레벨로 더 빠르게 펌핑될 수 있기 때문에, 보다 작은 카세트의 사용은 외부 로봇(도시되지 않았음) 및 카세트에 의해 서비싱이 허용된다. 이러한 방법에서 상기 웨이퍼의 냉각은 상기 시스템의 전체를 변화시키지 않고 개별적인 로드락에서 발생한다.
본 명세서에 도시된 도면과 함께 기술된 바와같이 멀티덱 시스템의 한 관심은 챔버의 서비싱이다. 전형적인 웨이퍼 처리 시스템에서, 상기 챔버의 상부는 챔버의 내부의 청소하기 위하여 제거될 수 있다. 그것은 보다 낮은 챔버로 실행할 수 없다. 그 결과, 본 명세서에 기술된 시스템의 바람직한 실시예에서, 상부 챔버가 상기 챔버는 양쪽이 서비스되는 보다 낮은 챔버로부터 선회할 수 있거나 또는 제거될 수 있도록 상기 챔버가 힌지된다. 선택적으로, 상기 챔버는 웨이퍼 전송 챔버로부터 제거 가능할 수 있다. 전형적으로, 상기 챔버는 상기 멀티덱 챔버는 고정되거나 또는 웨이퍼 전송 챔버에 접속되고, 상기 웨이퍼 전송 챔버로부터 제거될 수 있는 레일, 스윙 암, 힌지 또는 다른 지지 수단 상에 위치된다. 동작 요구에 따라서, 여분 처리 챔버는 챔버가 청소되기 위하여 대치될 수 있고, 또는 다른 챔버가 제거, 청소 및 그러면 복귀될 수 있다.
본 발명의 시스템은 단일 웨이퍼 시스템의 전체 스루풋을 2배 또는 3배 또는 더 증가될 수 있고, 그러나 부가 프로우 영역이 텝에서 소비되지 않는다. 더욱이, 상기 시스템은 한 그룹의 단일 처리 챔버를 가스라인, 전력 공급, 진공 펌퍼 및 다른 소비적인 장비를 공유하게 한다. 동시에, 단일 웨이퍼 처리 장비로 사용될 때,본 발명의 상기 시스템은 만약 문제가 발생하면 오직 한 웨이퍼가 파괴되는 더 적절한 처리 상태를 야기하는 개별적인 웨이퍼의 처리를 허용한다.
기술된 시스템은 다중 웨이퍼가 단일 챔버에서 처리되는 종래 기술 웨이퍼 처리 시스템 및 종래 기술 다중 웨이퍼 처리 시스템에 대하여 많은 장점을 가지고 있다. 2개 이상의 웨이퍼를 다루는 것은 전체 시스템의 풋프린트를 증가시키지 않고 스루풋을 2배로 한다. 상기 RF을 사용하여, 가스 배달, 진공 스플릿트 기술은 챔버에 대하여 보다 낮은 가격을 제공하고, 그러나 동시에 단일 웨이퍼 처리의 품질 및 신뢰성을 제공한다.
본 발명의 바람직한 실시예의 앞선 설명은 본 발명의 실행의 목적으로 제공된다. 상기 설명은 철저하지 않지만, 기술된 형태를 정확히 하기 위하여 본 발명이 한정되는 것이다. 비록 바람직한 실시예가 각 처리 챔버에서 동시에 단일 웨이퍼를 처리하기 위하여 제공되었다 할지라도, 웨이퍼 그룹이 본 발명의 범위에 벗어나지 않고 단일 웨이퍼에서 동시적으로 처리될 수 있다. 다양한 변형 및 변화가 본 발명의 기술에서 가능하다.
본 발명은 반도체 웨이퍼를 처리하기 위한 장비 및 특히 2개 이상 수직적으로 정렬된 처리 챔버에서 동시적에 2개 이상 웨이퍼를 처리한다.

Claims (10)

  1. 반도체 웨이퍼를 처리하기 위한 시스템에 있어서,
    처리될 다수의 웨이퍼를 저장하기 위한 로드록 챔버,
    2 이상의 처리 챔버 세트로서, 각 세트는 하나가 다른 하나 위에 적층된 2개 이상의 웨이퍼 처리 챔버들을 가지며, 각 챔버는 그 안에서 웨이퍼를 처리하기 위한 별개의 시스템을 포함하는 처리 챔버 세트, 및
    상기 로드록 챔버로부터 상기 2 이상의 처리 챔버 세트로 웨이퍼를 이송하기 위해, 2 이상의 웨이퍼를 로드록 챔버로부터 처리 챔버들로 동시에 이동시킬 수 있는 웨이퍼 취급 시스템을 포함하는 웨이퍼 이송 챔버를 포함하며,
    화학 기상 증착, 물리 기상 증착, 에피택셜 증착 공정으로 이루어지는 다른 처리 공정이 한 세트 내의 각 처리 챔버에서 서로 다른 처리 챔버에 대해 비동기적으로 수행되는 시스템.
  2. 제 1 항에 있어서, 상기 처리 시스템은, 한 번의 동작으로, 2개 이상의 웨이퍼를 로드록 챔버로부터 꺼내고 이들 2개 이상의 웨이퍼를 각각 별개로 각각 별개의 처리 챔버에 위치시키는 로봇을 포함하는 시스템.
  3. 제 2 항에 있어서, 상기 각 처리 챔버들 중 각각이 단 하나의 웨이퍼만을 처리하기 위한 챔버를 포함하는 시스템.
  4. 제 1 항에 있어서, 상기 각 챔버 내에 원하는 압력을 형성하기 위해 상기 처리 챔버들 각각이 펌핑 시스템에 결합되는 시스템.
  5. 제 4 항에 있어서, 상기 펌핑 시스템은, 처리 챔버들에 의해 공유되는 공통의 진공 펌핑 세트를 포함하는 시스템.
  6. 제 5 항에 있어서, 상기 처리 챔버들 중 각각이 하나의 웨이퍼만을 처리하기 위한 챔버를 포함하는 시스템.
  7. 반도체 웨이퍼를 처리하기 위한 시스템에 있어서,
    처리될 다수의 웨이퍼를 카세트에 저장하기 위한 로드록 챔버,
    하나 위에 다른 하나가 적층된 한 쌍 이상의 웨이퍼 처리 챔버들로서, 각 챔버는 다른 챔버와 서로 다른 공정을 사용하여 웨이퍼를 처리하기 위한 별개의 시스템을 가지며, 상기 서로 다른 공정은 화학 기상 증착, 물리 기상 증착 또는 에피택셜 증착 공정으로 이루어지는, 한 쌍 이상의 웨이퍼 처리 챔버들.
    웨이퍼를 상기 로드록 챔버로부터 제거하여 한 쌍 이상의 처리 챔버들로 이송하기 위해 2 이상의 웨이퍼를 로드록 챔버로부터 처리 챔버들로 동시에 이동시킬 수 있는 웨이퍼 취급 시스템을 포함하는 웨이퍼 이송 챔버를 포함하는 시스템.
  8. 제 7 항에 있어서, 상기 취급 시스템은, 한 번의 동작으로, 2개 이상의 웨이퍼를 로드록 챔버 내의 카세트로부터 꺼내고 이들 2개 이상의 웨이퍼를 각각 별개로 상기 한 쌍 이상의 처리 챔버 중 각각 별개의 챔버에 위치시키는 로봇을 포함하는 시스템.
  9. 2개 이상의 웨이퍼를 동시에 처리하기 위한 방법에 있어서,
    하나 위에 다른 하나가 배열된 2개 이상의 웨이퍼 처리 챔버들을 제공하는 단계와,
    다수의 웨이퍼를 웨이퍼 저장 기구 내의 카세트에 저장하는 단계와,
    상기 웨이퍼 저장 기구 내의 카세트로부터 2개 이상의 웨이퍼를 제거하는 단계와,
    상기 2 개 이상의 웨이퍼 중 하나 이상의 웨이퍼를 대응되는 각 처리 챔버 내에 위치시키는 단계와,
    상기 웨이퍼의 상태를 변경시키기 위해, 화학 증기 증착 공정, 플라즈마 증기 증착 공정 및 에피택셜 증착 공정으로 이루어지는 다른 반도체 제조 공정들을 수행하는 단계와,
    상기 2개 이상의 웨이퍼 중 하나 이상의 웨이퍼를 대응되는 각 처리 챔버로부터 제거하는 단계와, 그리고
    전 단계에서 제거된 웨이퍼를 이후 상기 웨이퍼 저장 기구 내의 카세트로 복귀시키는 단계를 포함하는 방법.
  10. 제 9 항에 있어서, 상기 웨이퍼를 제거하는 단계가, 2 이상의 웨이퍼를 동시에 카세트로부터 웨이퍼 저장기구로 이동시키기 위해 로봇 기구를 사용하는 단계를 포함하는 방법.
KR1019970016374A 1996-04-30 1997-04-30 2개이상의웨이퍼를동시에처리하기위한멀티데크식웨이퍼처리시스템및방법 KR100312046B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/644,636 US6176667B1 (en) 1996-04-30 1996-04-30 Multideck wafer processing system
US08/644,636 1996-04-30

Publications (2)

Publication Number Publication Date
KR970072001A KR970072001A (ko) 1997-11-07
KR100312046B1 true KR100312046B1 (ko) 2003-11-28

Family

ID=24585753

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970016374A KR100312046B1 (ko) 1996-04-30 1997-04-30 2개이상의웨이퍼를동시에처리하기위한멀티데크식웨이퍼처리시스템및방법

Country Status (4)

Country Link
US (1) US6176667B1 (ko)
JP (1) JPH1055972A (ko)
KR (1) KR100312046B1 (ko)
TW (1) TW376376B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100794649B1 (ko) 2006-03-02 2008-01-14 브룩스오토메이션아시아(주) 로드락 챔버
WO2013019063A2 (ko) * 2011-08-02 2013-02-07 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR101874020B1 (ko) * 2010-04-16 2018-07-04 유제누스 인크. 복수 개의 공정 챔버들에서 복수 개의 반도체 층들을 동시에 증착하는 장치 및 방법

Families Citing this family (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
JP2002504744A (ja) * 1997-11-28 2002-02-12 マットソン テクノロジイ インコーポレイテッド 真空処理を行う非加工物を、低汚染かつ高処理能力で取扱うためのシステムおよび方法
CA2320278C (en) * 1998-02-12 2006-01-03 Acm Research, Inc. Plating apparatus and method
US6598279B1 (en) * 1998-08-21 2003-07-29 Micron Technology, Inc. Multiple connection socket assembly for semiconductor fabrication equipment and methods employing same
JP2965038B1 (ja) 1998-09-21 1999-10-18 日新電機株式会社 真空処理装置
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
JP2000306978A (ja) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd 基板処理装置、基板搬送装置、および基板処理方法
US6350097B1 (en) * 1999-04-19 2002-02-26 Applied Materials, Inc. Method and apparatus for processing wafers
TW501196B (en) * 1999-08-05 2002-09-01 Tokyo Electron Ltd Cleaning device, cleaning system, treating device and cleaning method
US6410455B1 (en) * 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6320402B1 (en) * 2000-02-03 2001-11-20 Advanced Micro Devices Inc Parallel inspection of semiconductor wafers by a plurality of different inspection stations to maximize throughput
US6919001B2 (en) * 2000-05-01 2005-07-19 Intevac, Inc. Disk coating system
US6745783B2 (en) * 2000-08-01 2004-06-08 Tokyo Electron Limited Cleaning processing method and cleaning processing apparatus
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
KR100422467B1 (ko) * 2001-05-09 2004-03-12 삼성전자주식회사 반도체장치 제조설비
TW588403B (en) * 2001-06-25 2004-05-21 Tokyo Electron Ltd Substrate treating device and substrate treating method
JP2003037107A (ja) * 2001-07-25 2003-02-07 Tokyo Electron Ltd 処理装置及び処理方法
AU2002343583A1 (en) * 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
US7351291B2 (en) * 2002-02-20 2008-04-01 Tokyo Electron Limited Semiconductor processing system
JP2003293134A (ja) * 2002-04-09 2003-10-15 Tdk Corp 薄膜形成装置および方法、および当該装置を用いた電子部品の製造方法
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6790777B2 (en) * 2002-11-06 2004-09-14 Texas Instruments Incorporated Method for reducing contamination, copper reduction, and depositing a dielectric layer on a semiconductor device
KR100480826B1 (ko) * 2002-12-11 2005-04-07 엘지.필립스 엘시디 주식회사 액정표시장치의 배향막 형성장치
US20040141832A1 (en) * 2003-01-10 2004-07-22 Jang Geun-Ha Cluster device having dual structure
CN101094933A (zh) * 2003-08-29 2007-12-26 交叉自动控制公司 用于半导体处理的方法和装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7621714B2 (en) * 2003-10-23 2009-11-24 Tdk Corporation Pod clamping unit in pod opener, pod corresponding to pod clamping unit, and clamping mechanism and clamping method using pod clamping unit
US7500822B2 (en) * 2004-04-09 2009-03-10 Edwards Vacuum, Inc. Combined vacuum pump load-lock assembly
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US7611322B2 (en) * 2004-11-18 2009-11-03 Intevac, Inc. Processing thin wafers
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US7699021B2 (en) * 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7819079B2 (en) * 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7798764B2 (en) * 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7651306B2 (en) * 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
JP4990160B2 (ja) * 2004-12-22 2012-08-01 アプライド マテリアルズ インコーポレイテッド 基板を処理するクラスタツールアーキテクチャ
US20060182535A1 (en) * 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
US7374391B2 (en) * 2005-12-22 2008-05-20 Applied Materials, Inc. Substrate gripper for a substrate handling robot
KR100737716B1 (ko) * 2005-05-26 2007-07-10 주식회사 에이디피엔지니어링 플라즈마 처리장치
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
KR100720989B1 (ko) * 2005-07-15 2007-05-28 주식회사 뉴파워 프라즈마 멀티 챔버 플라즈마 프로세스 시스템
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
WO2007150009A1 (en) * 2006-06-23 2007-12-27 Gsi Group Corporation System and method for semiconductor wafer processing
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7694688B2 (en) 2007-01-05 2010-04-13 Applied Materials, Inc. Wet clean system design
US20080166210A1 (en) * 2007-01-05 2008-07-10 Applied Materials, Inc. Supinating cartesian robot blade
US7950407B2 (en) * 2007-02-07 2011-05-31 Applied Materials, Inc. Apparatus for rapid filling of a processing volume
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US20080202410A1 (en) * 2007-02-27 2008-08-28 Smith John M Multi-substrate size vacuum processing tool
US20080202687A1 (en) * 2007-02-27 2008-08-28 Smith John M Stacked process chambers for flat-panel display processing tool
US20080202417A1 (en) * 2007-02-27 2008-08-28 Smith John M Self-contained process modules for vacuum processing tool
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20080202892A1 (en) * 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
US20080202420A1 (en) * 2007-02-27 2008-08-28 Smith John M Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
US20080202686A1 (en) * 2007-02-27 2008-08-28 Smith John M Self-contained process modules for magnetic media processing tool
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
JP5006122B2 (ja) * 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP5128918B2 (ja) 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
JP5318403B2 (ja) 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
JP5160204B2 (ja) * 2007-11-30 2013-03-13 株式会社Sokudo 基板処理装置
JP5179170B2 (ja) * 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) * 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
DE102008026314B4 (de) * 2008-05-31 2010-07-22 Roth & Rau Ag Vakuumanlage mit mindestens zwei Vakuumkammern und einer Schleusenkammer zwischen den Vakuumkammern
CN101351076B (zh) * 2008-09-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备
KR101205242B1 (ko) * 2010-04-30 2012-11-27 주식회사 테라세미콘 플라즈마 처리 장치
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2012017653A1 (ja) * 2010-08-06 2012-02-09 東京エレクトロン株式会社 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法
US20120058630A1 (en) * 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9177842B2 (en) 2011-08-10 2015-11-03 Applied Materials, Inc. Degassing apparatus adapted to process substrates in multiple tiers with second actuator
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9997384B2 (en) * 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
KR101680950B1 (ko) * 2012-02-16 2016-11-29 쌩-고벵 글래스 프랑스 코팅된 기판을 처리하기 위한 처리 박스, 장치 및 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
KR101484553B1 (ko) * 2013-10-24 2015-01-20 주식회사 테라세미콘 클러스터형 기판처리 장치
CN104616955B (zh) * 2013-11-04 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子体加工设备
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
EP2876674A1 (de) 2013-11-25 2015-05-27 Roth & Rau AG Vorrichtung zur Rückgewinnung von Inertgas aus Schleusenkammern
KR20150060086A (ko) * 2013-11-25 2015-06-03 주식회사 테라세미콘 클러스터형 배치식 기판처리 시스템
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9916995B2 (en) * 2014-02-24 2018-03-13 Lam Research Corporation Compact substrate processing tool with multi-station processing and pre-processing and/or post-processing stations
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6463220B2 (ja) * 2015-05-21 2019-01-30 東京エレクトロン株式会社 処理システム
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9564350B1 (en) * 2015-09-18 2017-02-07 Globalfoundries Inc. Method and apparatus for storing and transporting semiconductor wafers in a vacuum pod
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN109417030A (zh) * 2016-08-01 2019-03-01 朗姆研究公司 高深宽比圆筒蚀刻的沉积侧壁钝化技术
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
WO2018051463A1 (ja) * 2016-09-15 2018-03-22 堺ディスプレイプロダクト株式会社 基板処理装置
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP6844263B2 (ja) * 2017-01-05 2021-03-17 東京エレクトロン株式会社 基板処理装置
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
DE102020110570A1 (de) 2020-04-17 2021-10-21 Aixtron Se CVD-Verfahren und CVD-Reaktor mit austauschbaren mit dem Substrat Wärme austauschenden Körpern

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04240721A (ja) * 1991-01-25 1992-08-28 Sony Corp マルチチャンバプロセス装置
KR950704804A (ko) * 1992-12-04 1995-11-20 투그룰, 야살 웨이퍼 처리 방법 및 그 장치(Wafer processing machine vacuum front end method and apparatus)

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE7710800L (sv) 1976-10-05 1978-04-06 Western Electric Co Forfarande for astadkommande av ett epitaxiellt skikt pa ett substrat
US4530750A (en) * 1981-03-20 1985-07-23 A. S. Laboratories, Inc. Apparatus for coating optical fibers
JPS6362233A (ja) * 1986-09-03 1988-03-18 Mitsubishi Electric Corp 反応性イオンエツチング装置
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
ES2043970T3 (es) 1988-07-15 1994-01-01 Balzers Hochvakuum Dispositivo de fijacion para un disco, asi como su aplicacion.
US5001594A (en) 1989-09-06 1991-03-19 Mcnc Electrostatic handling device
FR2656598B1 (fr) * 1989-12-29 1992-03-27 Commissariat Energie Atomique Dispositif de chargement et de dechargement d'objets plats dans une cassette de rangement.
US5310410A (en) * 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
US5099571A (en) 1990-09-07 1992-03-31 International Business Machines Corporation Method for fabricating a split-ring electrostatic chuck
JP2924141B2 (ja) * 1990-09-14 1999-07-26 松下電器産業株式会社 ワイヤボンディングの前工程における基板のプラズマクリーニング装置
US5166856A (en) 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5297910A (en) * 1991-02-15 1994-03-29 Tokyo Electron Limited Transportation-transfer device for an object of treatment
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5382803A (en) 1991-05-28 1995-01-17 Tokyo Electron Limited Ion injection device
JP3309997B2 (ja) * 1991-09-05 2002-07-29 株式会社日立製作所 複合処理装置
US5275709A (en) * 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
US5315473A (en) 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
US5431737A (en) 1992-02-04 1995-07-11 Genus, Inc. Interchangeable CVD chuck surface
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
US5303671A (en) * 1992-02-07 1994-04-19 Tokyo Electron Limited System for continuously washing and film-forming a semiconductor wafer
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
JPH06104326A (ja) * 1992-09-18 1994-04-15 Tokyo Electron Ltd 処理システム
US5292554A (en) 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
KR100279763B1 (ko) 1992-11-12 2001-03-02 조셉 제이. 스위니 저열팽창 클램프 장치 및 클램핑 방법
JP3218488B2 (ja) * 1993-03-16 2001-10-15 東京エレクトロン株式会社 処理装置
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
CH687986A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Plasmabehandlungsanlage und Verfahren zu deren Betrieb.
US5452510A (en) 1993-12-20 1995-09-26 International Business Machines Corporation Method of making an electrostatic chuck with oxide insulator
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
US5486975A (en) 1994-01-31 1996-01-23 Applied Materials, Inc. Corrosion resistant electrostatic chuck
US5491603A (en) 1994-04-28 1996-02-13 Applied Materials, Inc. Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
JP3196917B2 (ja) * 1994-06-17 2001-08-06 大日本スクリーン製造株式会社 基板処理装置
US5826129A (en) * 1994-06-30 1998-10-20 Tokyo Electron Limited Substrate processing system
JP3033009B2 (ja) * 1994-09-09 2000-04-17 東京エレクトロン株式会社 処理装置
JP3143770B2 (ja) * 1994-10-07 2001-03-07 東京エレクトロン株式会社 基板搬送装置
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04240721A (ja) * 1991-01-25 1992-08-28 Sony Corp マルチチャンバプロセス装置
KR950704804A (ko) * 1992-12-04 1995-11-20 투그룰, 야살 웨이퍼 처리 방법 및 그 장치(Wafer processing machine vacuum front end method and apparatus)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100794649B1 (ko) 2006-03-02 2008-01-14 브룩스오토메이션아시아(주) 로드락 챔버
KR101874020B1 (ko) * 2010-04-16 2018-07-04 유제누스 인크. 복수 개의 공정 챔버들에서 복수 개의 반도체 층들을 동시에 증착하는 장치 및 방법
WO2013019063A2 (ko) * 2011-08-02 2013-02-07 유진테크 에피택셜 공정을 위한 반도체 제조설비
WO2013019063A3 (ko) * 2011-08-02 2013-04-04 유진테크 에피택셜 공정을 위한 반도체 제조설비

Also Published As

Publication number Publication date
KR970072001A (ko) 1997-11-07
US6176667B1 (en) 2001-01-23
JPH1055972A (ja) 1998-02-24
TW376376B (en) 1999-12-11

Similar Documents

Publication Publication Date Title
KR100312046B1 (ko) 2개이상의웨이퍼를동시에처리하기위한멀티데크식웨이퍼처리시스템및방법
KR102656329B1 (ko) 최적화된 저 에너지/고 생산성 디포지션 시스템
US6484414B2 (en) Vacuum processing apparatus
US9818633B2 (en) Equipment front end module for transferring wafers and method of transferring wafers
US6913652B2 (en) Gas flow division in a wafer processing system having multiple chambers
US20050136591A1 (en) Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices
JP2008520837A (ja) ウエハファブ
US6451118B1 (en) Cluster tool architecture for sulfur trioxide processing
US6852644B2 (en) Atmospheric robot handling equipment
KR20230010799A (ko) 시스템 생산성을 개선하기 위한 플랫폼 아키텍처
US20020137346A1 (en) Workpiece distribution and processing in a high throughput stacked frame
US11538705B2 (en) Plasma processing system and operating method of the same
JPH05198660A (ja) 半導体製造装置
JP3438826B2 (ja) 処理装置及びその使用方法
KR100566697B1 (ko) 반도체 소자 제조용 멀티 챔버 시스템 및 이를 이용한반도체 소자의 제조방법
WO2002071453A1 (en) Automatic continue wafer processing system and methof for using the same
USRE39775E1 (en) Vacuum processing operating method with wafers, substrates and/or semiconductors
JPH05129240A (ja) 半導体製造装置
JP2023516065A (ja) 基板処理ツール用の直線配置
JPH08213443A (ja) 半導体製造装置
WO2002075789A1 (en) Automatic continue wafer processing system and method for using the same
WO2002075788A1 (en) Automatic continue wafer processing system and method for using the same
WO2002078066A1 (en) Automatic continue wafer processing system and method for using the same
JP2003309113A (ja) 半導体製造装置
JP2009064874A (ja) 真空処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20140929

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee