TWI352381B - A semiconductor junction formation process includi - Google Patents

A semiconductor junction formation process includi Download PDF

Info

Publication number
TWI352381B
TWI352381B TW095117366A TW95117366A TWI352381B TW I352381 B TWI352381 B TW I352381B TW 095117366 A TW095117366 A TW 095117366A TW 95117366 A TW95117366 A TW 95117366A TW I352381 B TWI352381 B TW I352381B
Authority
TW
Taiwan
Prior art keywords
wafer
layer
plasma
light absorbing
source
Prior art date
Application number
TW095117366A
Other languages
English (en)
Other versions
TW200717613A (en
Inventor
Kartik Ramaswamy
Hiroji Hanawa
Biagio Gallo
Kenneth S Collins
Kai Ma
Vijay Parihar
Dean Jennings
Abhilash J Mayur
Amir Al-Bayati
Andrew Nguyen
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200717613A publication Critical patent/TW200717613A/zh
Application granted granted Critical
Publication of TWI352381B publication Critical patent/TWI352381B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Recrystallisation Techniques (AREA)

Description

1352381 九、發明說明: 【發明所屬之技術領域】 本發明係關於包含光吸收層之低溫電漿沈積步驟 速光學退火步驟的半導體接面形成方法。 【先前技術】 形成在晶態半導體晶圓上的高速積體電路具有許 淺半導體接面,其係藉由將摻雜物離子植入源極及汲 域的方式形成。植入的摻雜物並由高溫退火步驟活化 大量的植入原子於晶態半導體晶格中具可替換性。前 離子植入退火步驟係使用高效能的燈具作快速熱 (Rapid Thermal Process, RTP)來完成,以將整個晶圓 加熱至非常高溫一段短暫的時間(例如,上升速率每秒 氏100-200度,且最初下降率每秒攝氏50-100度)。 時間必須夠短,以避免摻雜物於半導體晶圓之摻雜位 熱引生擴散劣化經摻雜的接面。此快速熱處理方法對 前後離子植入退火技術(需於爐中長時間加熱晶圓)而 一種相當顯著的進步。使用燈具的快速熱處理相當有 原因在於,熱源(即燈爐)的反應時間比起退火步驟中 爐(具相當慢的加熱器反應時間)為短。故快速熱處理 的高溫、短加熱時間便有利於活化植入摻雜物,同時 化熱引生的擴散。 另有一種改良的退火方式,係藉由利用高效能閃 的閃光燈(fl a s h 1 amp )退火處理,以將整個晶圓的表1 與快
多超 極區 ,使 述後 處理 體積 約攝 加熱 置的 於先 —θ a 疋 效的 的燈 方法 最小 光燈 & (僅 6 1352381
有表面部分)加熱至非常高溫一段短暫的時間,例如幾毫 秒。加熱時間必須夠短,以避免摻雜物於半導體晶圓之摻 雜位置的熱引生擴散劣化經摻雜的接面。此閃光方法對於 快速熱處理步驟而言是一種相當顯著的進步,因晶圓的主 體可作為一個散熱片(h e a t s i n k)並快速冷卻熱的晶圓表 面。使用閃光燈的高速退火較具效力的原因在於,可將加 熱侷限在晶圓表面;反之快速熱處理退火步驟會讓晶圓整 個體積加熱至幾乎與退火溫度相同。短時間處於閃燈方法 的高溫下可將熱引生擴散的影響降至最低。然而,一般卻 難以將整個晶圓均勻加熱。晶圓内若有越大的熱度不均 勻,會造成明顯的機械應力並導致晶圓破損,且將使用閃 光燈的退火的最高操作溫度限制在攝氏約1 1 5 0度。閃光燈 退火期間的表面溫度可由閃光燈的強度及閃動時間來決 定,然其卻難以重複地對一晶圓與下一晶圓進行控制。
快速熱處理的問題之一在於,當元件尺寸縮減至 6 5 奈米(n m)或以下時,快速熱處理或閃光加熱雖僅有些微的 熱擴散,然而儘管快速熱處理或閃光加熱的時間很短暫, 但對元件尺寸而言卻是相當明顯。另一問題在於所植入之 摻雜物的活化程度會受限於快速熱處理或閃光處理的最大 溫度。於快速熱處理製程中將整個晶圓體積加熱至最大溫 度以上(亦即,攝氏1 1 0 0度)會在晶圓中形成機械應力,在 絕大多數情況下會致使晶格缺陷及晶圓破損。將晶圓溫度 限制在一最大程度(例如,攝氏 1 1 0 0度)雖可避免前述破 損,但也不幸的限制了已活化(亦即,於半導體晶態晶格中 7 1352381 2 Ο 0 埃)。
L
前述問題已利用二極體雷射陣列克服,其多重的平行 雷射束可沿著窄線(例如,約3 0 0微米寬)聚焦,其中窄線 具有晶圓直徑或半徑等級的長度。二極體雷射波長約為 8 1 0奈米。此波長所對應的光能超過半導體晶體(矽)的能 隙,使得雷射能量激發價帶與導電帶間的電子躍遷,進而 將所吸收的能量釋放至晶格而提升晶格溫度。窄的雷射束 線可橫向掃過整個晶.圓表面(例如,以約 3 0 0 m m /秒的速 率),以使晶圓表面上各點暴露非常短的時間(例如,約1 毫秒)。此種退火方式已描述在Dean C. Jennings等人美國 專利公開號第US2003/0196996A1(2003年10月23曰)中。 以寬的細雷射線掃瞄該晶圓會比以筆狀的單一雷射束點掃 瞄來得快,以使產量較高,接近快速熱處理的產量。不過, 與快速熱處理不同的是,僅有小部分的晶圓會被加熱,故 應力會釋放到其餘的(即主要的)晶圓部分,而讓峰值溫度 增力η到最大的快速熱處理溫度以上(例如,約攝氏 1 2 5 0 - 1 3 0 0度)。在雷射掃瞄退火期間整個晶圓體積也可預 熱,以改善退火特性。最大的預熱溫度可以由技術節點 (t e c h η 〇丨〇 g y η 〇 d e s )、製程規範 '與半導體材料的相容性等 予以界定。因此,摻雜物的活性較高,使得片電阻率較低, 且元件速度較高。晶圓表面各區域會達攝氏約 1 2 5 0 - 1 3 0 0 度的溫度範圍約5 0毫秒。此區域的深度約1 0 - 2 0微米,延 伸到約2 0 0埃的超淺半導體接面以下。 晶圓表面必須加熱到最低溫度(例如,攝氏1 2 5 0度) 9 1352381
以上,以達植入(摻雜)原子所欲的活化程度。所提升 度也需退火其他晶格損傷及任何先前植入或熱步驟所 缺陷,以改善接面的電性(例如其導電性及電:¾漏)。 表面必須保持在最大溫度以下(例如,攝氏1 3 5 0度), 免達半導體晶體的熔點(例如,晶體或多晶矽)。為將 晶圓表面均勻加熱在所欲溫度範圍内,晶圓表面的光 必須均勻地遍布晶圓,且晶圓表面經照射部分的表面 必須正確地監控,同時使雷射束線掃過晶圓(以確保精 制溫度:)。此可藉由測量晶圓表面被加熱部分的光發散 式(通常以與雷射光源不同的波長為之),且測量必須 一致正確。如此說明書中所使用,名詞「光」意指由光: 如雷射)所發散光的任何波長或可以紅外線或可看見 應用紫外線或由加熱晶圓表面所發散的電磁波輻射。 然問題在於,形成在晶圓表面上的下方薄膜結構 現不同的光吸收特性,以及晶圓表面上不同位置的不 發射率。故若可在整個晶圓表面達到均勻的退火溫度 晶圓表面有均勻正確的溫度測量將會使其更為困難。 決此問題可藉由在整個晶圓表面上沈積均勻的光吸收 以均勻吸收雷射發散並接著將熱導至下方半導體晶圓 式為之。此種薄膜必須能抵抗雷射退火步驟期間的熱 而不會損傷或分隔(s e p a r a t i ο η),且必須可在進行與下 關的雷射退火步驟後選擇性地移除,且必須不會污染 傷下方半導體晶圓或薄膜特徵。此外,吸收薄膜必須 方薄膜特徵上有優異的階梯覆蓋率(高度保形性)。此 的溫 致的 晶圓 以避 整個 吸收 溫度 確控 的方 均勻 承(例 或可 會呈 同光 ’且 然解 層, 的方 應力 層有 或損 在下 種薄 10 1352381 膜的的一個優點在於薄膜中的橫向熱傳導可遮蔽光束中的 不均勻。先前曾試圖採用此種方式,但遭遇許多問題而捨 棄。吸收層的種類之一係由可交替金屬及可形成抗反射塗 層的介電層組成。但此類型吸收材料中的不同層傾向在雷 射束的密集熱度下一起熔化,且難以免去後續的雷射退火 步驟或污染具金屬的下方層。
用於本發明之較佳方式係應用一可藉電漿增強型化學 氣相沈積法(Plasma Enhanced Chemical Vapor Deposition, PECVD)沈積的吸收層。如 Luc Van Autryve等人於2003 年1 0月3曰申請之美國專利申請序號第1 0/6 7 9,1 8 9號(受 讓予本案受讓人),標題為 「Absorber Layer for DSA Processing」中戶斤示,PECVD沈積的吸收層可為非晶形碳。 非晶形碳的優點之一在於,其可於低於攝氏4 0 0度的晶圓 溫度下快速且具選擇性地(相對於其他材料的下層)由電漿 製程中的氧化作用或下游利用自由基的氧化製程移除之。 另一優點在於碳通常與半導體電漿製程相容,因此只要沒 有過量的佈植,並不會造成污染。其問題則在於,所沈積 的層對於雷射退火步驟之高溫所致的破裂或剝離(p e e 1 i n g ) 非常脆弱,除非該層是以非常高的溫度(例如攝氏5 5 0度) 作沈積(有關前述所沈積之層因高溫或高溫度梯度自下方 層的破裂、剝離或分離的傾向或抵抗於此說明書中統稱為 該沈積層的熱或熱機械特性)。同樣的,與此電漿增強型化 學氣相沈積製程有關的熱預算(即時間與溫度)會使摻雜物 形成群聚物(C丨u s t e r s ) ’該等群聚物難以利用後續的雷射退 11 451352381
火步驟分解,特別是特徵尺寸在6 5奈米以下者(例如約 奈米的特徵尺寸)。然藉由在電漿增強型化學氣相沈積吸 層材料期間降低晶圓溫度(例如,至攝氏4 0 0度)的方式 決此缺失會導致兩種問題。首先,所沈積層的熱特性會 得其在雷射退火步驟期間失去作用(如因破裂、剝離或與 圓分離)。其次,所產生的沈積層為透明或有充分的光吸 性。此吸收層所遭遇的另一問題在於其有較差的階梯覆 率。吾人現已發現,電漿增強型化學氣相沈積5 5 0度的 收層會有非常大的孔洞存在下方層中的明顯階梯部之鄰 區、或結構尺寸小於6 5奈米之薄膜。 吾人認為吸收層因缺乏可抵抗雷射退火步驟期間快 加熱至攝氏1 3 0 0度之應力的高強度化學結合(介於下方 與沈積材料間)會導致吸收層的毀損(例如因剝離或 裂)。為了改善沈積層的熱特性,吾人認為以低晶圓溫度 到如此高強度的結合在P E C V D製程期間需要高的離子 量。然而在傳統 PECVD反應器中並無法立即達到如此 的離子能量。吾人認為因吸收層或非晶形碳會有如此差 階梯覆蓋率是因習知 PECVD或高密度電漿化學氣相沈 (H D P C V D )反應器無法以適當能量級的離子轟擊提供適 的離子化範圍(離子與自由基比例)所致。此等不適當的 式,有部分原因是因前述習知PECVD及HDPCVD反應 無法操作在寬廣中間範圍的電源耦合(以形成電漿電子) 處理室壓力及晶圓電壓。更確切而言,習知不同類型 PECVD及HDPCVD反應器傾向以非常高或非常低之電 收 解 使 晶 收 蓋 吸 近 速 層 破 達 能 高 的 積 中 方 器 、 的 源 12 1352381
耦合(以形成電漿電子)、處理室壓力及晶圓電壓範圍來 作。習知 PECVD反應器可以相當高壓的方式來利用電 耦合之射頻電源,致使非常低離子化範圍(離子與自由基 例)中有不適當能量級的離子轟擊。此係由於不充分的電 耦合(以形成電漿電子)及以高壓與碰撞中子之離子能量 致。即便以獨立的射頻偏壓晶圓,以高壓與中子撞擊之 子能量的阻尼也會限制電壓及能量範圍在一小的範圍内 反之,習知HDPCVD反應器一般是利用非常低壓力的獨 耦合射頻電源。此類型的電漿源一般是以電容啟始電漿 且會有一個轉換至電感耦合電源模式的高電源臨界值。 旦電源耦合高於此臨界值且以電感模式操作該來源,電 耦合便會有高效能及最小可能的電漿密度,且離子化範 (離子與自由基比例)會非常高。獨立的射頻晶圓偏壓會 合至相當高密度的電漿,其有非常低的電抗負載。形成 能量的離子轟擊所需的合成射頻偏壓源非常高(對大 2 k V而言遠大於1 0 k W)。由於可實施的射頻輸送系統限 (射頻產生器、匹配網路及饋送結構),一般並不容易獲 高能量高能量。大多數的偏壓電源(例如〜8 0 %)在熱位於 圓上時會消散,故非常難以適當比例移除低壓下的熱量 維持低晶圓溫度(< 攝氏4 0度或更低)。最終,在沈積吸 或半導體薄膜(於射頻視窗或絕緣體上)而使用碳化學 時,電容耦合PECVD及電感耦合HDPCVD反應器可能 有電源耦合飄移(以即時方式)的問題。對反應器而言, 求是可經由操作在大中間範圍的電源耦合及量級、晶圓 操 容 比 源 所 離 〇 立 源 圍 耦 具 於 制 取 晶 來 收 物 會 需 電 13 1352381
壓及處理室壓力的方式,在所有情況下提供 及適當能量級之離子轟擊的離子化比例(下 由環形電漿CVD反應器及製程予以滿足)。 反應器在沈積吸收或半導體薄膜而使用碳化 有電源搞合飄移(power coupling drift)。此 CVD反應器已可導電(金屬)且僅有非常薄 斷,其等並不會累積太多的沈積物且易於f 的電漿清潔。 習知 PECVD的類型之一為電容耦合電 具有一對緊密相隔的平行電極板,使射頻電 該等板來施加。前述電容搞合反應器通常操 壓力(2-1 0 Torr)。可利用高壓及緊密相隔(相 而言)的方式使晶圓上的沈積率最大化,並使 沈積最小化。電漿電源可耦合至巨體電漿中 電漿鞘中的離子。電極上的電壓通常相當低 圓而言在幾千瓦的電源下少於lKVpp),且電 的碰撞性,而使離子能量通常很低。此類型 生非常低的離子與中子(i 〇 η - t 〇 - n e u t r a 1)比例 由基(ion-to-radical)tb例,使得離子通量很 能增加達所欲高強度結合(沈積層與下方材i 子能量級或晶圓溫度。然而,因為低 (inter-electrode voltage)以及碰撞電毁鞘中 損失,非常難以產生高穩定結合所需的離子 另一種習知 PECVD反應器係電感耦合 大中間範圍以 文將詳述可藉 環形電漿CVD 學物時並不會 乃因環形電漿 的絕緣直流阻 ξ 原位(i η - s i t u) 漿反應器,其 漿電源可通過 作在高處理室 對於電極半徑 製程區域外的 的兩電極並至 (對 3 0 0mm晶 漿鞘具有相當 的反應器會產 以及離子與自 低,因而很可 斗間)所需的離 的極間電壓 離子能量的高 能量分佈。 式高電漿密度 14 1352381
化學氣相沈積(Η P D C V D )反應器,其中射頻電源是施加於 感應天線處。反應器必須操作在低處理室壓力(例如 5 - 1 0 毫托耳)極高電漿電源位準,這是因為需高的最小感應電場 來維持電感耦合電漿模式,繼而形成高電漿密度。由於維 持電感耗合柄式需大莖的射頻電源*且因射頻感應電%直 接耦合至巨體電漿中的電極,此反應器中所形成離子化的 程度(離子對中子密度的比例)會侷限在非常高數值的範圍 内(大於前述電容反應器的數值四或五個量級)。此方式會 與其中射頻電場未與電子有效耦合(因電漿鞘的位移或經 由電漿鞘擺動)的電容耦合電漿形成對比。因此,電漿密度 及傳導性非常高,使其難以可用的偏壓電源位準產生高晶 圓電壓(由於晶圓電壓係經由高傳導性的電漿給予所致)。 故,在未施加過量射頻偏壓電源予晶圓時,將無法獲得高 離子能量。然此方式也可能過度加熱晶圓,且可能毀損(因 熱擴散)下方半導體晶格中的超淺接面。一般對300mm晶 圓而言,1 - 2千伏特峰值晶圓電壓會需要約1 0千瓦的射頻 偏壓電源。在高偏壓功率下,甚至是高的偏壓(高於1 - 2千 伏)冷卻晶圓以維持超淺接面並不容易,因此需較高的電源 以得較佳的薄膜特性。大於1 〇千瓦的射頻電源輸送系統則 過於昂貴且可用性相當有限。 HDPCVD的另一問題在於,處理室頂中必須提供大的 非導電性製程窗(p r 〇 c e s s w i n d 〇 w),以讓電漿電源可經由該 製程窗感應地耦合自該頂天線。此方式可避免將導電性的 喷灑頭直接使用在晶圓上方,而限制了晶圓處的氣體分佈 15 1352381
均勻性,以及晶圓上的射頻偏壓接地參考均勻性 若使用反應器以將非絕緣材料沈積在晶圓上,耦 室的電源便可有效地降低或甚至被阻斷,這是由 間相同材料也將累積在介電窗上,因而形成至射 導電性檔板或半導體衰減器。非導電性表面(例如 反應器的介電窗)的溫度也無法有效控制,使得製 器内部的後製程清潔期間的沈積也變的更難。兩 反應器的相關問題在於,電漿電源需一來自處理 可用導電表面的接地端,使得製程控制因處理室 沈積副產物的電性改變而變的困難。以介電材料 料組成處理室表面時,在製程後移除所沈積的電 會變的困難或使處理室部件可能有過度磨損。此 由使用可丟棄的檔板或製程套件方式避開,以避 處理室表面上。然而,前述可丟棄檔板並無法提 射頻接地參考值,也無法作精確的熱控制。 綜前所述,習知反應器可界定在小範圍的低 力的製程窗(在使用HDPCVD反應器時)或界定在 高處理室壓力的製程窗(在使用電容耦合反應器E 未有任何一種處理室可達到高的離子能量,這是 具高度碰撞性(於電容耦合反應器中)或因電漿具 導性(於H D P C V D反應器中)所致。同樣的,其等 小範圍的高度離子化模式(HDPCVD反應器)或小 度離子化模式(電容耦合反應器)。此外,兩種類 器無論什麼時後用於非絕緣材料的沈積,效能上 。此外, 接至處理 於製程期 頻電源的 HDPCVD 程及反應 種類型的 室中任何 表面上所 及金屬材 漿副產物 問題可藉 免沈積在 供良好的 處理室壓 小範圍的 字)。然並 因電漿鞘 有高度傳 也受限在 範圍的低 型的反應 都容易在 16 1352381
有明顯偏差,這是由於電容耦合反應器中非絕緣材料在 極邊界上的累積、或電感耦合反應器的介電製程窗上的 積會破壞或抑制射頻電源耦合至處理室中。故所需的是 非常低的溫度(例如,室溫至攝氏幾百度)進行沈積製程 以形成具有前述與下方層有高強度結合、且不受雷射退 步驟期間的機械應力或剝離的光吸收層。故,製程應有 的電源製程窗、在中間範圍中有大範圍的離子化程度製 窗、具有大範圍離子能量製程窗的大範圍晶圓電壓(偏壓 源)製程窗以及大範圍的晶圓溫度製程窗。 電 累 以 火 見 程 電
【發明内容】 本發明提供一種於工作件的半導體材料中形成半導 接面的方法,其包括將摻雜物離子佈植於經選擇的半導 材料區域中;將一光吸收材料先驅物氣體引入含有工作 之處理室中;藉由施力σ射頻電源的方式於一再進 (r e e n t r a n t)路徑中產生一射頻震蘯環形電聚流,以沈積 光吸收材料層於該工作件上,其中該再進入路徑包括一 於該工作件上方之製程區;以及光學地退火該工作件以 化半導體材料中的摻雜物。 體 體 件 入 位 活 【實施方式】 序論 於前述發明背景中所提及的全部問題皆可藉由利用 形電漿源反應器之低溫P E C V D製程中沈積非晶形碳光 環 吸 17 1352381
於之後移除)獲得改善。但此吸收層具有高的複折射率虛 分量(在n + ik的「kj值中,「nj為折射率而「k」為消 係數)。足夠厚的吸收層可阻擋因晶圓上下方薄膜及其維 上的起伏特徵所致的發散差異,而促進雷射吸收及晶圓 熱吸收的均勻性(以及表面發散的強度與均勻性)。然問 在於,光吸收層必須在動態表面(雷射)退火期間能抵抗 近的熔點溫度,而不會剝離或與下方層分離。為避免前 剝離或分離,需藉由在高溫下沈積吸收層的方式於吸收 及下方晶圓特徵間有高強度結合。高溫也可提供良好薄 結構、光學及電性。但問題在於,若晶圓溫度夠高至可 到能避免破裂、剝離或分離的高強度吸收層,則晶圓溫 會造成再結晶預先存在的非晶形矽層、或使超淺接面擴 而成為劣質的定義等不樂見的影響,並因此劣化晶圓上 電路特徵。低溫的習知C V D吸收層也有明顯降低的「k 值,故需較厚的薄膜以達到與下方層吸收特性相同的淨 收與抗擾性(i m m u n i t y)。 此等問題可依據本發明藉由在低溫化學氣相沈積製 中沈積吸收層的方式克服,其係利用 H i r 〇 j i H a n a w a等 於 2 004 年 10月 14日公開之美國專利申請公開 2004/020041 7號(下文簡稱公開案B)中的環形電漿源低 化學氣相沈積製程來進行。此製程係利用公開案B所詳 描述之一獨特的環形電漿源反應器。該製程係在非常低 下進行,例如低於攝氏3 0 0度或甚至低至室溫。因此, 超淺接面特徵已形成在晶圓上時僅有些微或無任何不良 數 光 度 上 題 較 述 層 膜 達 度 散 的 j 吸 程 人 第 溫 細 溫 在 影 20 1352381
漿。也可加入偏壓電壓以強化清潔率。一般相信氫離子及/ 或自由基可蝕刻薄的氧化物或污染物薄膜。另一種預處理 製程係使用由電漿電源或偏壓電源產生之氮及/或氧氣電 漿。也可加入偏壓電壓以強化清潔率。一般相信氮及/或氡 離子以及/或自由基可蝕刻薄的有機污染物薄膜。此預處理 製程可在氫氣電漿預處理製程後進行以移除氧化物。另一 種預處理製程係使用惰性氣體電漿(例如氦、氖、氬或氙) 以濺鍍清潔表面氧化物或污染物。或者,在沈積薄膜之前 也可使用濕式的預處理製程以清潔晶圓表面(強化結合)。
吸收層薄膜光特性可以製程變數來調整,以在雷射光 束輻射波長及溫度測量高溫計波長時具有高的吸收或消光 係數或複折射率虛數部。前述製程變數可包括吸收層中的 不純物濃度(例如氮)、吸收層中的摻雜物濃度(例如硼)、 晶圓溫度、製程氣體壓力、氣體流率(指含碳氣體、含不純 物氣體、例如氦、氫或氬等稀釋氣體)、射頻偏壓電壓或電 源、射頻電漿電源、製程時間以及層厚度。也可藉由以層 中深度分級前述不純物濃度的方式額外強化吸收層特性。 此方式可藉由調整不純物(以前述P 3 i製程離子佈植)的佈 植深度輪廓、或藉由在製程氣體中遞增前述不純物濃度或 於公開案B所述低溫CVD製程期間改變射頻偏壓電壓或 電源或射頻電漿源或壓力的方式來達成。也可藉由以經沈 積吸收層修復晶圓的方式來額外強化吸收層特性。修復 (c u r i n g)可包括熱(定時溫度)或紫外光曝光或其組合。此方 法可進一步增加或穩定吸收或消光係數或複折射率的虛數 22 1352381 部(imaginary part) °
公開案B同樣的環形電漿源處理室也可用以進行吸收 層沈積,利用公開案B的低溫CVD製程以及公開案B所 揭示任何將不純物佈植至吸收層的P 3 i離子佈植製程,以 使晶圓不需傳送於不同處理室之間。此外,公開案A的製 程處理室(可實施雷射束動態表面退火(Dynamic Surface Anneal,DSA)製程)可較佳地整合至具有公開案 B之環形 電漿源反應器的相同工具中,以使晶圓可塗覆吸收層(例 如,非晶形碳吸收層),該吸收層可藉由經選擇不純物及/ 或摻雜物P 3 i之離子佈植予以強化,且晶圓可接著利用公 開案 A之D S A雷射光源作雷射退火,其等全都設於相同 工具中。此方式可降低晶圓污染的風險。此外,興同環形 電漿源處理室或一第二(專用的)環形電漿源處理室(與公 開案 B所述為相同類型)或不同類型的電漿處理室可整合 至相同工具或平台上以在完成雷射退火DSA製程後移除 吸收層。
一個完全整合的製程需後續處理室以下列順序於一既 定晶圓上進行:一電聚浸沒離子佈植(P 1 a s m a i m m e r s i ο η i ο n i m p丨a n t a t i ο η,P 3 i)處理室,用以佈植摻雜物以形成超淺 接面(U丨t r a - S h a 1丨〇 w J u n c t i ο n , U S J )之源極/汲極結構;一光 阻去除(r e s i s t s t r i ρ )處理室,用以移除超淺接面結構定義部 或經圖案化的光阻;一濕式清潔處理室,用以進行後光阻 去除清潔;一環行源或P 3 i電漿反應器,用以實施低溫C V D 製程以形成非晶形碳吸收層;一含有D S A多重雷射光源及 23 1352381
掃猫設備的處理室;一碳去除(carbon-strip)處理室 移除吸收層;以及一濕式清潔處理室,用以進行晶 去除清潔。至少兩個或多個前述處理室可整合至一 台上,以減少晶圓操作、降低污染並增加產量。 吸收層較佳為非晶形碳(a m 〇 r p h 〇 u s c a r b ο η),不 選擇其他適合材料。薄膜厚度與吸收或消光係數或 層的雷射光束賴射波長時之複折射率虛數部的乘積 以沈積所有三維起伏的特徵或晶圓上的微電路結構 下方材料的光特性能被遮蔽至吸收層所需的程度。 光特性係經選擇以自雷射束最大化熱吸收性。吸收 或熱機械特性則經選擇,無論接近製程較近的熔點 都能使吸收層避免剝離、破裂或於D S Α雷射退火期 方晶圓分離。 吸收層甚至在晶圓上明顯存有三維表面起伏特 可將來自雷射光束的均勻吸收性作最大化。吸收層 的熱導體,並因此可提供均勻熱分佈於晶圓的局部 域上。吸收層的均句表面可使晶圓表面發散性均勻 持續準確測量晶圓溫度,而有良好的製程控制。 前述吸收層也可有利地用於多數習知退火技術 如快速熱退火(Rapid Thermal Anneal, RTA)或尖峰 退火或閃光燈退火,以改善光吸收的強度或均勻性 少整個晶圓及晶圓與晶圓的溫度變化。此種層可用 光特性中的差異,包括下方層的3 - D幾何影響。於 中,吸收層沈積/佈植可作調整以得燈絲或電弧/氣 ,用以 圓的後 共同平 過也可 以吸收 必須足 ,以使 吸收層 層之熱 溫度, 間與下 徵時也 為良好 輪射區 ,故可 中,例 (spike) ,並減 以遮蔽 此情況 體放電 24 1352381 燈源產生之波長光譜所欲的光特性。本發明之熱吸收層也 可用於具有三維微電路起伏特徵之半導體晶圓的快速熱退 火。於如此狀況下,吸收層光特性適於作為快速熱退火光 源。此種設備可包括前述高折射率結構,如絕緣層覆矽 (silicon-on-insulator)或介電結構上之多晶石夕。 雷射熱通量退火光源
動態表面退火光源係指前述使用連續波(C W)二極體 雷射來產生非常強的光束,以微細的長輻射線轟擊晶圓。 此線接著以垂直線束長尺寸的方向掃過晶圓表面。該光源 的一實施例係說明於第1圖之垂直概要表示圖。用於二維 掃瞄的起重架結構(gantry structure)110 包括一對固定的 平行軌1 1 2,1 1 4。兩平行起重架橫樑1 1 6,11 8彼此固定相隔 一設定距離,並支撐在固定軌112,114上,且以一未圖示 之馬達及驅動機構控制以與固定轨1 1 2,1 1 4 —起來滑動滾 輪、來源或球型滾軸。光源束1 2 0可滑動地支撐在起重架 橫樑 1 1 6,1 1 8上,例如懸吊於橫樑1 1 6,1 1 8下方並以未圖 示之馬達及驅動機構控制以沿該等橫樑滑動。矽晶圓 40 或其他基材可固定地支撐於起重架結構Π 0下方。光源束 120 包括雷射光源及光學儀器以形成向下的扇形光束 1 2 4,以如線束 1 2 6 (大致延伸平行於固定轨 1 1 2,1 1 4 )般以 簡單稱為慢速方向(slowdirection)之方向為擊晶圓40。雖 然此處並未圖示出,但起重架結構更包括一 Z軸平台,用 以按大致平行扇形光束1 2 4之方向移動雷射光源及光學儀 25 1352381
器,藉以可控制地改變光源束1 2 0及晶圓4 0間之距難 因此控制晶圓4 0上線束1 2 6的聚焦。線束1 2 6的例示 寸為長度1公分及寬度1〇〇微米,具示範性能量密度 千瓦/平方公分。或者,光源束及有關之光學儀器可呈 同時晶圓支撐在一以兩方向掃瞄晶圓之平台上。 於一般操作中,起重架橫樑 1 1 6,1 1 8係沿著固 1 1 2,1 1 4之一特定位置設置,且光源束1 2 0係以均勻 沿該起重架橫樑1 1 6,1 1 8移動,以簡單稱為快速方向 向掃瞄(垂直線束 1 2 6之長方向)該線束 1 2 6。該線束 因此可自晶圓4 0 —側掃至另一側以賴照丨公分寬度的 4 0。線束1 2 6應夠窄且快速方向的掃瞄速度應夠快, 圓特定區域暫時暴露於線束1 2 6的光輻射,但線束峰 強度已足以加熱表面區域來改變高溫。然而,晶圓40 深部分並實質受熱且更作為一散熱片(h e a t s i n k)來快 卻表面區域。一旦快速掃瞄完成後,起重架橫樑 in 會沿固定軌1 1 2,1 1 4移動至新位置,以使線束1 2 6沿 方向(沿慢軸延伸)移動。接著進行快速掃瞄以輻照晶 之鄰近寬度。交替之快及慢掃瞄可能以蜿蜒路徑的光 1 2 0重複進行,直至整個晶圓4 0皆經熱處理。光源库 之一範例(如第2及3圖所示)係可以約8 1 0 n m自兩雷 狀堆疊1 3 2接收雷射輻射,其中一條狀堆疊則以端部 圖示於第4圖中。各雷射條狀堆疊(bar stack) 1 32包: 個平行條 1 3 4,大致對應於砷化鎵半導體結構中之一 p - η接面,其橫向延伸約1公分並相隔約〇 . 9釐米。一 i,並 性尺 400 固定 定執 速度 之方 126 晶圓 使晶 值之 之較 速冷 5,118 其長 圓40 源束 .120 射條 平面 括14 垂直 般而 26 1352381 言,水冷卻層係設於該等條1 3 4之間。於形成有4 9個發射 器136之各條134中,各可形成一獨立的砷化鎵雷射發散 的個別束,具有成直角之不同發散角度。所示之條134並 以其長尺寸(延伸過複數發散器1 3 6)定位,並沿慢軸與其 短方向(對應於沿該快軸對準、少於1微米ρ - η消耗層)對 準。沿快軸的小來源尺寸可沿快軸作有效對準。沿快軸的 分散角度(d i ν e r g e n c e a n g 1 e )會變大而沿慢轴之分散角度則 相當小。
再參照第 2及 3圖,兩柱形小透鏡陣列(arrays of c y 1 i n d r i c a丨1 e n s 1 e t s) 1 4 0係沿雷射條1 3 4定位,以沿快軸以 窄的光束準直該雷射光。其於雷射堆疊1 3 2上可結合黏著 劑,並對齊雷射條1 3 4以延伸於該等發散區域1 3 6上。兩 來自兩條堆4 1 3 2之光束組係輸入習知光學儀器1 4 2。光
源束1 5 8接著通過一組柱形透鏡1 6 2,1 6 4,1 6 6以在光源束 1 5 8以一有限收斂角(沿慢軸但大致對準快軸)進入一維光 管1 7 0之前將光源束1 5 8沿慢軸聚焦。光管1 7 0 (第5圖中 有更清楚繪示)係作為光束均質器,以縮減沿慢軸之光束結 構(由條堆疊1 3 2中數個於慢軸上相隔之發散器1 3 6所引 入)。該光管1 7 0可作為光學玻璃(具高度折射率)之矩形板 1 7 2以形成所有的内部折射,其具有沿慢軸之短尺寸以及 沿快軸之長尺寸。沿光源束1 5 8 (沿輸入表面1 7 6上之慢軸 聚合)之一軸 1 7 4延伸一實質距離的矩形板 1 7 2會自該板 1 7 2之上及下表面作内部反射許多次,以移除沿該慢軸的 多數紋理並在其離開輸出表面1 7 8時沿該慢軸均質化該光 27 1352381 雷射條132之光束會作適當對準,且並不會受光管170或 變形光學儀器影響。另一方面,於慢軸方向中,輸入變形 光學儀器162、164、166會將光束聚集並匯聚至光管170 之輸入端。光束會以相同均勻強度、但有實質上發散的方 式沿慢軸離開光管1 7 0。輸出變形光學儀器1 8 0、1 8 2會沿 慢軸將輸出光束擴展並對準。
為調節或控制晶圓峰值溫度,晶圓40所照射部分的溫 度可以高溫計系統作持續監控。高溫計系統(pyrometry s y s t e m )使用將雷射光源聚焦於晶圓上的相同光學儀器,來 將發散自晶圓4 0所照射區域的熱輻射以至高溫計1 6 1的相 反方向(概要示於第3圖)導引至線束126的鄰近區域。高 溫計1 6 1包括一光檢測器1 6 3 (例如光電二極體)以及一濾 光器1 6 5,用以阻擋雷射光源波長(例如 8 1 0奈米)。高溫 計濾光器165較佳為窄通帶(narrow passband)過瀘.器,位 於浦朗克黑體輻射曲線區中心處,其中該曲線在重點溫度 處會快速變化。例如,高溫計通帶可集中於9 5 0奈米處, 而檢測器1 6 3為矽光電二極體。光學儀器通常為相互補 償,因此在相反方向中僅在線束1 2 6上或非常靠近處檢測 晶圓4 0的小區域,並光學地擴展該影像至較大區域。控制 器1 6 7並會使用檢測器1 6 3的輸出,以控制至雷射陣列1 3 2 的電源。過濾器(未示出)可置於雷射陣列1 3 2前方,以阻 擋可能會有高溫計波長(如9 5 0奈米)的任何發散。 本發明下文所述特徵可配合其他雷射種類使用:二氧 化碳氣體雷射;鈥 YAG 雷射(鈦:鈥一紀鋁石榴石, 29 1352381 yttrium-aluminum-garnet), 其可選擇性地作倍頻 (frequency-doubled);以電子束或放電激發之準分子雷射 (鹵化物稀有氣體或以紫外光波長(126至558nm)發散之金 屬蒸汽雷射稀有氣體,其可操作於分子之電子遷移上,在 達雙原子之前,其基態基本上呈相斥);二極體雷射(設計 使用受激發散以形成一致光輸出的發光二極體)。 環形電漿源反應器之低溫化學氣相沈積製程
第9圖係繪示實施低溫化學氣相沈積製程的環形電漿 源反應器。電漿反應器具有柱形側壁1 〇、一頂部1 2以及 一晶圓接觸冷卻靜電吸盤1 4。抽吸環形物1 6界定於吸盤 14及側壁1 0之間。製程氣體會被引導通過氣體分配板
1 8 (或喷灑頭),該分配板形成頂部1 2的大部分。亦可選擇 的是,製程氣體也可引導通過側注入管 2 0或藉由其他方 式。第9圖之反應器具有再進入射頻環形電漿源,其係由 經側壁10對側(或經頂部12開口 ,未示於第1圖)耦接至 反應器内部之外部再進入管(reentrant tube)22所組成。絕 緣環2 3可沿再進入管2 2提供直流阻斷。環形電漿源更包 括射頻電源供應器2 4,其可包括一環繞在進入管2 2環形 部分的磁滲透環形鐵芯2 6、一纏繞鐵芯2 6部的導電線圈 2 8以及一射頻電漿電源產生器3 0,經由一選擇性的阻抗匹 配電路32耦接至該導電線圈。一橫切該第一管22之第二 外部再進入管2 2 ’係經由側壁1 0相對側耦接至反應器内部 (或,經由頂部1 2中的開口 ,未示於第1圖中)。絕緣環 30 1352381
2 3 ’可提供沿該第二再進入管2 2 ’之直流阻斷。第二射頻電 源供應器 2 4 ’包括一環繞該再進入管 2 2 ’之環形部分的磁 滲透環形鐵芯 2 6 ’、 一纏繞鐵芯 2 6 ’部份的導電線圈 2 8 ’ 以及一射頻電漿電源產生器3 0 ’,經由一選擇性的阻抗匹 配電路32’耦接至該導電線圈。製程氣體供應器34耦接至 該氣體分配板18(或至氣體注射器20)。半導體晶圓或工作 件4 0係置於吸盤1 4頂部。製程區域4 2則界定於晶圓4 0 及頂部1 2 (包括氣體分配板1 8)之間。環形電漿電流會沿一 封閉環形路徑(延伸過再進入管2 2及製程區域4 2 )以射頻 電漿電源產生器3 0之頻率振盪。
射頻偏壓電源或電壓係藉射頻偏壓電源產生器 44經 由阻抗匹配電路4 6施加至吸盤1 4。直流吸附電壓自吸附 電壓源4 8 (以絕緣電容器5 0與射頻偏壓電源產生器4 4分 隔)施加至吸盤1 4。由射頻偏壓電源產生器44傳送至晶圓 4 0的射頻電源可加熱晶圓4 0至超過攝氏4 0 0度之溫度, 若未進行晶圓冷卻時,取決於自產生器4 4施加射頻電漿偏 壓電源的位準及時間。一般相信約有8 0 %或更多來自偏壓 電源產生器44之射頻電源係隨著晶圓40中的熱而消散。 晶圓支撐座1 4為具有絕緣或半絕緣頂層或盤部6 0之靜電 吸盤。盤部6 0内的金屬(例如,紹)線網或金屬層62可形 成陰極(或電極),使直流吸附電壓及射頻偏壓電壓可經該 陰極作施加。盤部60支撐於金屬層 64上,而金屬層 64 安置於高絕緣層6 6上。金屬基層6 8可連接至接地端。晶 圓4 0係藉由自吸附電壓源4 8施加直流電壓至電極62的方 31 1352381
式靜電固定於吸盤14上。此方式會於晶圓40底表面上誘 生一相對(具吸附性)之圖像電荷。因半絕緣層6 0中向上的 電荷遷移,兩相對電荷層間的有效間隙會非常小,故吸盤 及晶圓 4 0間的吸力對非常小的施加吸附電壓而言則非常 大。盤部半絕緣層6 0因此由具有所欲電荷移動性的材料形 成,故該材料並非良好絕緣體。來自射頻偏壓電源產生器 4 4的射頻偏歷電源或電壓可施加至電極6 2,或者施加至金 屬層6 4以經由半絕緣盤部層6 0作射頻耦合。可藉由冷卻 金屬層64的方式自盤部60移除熱。為此,可於金屬層64 内設數個内部冷卻通道7 0,耦接至冷卻幫浦7 2及散熱片 或冷卻源74。散熱片74可選擇性為熱交換器,若有需要, 其也可供應熱至金屬層 64。藉由維持非常高吸附力的方 式,晶圓40及盤部60間可有非常高的熱交換係數。吸附 力可藉由提供經研磨表面60a的方式予以強化。
低溫化學氣相沈積製程較佳係使用靜電晶圓吸盤,其 可用以將射頻偏壓電源或電壓兩者耦合至晶圓,並移除熱 以維持晶圓溫度在所欲位準或低於臨界值。較佳而言,靜 電吸盤係先前第 9圖所述類型,且其詳細内容可見於 Douglas A. Buchberger、Jr 等人於 2004 年 8 月 26 日所申 請之美國專利申請序號第 1 0/929,1 04 號中,標題為 「GASLESS HIGH VOLTAGE HIGH CONTACT FORCE WAFER CONTACT-COOLING ELECTROSTATIC CHUCK」。前 述靜電吸盤的使用(具高傳熱係數)可使來源電源操作在高 位準(亦即,每環形來源5千瓦)且偏壓電源操作在較高位 32 1352381
準(亦即,1 0千瓦),同時將晶圓溫度維持在攝氏2 Ο 0度 下,或若有需要,甚至100度以下。此外,處理室壓力 維持在約5至2 0 0毫托耳範圍間,其應夠低以避免不良 (例如,片狀)的化學氣相沈積層,而無須高晶圓溫度。 處理室壓力可避免過量的離子轟擊而無須加熱工作件, 則會抑制電漿離子密度及/或將沈積高品質薄膜之離子 量抑制在所需值以下。製程區域中的適度電漿離子密度 排除任何加熱晶圓的需求,以使高品質化學氣相沈積薄 可以非常低溫(低於攝氏100度)進行沈積,與PECVD反 器不同。電渡密度並未非常高且電漿電源位準不需高的 實將有更廣的操作範圍實施偏壓電壓,而無須過量的偏 電源位準,此亦與H D P C V D反應器不同。 C V D反應可以低來源電源位準(若欲如此)實施於環 來源反應器的事實,隱含大範圍製程窗中的來源電源可 最小位準至最大位準(例如每環形來源約5千瓦)作變化 此製程窗相當大,以改變CVD沈積層之保形性在介於非 形(保形率 〇 . 1)及保形(保形率> 〇 · 5 )之間。同時,C V D 積層的應力位準可藉由改變電漿偏壓電源或施加至晶圓 電壓於用於沈積層之張應力的低位準(例如5 0 0瓦)及用 沈積層之壓縮應力的高位準(例如3千瓦或更高)之間來 改變。因此,各電漿C V D沈積層的保形性及應力均可藉 分別調整來源及偏壓電源位準的方式對不同層(可為具 形或非保形並具有張或壓縮應力)作獨立調整。非保形薄 對於深溝渠填充及於光阻上形成可移除層而言很有用。 以 可 的 低 否 能 可 膜 應 事 壓 形 由 〇 保 沈 的 於 作 由 保 膜 具 33 1352381
保形層層則對蝕刻终止層及鈍態層很有用。具壓缩應 層可強化下方或鄰近 p通道金氧半導體場效電 (MOSFETs)中的載子移動性,而具有張應力的層則可 下方或鄰近N通道金氧半導體場效電晶體中的載子 性。第9圖之環形來源電漿反應器中低的最小電漿來 源及反應器在來源電源增加時所提供高的可控制電漿 密度係因應著環形來源電漿反應器之獨特反應器結構 漿來源電源可經由電源供應器施加至外部再進入管, 形射頻電漿電流可通過該管作循環(振盪),以使來源 密度非常低。此特徵可使晶圓表面處的電漿離子密度 度控制,且不隨電漿來源電源過量增加(與H D P C V D 反應器在至感應耦合的轉移發生時相反)。此外,射頻 電源供應器有效耦合至外部再進入管内的製程氣體會 漿點燃所需的最小電漿來源電源較習知反應器( HDPCVD反應器)為小。低溫CVD製程可解決提供65 或 4 5奈米或(例如)元件溫度無法在任何有效時間量 攝氏4 0 0度之較小元件電漿C V D製程而不破壞元件結 問題。其也可使電漿C V D沈積在光阻層上而不分裂或 下方光阻。這樣的可能性對下述製程開啟全新的類型 別適用於奈米級設計規則且可在不阻礙元件上之光阻 下進行。 後 CVD離子轟擊製程可於相同環形來源反應器 行,其中該反應器先前係用以進行低溫C V D製程。後 離子轟擊製程包括用於強化非晶形或多晶形 CVD沈 力的 晶體 強化 移動 源電 離子 。電 使環 電源 可尚 電漿 來源 使電 例如 奈米 超過 構的 毀損 ,特 遮罩 中進 CVD 積層 34 1352381
以及其基層之間的黏附性,以將CVD層中物種 到超出一可能比例,以將不與電漿CVD製程相 植至CVD層中,或將可改變該層之一特定材ί 介電常數或應力)之物種佈植至CVD層中。 低溫電漿CVD製程對於CVD形成矽薄膜 石夕氫薄膜、珍-氛-氫薄膜及前述更含有氧或氟 非常有用。該等薄膜可展現極佳的品質及熱特 C V D製程在多低的溫度下進行都不會發生破裂 落(flaking)等現象。對用於互補式金屬氧化半; 元件之應用而言,鈍態層係利用高度非保形性 縮及張應力分別沈積於P及N通道元件上,以 蝕刻及光阻遮罩及移除,且具零(適中)應力的 可以高度保形性的方式沈積於所有元件上。低 製程對於CVD形成碳薄膜也相當有用。 利用第9圖之環形反應器的低溫電漿CVD 於第1 0圖中。於此製程中,碳或含碳層係以環 氣相沈積製程進行沈積。該經沈積之層可具有 碳材料、碳聚合物材料或石墨碳材料的特性, 大範圍的電及光學特性,取決於製程如何進行 書下文中,將描述所沈積材料之特性的製程控 驟(第1 0圖之方塊6 1 0 5,其為選擇性實施)係 内表面塗以鈍態層,以避免或使晶圓上之金屬 化。鈍態層可例如與欲沈積之 C V D 薄膜(如 相同材料。處理室内表面上的鈍態塗層可藉由 的比例提升 容之物種佈 14特性(例如 、氮化矽膜、 之薄膜態樣 性,且無論 、剝離、片 導體(CMOS) 的方式以壓 選擇性進行 I虫刻終止層 溫電漿CVD 製程係繪示 形電漿化學 若干非晶形 以及(例如) 。於本說明 制。第一步 將處理室之 污染物最小 ,含碳材料) 引入適當製 35 1352381
程氣體混合物(例如,含碳氣體,如丙炼),以及施加電楽· 來源電源以產生環形射頻電漿電流,如前述實施例所述。 此步驟則實施至處理室内表面已沈積適當厚度的鈍態材料 為止。接著,將生產工作件或半導體晶圓置於晶圓支撐基 座(第 10圖之方塊 6107)上。引入含碳及(選擇性地進行) 其他物種(例如氫或氮)之製程氣體(方塊6 1 0 9)。該處理室 壓力係維持在低或適中位準,例如由約5至約200毫托耳 (第1 0圖之方塊6 1 1 1)。環形來源反應器中並形成再進入環 形電漿流(方塊6 1 1 3 )。該環形電漿流係藉由將射頻電漿來 源電源(例如 100 瓦至 5千瓦)耦合至各再進入外部管 22,22’的方式(第10圖之方塊6113-1)產生;以及於0至10 千瓦間施加射頻電漿偏壓電源(第1 〇圖之方塊6 1 1 3 - 2)。來 源電源較佳係 1 〇 Μ Η z等級之高頻(例如 1 3 _ 5 6 Μ Η z ),其對 於形成電漿離子非常有效率。偏壓電源較佳為MHz等級之 低頻(例如2 Μ Η z ),其對於既定偏壓電源量形成非常大的電 漿鞘電壓極具效率。射頻產生器1 8 (Γ所施予之來源電源的 強度可以所欲保形性沈積化學氣相薄膜於晶圓上的方式作 調整(方塊6 1 1 5)。射頻產生器1 6 2所施加之偏壓電源或電 壓強度可作調整以使沈積薄膜具所欲應力、即壓縮應力或 張應力(第1 0圖之方塊6 1 1 7)。前述製程並實施直至達所欲 沈積薄膜厚度。其後,可實施特定選擇性的後CVD離子轟 擊製程(第10圖之方塊6119)。 第 1 1 Α 圖係沈積層(垂直軸)之保形率(c ο n f 〇 r m a 1 i t y r a t i o)作為施加射頻來源電源(水平軸)之函數的圖表。如第 36 1352381
11B圖所示,由CVD製程沈積於基層或基材6123之層6121 的保形率係層6121(沈積於基層6123之垂直表面6123a上) 之垂直段6121a的厚度C對層6121(沈積於基層6123之水 平段6123b上)之水平段6121b的厚度D之C/D比例。保 形率超過〇. 5表示為高保形性的CVD沈積薄膜。保形率約 0.1表示非保形性的CVD沈積薄膜。第1 1 A圖繪示第9圖 環形來源反應器之大範圍的來源電源製程窗如何使保形率 範圍從非保形(以約1 0 〇瓦的來源電源)橫跨至高保形率(以 約1千瓦的來源電源)。第1 1 A圖顯示相同的環形來源反 應器可用於電漿C VD沈積保形及非保形薄膜兩者。第12 圖為一說明 C V D沈積率(垂直轴)作為施加來源電源(水平 軸)之函數的圖表。由0至1 0 0瓦的射頻來源電源,於第9 圖之環形來源反應器中並未有電漿引燃,且沈積率為零。 由約1 0 0瓦、約1 3 . 5 6 Μ Η z的來源電源開始,伴隨約5千 伏、約2MHz的持續偏壓電壓,沈積率會由每分鐘約500 埃(於1 0 0瓦來源電源處)開始,並達每分鐘約1 〇 ◦ 〇埃(於 約2千瓦來源電源處)。此優點在於沈積率相當低,使得高 品質的無缺陷 CVD薄膜可在不需任何加熱或退火去消除 缺陷(可能在高沈積率下形成,例如每分鐘 5 0 0 0埃)下形 成。因此,環形電漿反應器(第9圖)之來源電源可於改變 非保形及保形間之保形率範圍内(亦即,自2 00瓦至2千瓦) 任一處做改變,而無須加熱晶圓,故使晶圓可維持在低處 理溫度,亦即,低於攝氏2 0 0或甚至1 0 0度以下。環形電 漿反應器來源電源可如此增加(以達高度保形性)而不會造 37 1352381
成過量 CVD 沈積率的事實係因應環形來源反應器的結 構,其可避免晶圓120上方的製程區域中有過量增加的離 子密度。如此過量的離子密度在某種程度上應避免,這是 因各電漿來源電源施加器(亦即,環繞各個再進入管2 2,2 2 ’ 及對應的主要線圈2 8的各鐵芯2 6,2 6 ’)會施加電漿來源電 源至再進入管2 2,2 2 ’之部段,其位於由側壁1 0及頂部1 2 所界定之反應器處理室外部,且與晶圓4 0上方之製程區域 42相隔。所幸,利用第9圖環形電漿反應器之來源電源對 電漿離子密度作低及高可控制性的增加可藉由非常低的電 漿啟始最小來源電源(例如,僅1 0瓦)達成,其會導致在整 個保形性範圍有大範圍的來源電源製程窗。此電漿啟始之 最小來源電源位準為有效方式下的結果,於此方式中第 9 圖之環形來源反應器可以例如1 3.6 5 Μ Η z之高頻產生環形 射頻電漿電流。
第9圖環形電漿反應器之另一特徵係反應器可操作之 大範圍的射頻電漿偏壓(鞘)電壓(例如,由〇至1 〇千伏)。 此特徵之一態樣係說明於第1 3圖之圖表中:該偏壓電壓操 作範圍(第1 3圖之水平軸)橫跨C V D沈積薄膜(第1 3圖圖 表中的垂直軸)中的應力範圍,由張應力(+lGPa)至壓縮應 力(-lGPa)。前述後CVD離子轟擊處理下文將再予詳述。 可藉由利用低頻電漿偏壓來源(例如 2MHz射頻來源)而達 到大範圍的射頻電漿偏壓(鞘)電壓。如此低頻可轉為高阻 抗,以比例上較高之鞘電壓穿過晶圓表面上之電漿鞘。因 此,相當低量的電漿偏壓電源(5千瓦)便可於晶圓表面產 38 1352381
生非常高的鞘電壓(1 〇千瓦)。如此低的偏壓電源位 低晶圓上的加熱負載,並減少晶圓支撐基座上所負 量及電場。當然,第9圊之環形來源反應器並不需 的鞘電壓來起始或維持電漿,且偏壓電源可良好降 千瓦以下,若需要,也可降至零。第11A圖所示之 選擇(介於非保形及高度保形之間)及第1 3圖所示 選擇(介於張應力及壓縮應力之間)係利用操作第9 來源反應器之製程窗時非常大範圍的來源電源及偏 來獨立進行。因此,第9圖之環形來源反應器可實 圖之低溫CVD製程,其中不同層可以不同應力選: 力,零或壓縮應力)及不同保形率選擇(非保形性或 形性)作沈積。 第1 4圖係說明第1 0圖之製程變化,其中添加 藉由將其先驅物氣體含納在製程氣體中的方式包含 層中。第一步驟係將碳材料先驅物氣體(例如,碳氫 或氟碳氫化物或其他含碳氣體)引入處理室(第14 塊6 1 3 2)。此製程氣體可包括能強化環形電漿C VD 無需加入沈積層(碳)中的物種,例如惰性氣體。將 加物種的先驅物氣體(欲含納至C V D沈積碳層中)引 室(第1 4圖之方塊6 1 3 3 )。例如,添加物種可為硼 (B2H6)或氮或氫或硫(H2S)或其他所欲物種。同樣的 物種先驅物氣體可包含兩種(或更多)不同添加物種 物氣體,以作為CVD沈積碳層中的内含物。其後, 漿C V D製程係藉由進行第1 0圖之步驟6 1 1 1、6 1 1 準可降 載的熱 如此高 低至 5 保形性 之應力 圖環形 壓電源 电第10 I (張應 南度保 物種係 在沈積 或氟碳 圖之方 製程但 所欲添 入處理 先驅物 ,添力口 之先驅 環形電 5及(選 39 1352381
擇性進行的)6115、6117 的方式實施於處理室中(方塊 6 1 3 4)。碳先驅物製程氣體及添加先驅物氣體(例如硼)的相 對氣體流率將可決定C VD沈積碳層中添加物種的比例。第 1 5圖說明第1 4圖之製程變化,其中僅有碳材料先驅物氣 體是在環形電漿CVD製程起始前(方塊6 1 3 5)首次引入(方 塊6 1 3 2)。環形電漿C VD製程係於無需添加先驅物氣體下 進行一段足夠時間以沈積未有添加物種之碳層至所欲臨界 厚度(方塊6 1 3 5)。於製程的此點中,添加物種先驅物氣體 會引入處理室,同時持續環形來源C V D製程(方塊6 1 3 6), 以使沈積之含碳層的殘餘部分(上方部份)可包括添加物 種。
第16圖係說明第10圖製程之另一變化,其中方塊 6 1 1 9之後 C V D晶圓處理步驟為離子轟擊步驟。於第1 6 圖之製程中,碳材料先驅物製程氣體係引入處理室中(方塊 6 1 3 2)且於晶圓上進行環形電漿C V D製程。其後,於晶圓 上實施離子轟擊製程(方塊6 1 3 7 ),其中所欲物種係佈植至 C V D沈積之含碳層中。所欲物種可為添加性物種(一或多 種),其(例如硼)可化學地活化以使 C V D沈積之含碳層形 成特定所欲特性。所欲物種可為離子轟擊物種(例如惰性物 種),以藉由離子轟擊改變CVD沈積之含碳層的特性。無 論如何,所佈植物種之離子佈植深度輪廓會設定以侷限在 C V D沈積之含碳層内的佈植物種。例如,離子佈植深度輪 廓或分佈可能會使其峰值設定在或接近C V D沈積之含碳 層的中間程度(例如中間)深度。或者’若欲使一不含添加 40 1352381
物之碳層以接觸一具有含添加物種之上方碳層I 矽晶圓表面),則離子佈植深度輪廓可位於c V D 較高深度的中心處,以使臨界深度下方僅有一點 離子佈植發生。後者選擇的結果圖示於第17圖1 下方層6140、不含添加物種且具有臨界厚度之底 6139、以及包含添加物種之上方含碳層6138。% 層狀結構亦於第1 5圖之兩階段環形電漿 C V D 現。第18圖繪示第16圖之方塊6137步驟的離子 輪廓。事實上,離子佈植係侷限於上方表面(例· 上的深度。此方式可藉由留有未佈植之底部含碳 圖之層6139)的方式(利用將離子分佈尖峰移離底 如第1 8圖所示)達成。 第1 9圖係繪示第1 4、1 5或1 6圖之製程如何 處理室去除或清潔步驟6 1 4 1及處理室調整C V D 6 1 4 2 (其可實施於第1 4、1 5或16圖之環形電漿 之前或之後)來作變化。於第1 9圖中,去除與調 圖所示係實施於環形電漿CVD製程之前。首先, 引入第9圖之反應處理室之前,會將製程氣體引 中,其含有可自暴露處理室内表面去除所欲薄膜 之方塊614 1)之物種。於第14、15及16圖之製 積於内處理室表面之材料主要是由碳組成,以使 之步驟中所用的清潔或去除製程氣體可主要由, 所組成。其後,去除或清潔製程氣體會自處理室 於第 9圖反應器之内處理室的暴露表面上沈 K?基層(或 含碳層中 或不會有 ^,其繪示 部含碳層 ^ 17圖之 製程中實 佈植深度 如晶圓)之 .層(第17 部表面, 藉由結合 沈積步驟 CVD製程 整步驟如 於將晶圓 入處理室 (第19圖 程中,沈 方塊6 1 4 1 例如氧, 移除,並 積調整層 41 1352381
(seasoning layer)(第 19 圖之方塊 6142)。方塊 係利用前述相同之環形電漿 C V D製程來實施 言,碳先驅物氣體係作為調整層先驅物氣體來 中,並於處理室中形成環形電漿。此方式可產: 之含碳調整層於暴露之處理室内表面上。若希 整層的硬度或耐用度,則可含納氟作為調整層 之物種。例如,調整層先驅物氣體可包括氟化 碳氫氣體。調整層先驅物氣體的主要成分可為 氣體。在調整層於内處理室表面上達所欲厚度 引至處理室中(第19圖之方塊6143),並實施 1 5或1 6圖之環形電漿CVD製程(第1 9圖之方 藉環形來源C V D製程沈積碳薄膜: 本發明有用於沈積例如特定光學特性(以, 線及可見波長,即「光」波長)或特定電性(諸 複電容率,例如,於光特性並非特定目的之1 之碳基薄膜。前述薄膜之電性及光學特性可做 特定需求。本發明也適用於沈積如碳基薄膜之 所沈積之碳基薄膜層需以相對於矽或其他下方 進行後續的去除。本發明也適用於沈積如碳 膜,其中該薄膜為應用於無孔洞縫隙填充而需 制。本發明也適用於沈積如碳基薄膜之薄膜, 需作應力控制。 6 1 42之步驟 。更明確而 引入處理室 主CVD沈積 望強化此調 先驅物氣體 碳氣體或氟 碳氫化合物 後,晶圓會 第 10 、 14 、 塊 6 1 4 4)。 t夕卜光、紅外 如導電性或 玄等應用中) 調整以符合 薄膜,其中 層的選擇性 基薄膜之薄 作保形性控 其中該薄膜 42 1352381
碳氫薄膜: 不同電性及光特性之碳薄膜可利用第1圖之環形電 來源反應器沈積於晶圓上。該製程氣體係經由第1圖之 體分配板1 8 (或經由側噴嘴2 0)引入。製程氣體可為選自 (或多種)此說明書先前所列之碳氫氣體。處理室内由前 氣體所產生的射頻環形電漿流會使含碳材料之氫沈積於 圓表面上。薄膜可實質上為純碳,僅具有些微量的氫原4 然而,一般而言結合氫原子的比例很重要,方能使沈積 料為氫化碳。沈積薄膜的導電性可設定在絕緣及半導電 之間。對一經選定波長段而言,沈積層之光學特性可設 於高度吸收性及透明之範圍内。電容率(permittivity)可 定為具有低至高強度範圍之「實數(real)」(亦即,相對 「實數」分量具有小的「虛數」分量)。電容率可選定為 對於「實數」分量具有低至高範圍強度之有效「虛數分 (imaginary component)」。此等電性及光學特性可藉由下 動作之部分或全部組合或任一者予以控制: (1) 調整晶圓表面處之離子轟擊能量; (2 ) 調整晶圓溫度, (3) 選擇製程氣體之碳氫氣體物種(選擇氣體之 氫比例); (4) 以氫氣稀釋製程氣體; (5) 以惰性氣體(例如氦、氖、氬或氙)稀釋製程 體; (6) 相對於晶圓表面之含碳自由基物種之通量 漿 氣 述 晶 〇 材 性 定 選 於 相 量 列 碳 氣 來 43 1352381 調整晶圓表面處之電離子(含碳或其他者)通 量; (7) 於製程氣體中加入下列先驅物添加氣體之一 者:(a)半導電性增強物種,(b)電阻增強物種; (8) 於所沈積碳層中佈植下列一者:(a)半導電性增 強物種,(b)電阻增強物種。
於晶圓表面處調整離子轟擊能量可藉由調整射頻偏壓 電源、射頻偏壓電壓或晶圓電壓及/或處理室壓力的方式完 成,同時調整晶圓表面處之電離子通量可藉由調整射頻電 漿來源電源及/或處理室壓力及/或稀釋氣體流率的方式完 成。
電離子通量調整:以固定偏壓電壓及固定壓力,增加 射頻電漿來源電源以提高晶圓表面處之電離子通量。晶圓 表面處之自由基通量也會隨來源電源增加。然而,於適中 壓力以下處(亦即,毫托耳壓力至數百毫托耳),晶圓處電 離子通量相對於自由基通量一般仍會增加(但仍較整體為 少)。以固定偏壓電壓增加射頻電漿來源電源,同時降低壓 力,更可增加晶圓處電離子通量相對於自由基通量的比 例。以固定來源電源及偏壓電壓,以氬氣或氙氣稀釋製程 氣體則傾向於晶圓表面處增加電離子通量,同時以氦氣或 氖稀釋則傾向減少晶圓表面處的電離子通量。當與製程氣 體流率有關之稀釋氣體流率的比例增加時,影響會加劇。 於適中壓力以下處(亦即,毫托耳壓力至數百毫托耳),以 固定射頻電漿來源電源及偏壓電壓增加壓力會提升晶圓表 44 1352381 面處之充能離子的通量。
離子能量調整:以固定射頻電漿來源電源,增加射頻 偏壓電源或電壓會增加晶圓表面處之離子轟擊能量。以固 定射頻電漿來源電源及射頻偏壓電壓以及於適中壓力以下 處(亦即,毫托耳壓力至數百毫托耳),增加壓力會降低離 子能量,但影響並非必然很大。以固定射頻電漿來源電源 及射頻偏壓電源以及適中壓力以下處(亦即,毫托耳壓力至 數百毫托耳),增加壓力會降低離子能量,且因偏壓電壓(以 固定偏壓電源)會由於高電漿離子及電子密度之負載影響 而減少故有較大影響。
選擇製程氣體.之氫碳氣體物種(選擇氣體之氮碳比例) 會影響沈積材料之光學及電特性。減少氣體之碳氫比例一 般會降低C : Η結合並增加C : C結合,其會增加光吸收性(降 低透明度)並增加導電性。其也傾向相對於「實數j分量增 加電容率之「虛數」分量。例如,相較於ch4,c3h6可形 成具有較高光吸收性及/或導電性的沈積層,而相較於 C3H6,c4h6可提供較高光吸收性及/或導電性的沈積層。 以氫氣稀釋製程氣體(群)會影響沈積材料之光及電特性。 減少氫稀釋一般會降低c : Η結合並增加C : C結合,其會增 加光吸收性(減低透明度)並增加導電性。其也傾向相對於 「實數」分量增加電容率之「虛數」分量。除了前述用於 調整沈積碳材料之光吸收性的步驟外,光吸收性也可藉由 將特定添加材料含納於沈積材料(例如蝴、Si或硫)中的方 式提升。此等材料之任一者也可藉由分別含納先驅物氣體 45 1352381 (例如Β 2 Η 6、N 2或Η 2 S )於製程氣體中的方式加入。加入材 料(例如硼、氮或硫)至製程氣體也會實質上改善沈積碳材 料的熱穩定性,使其可快速加熱至高溫(> 攝氏1 400度) 而不致毀損。
添加材枓可強化沈積材料之光吸收性、熱穩定性及/ 或導電性以及/或電容率。氫對硼的比例、添加氣體中的氮 或硫都會影響沈積層的特性。一般而言,減少氣體中氫對 其他元素的比例通常會降低C : Η結合並增加C : C結合,其 會增加光吸收性(減低透明度)並增加導電性。其也傾向相 對於「實數」分量增加電容率的「虛數」分量。對較高光 吸收性或導電性而言,Β5Η9(與Β2Η6相比)或Ν2(與ΝΗ3相 比)可能會增加吸收性或導電性至較高程度。由於Β 2 Η 6有 較高反應性,一般必須稀釋以策安全,且現在一般是與氦、 氬、氫或氮作稀釋。氫稀釋之Β2Η6通常可提供較氦稀釋之 Β2Η6為強的光吸收性及導電性。氬稀釋之Β2Η〇甚至可提 供較氦稀釋之Β 2Η6為強的光吸收性及導電性。氮稀釋之 β2η6也可提供較氦稀釋或氫稀釋之β2η6為強的光吸收性 及導電性,且如下文所述可提供協同效果。Β 5 Η 9則不需稀 釋,且較Β 2 Η 6具較高之Β與Η比例,且可提供較氦或氫 稀釋之Β 2 Η6為高的光吸收性及導電性。前述提及會增加導 電性的因素也傾向相對於「實數」分量增加電容率的「虛 數」分量。或者,前述後CVD離子佈植步驟可使用吸收強 化物種(硼、氤或硫)之一者來實施,以將該等物種佈植至 沈積之碳層。若此後CVD實施步驟係藉由電漿浸沒離子佈 46 1352381 植方式(例如,利用第1圖之環形電漿來源反應器)實施, 則也可使用前述相同製程氣體(例如,b2h6 ' N2或H2S)。
將(a)硼(亦即,B2H6)加上(b)N2或其他形式的氮加入 原本的非晶形碳先驅物碳氫氣體(即C3H6)亦有協同效果。 所沈積碳層的熱穩定性(亦即,熱特性)於攝氏4 5 0度(且特 別是較高溫度)時會改善。更明確而言,沈積之非晶形碳層 可至少雷射加熱至矽的熔點,而不會使沈積層脫層 (delamination)、或剝離等現象。此特徵(加入蝴與敗)事實 上可降低避免脫層或剝離所需的臨界晶圓電壓或臨界離子 能量。前述用以改善沈積層熱特性之特徵(即將硼及氮添加 物結合至碳氫氣體)可在以前數方式控制沈積具有特定電 特性之非晶形碳層時實施。其也可利用以沈積非光吸收體 之碳層。一般相信調整沈積碳層之特性係依據:(1 )調整碳 層中結合氫原子的比例,亦即,沈積碳層中C ·· Η鍵對所有 原子鍵的比例,以及(2)C:C鏈長度以及(3)碳原子的結合雜 化(bonding hybridization)及不同鍵(亦即,sp^sp^sp1)的相 關濃度。一般更相信於晶圓表面增加離子能量及於晶圓表 面增加充能離子通量以及增加晶圓溫度可有如下效果:(1) 破壞更多 c : C鏈(以形成較短鏈)以及(2)破壞更多 c : Η鍵 (以減少其出現)並形成更多C : C鍵以及(3 )改變碳原子之結 合雜化以及不同鍵(亦即’ S ρ 3: S ρ2 : S ρ 1)的相關濃度。藉由減 少反應器處理室中製程氣體的氫含量,沈積碳層中形成的 C : Η鍵數目便可降低。 減少C: C鏈的長度會改變沈積材料的狀態,由軟聚合 47 1352381
物而至硬非晶形碳。隨著沈積碳層中C: Η鍵數目的減 導電性會由具相當絕緣性而改變為半導電性,同時光 會自具相當透明改變至相當不透明。因此,於環形 CVD製程中沈積碳層的導電性可設定在絕緣及半導 範圍内任一點,同時其光特性可設定在透明及不透明 圍内任一點。 藉離子轟擊來減少或破壞C : C鍵及/或C : Η鍵可 要非常高的離子能量(例如,以1 OOeV至1 keV)。聚合 (具長聚合物鏈)傾向以低晶圓溫度(少於攝氏 1 0 0 { 成。聚合物鏈的長度可藉由離子轟擊、甚至是低晶圓 來縮減。或者,晶圓溫度於環形電漿CVD製程期間可 (例如,至攝氏4 0 0度),以維持短的C _· C鏈長度。改 積碳層之光及電特性(需高射頻偏壓電源)所需非常高 子能量具有強化碳層與下方晶圓、或與先前形成於晶 之薄膜結構的黏著性的效果,此乃藉由於沈積碳層及 材料之間形成高穩定性的原子鍵為之。藉由於沈積竣 形成壓縮應力的方式,其也可強化沈積薄膜對機械破 熱應力(例如,非常高溫)所致之分離的抵抗性。其也 加薄膜的機械硬度。施加如此高的偏壓電壓(亦即,> 實質上可改善沈積碳材料的熱穩定性,使其可快速加 高溫(> 攝氏1400度)而不會破損。除了於環形電漿 製程期間加熱晶圓外,用於強化沈積碳層之光吸收性 外方法係於完成C V D製程後加熱晶圓至約攝氏4 0 0方 般相信此步驟可藉由破壞C : Η鍵並於沈積碳層中形成 少, 特性 電漿 電的 之範 能需 物碳 t )形 溫度 增加 變沈 的離 圓上 下方 層中 壞或 會增 1 kV) 熱至 C VD 的額 〇 -— 更多 48 1352381 C : C鍵的相同機制以及改變碳原子之結合雜化與不同鍵 (亦即,sp3 :sp2 ip1)的相關濃度來強化光吸收性。
將惰性稀釋氣體加入氫碳先驅物氣體可改變該薄膜的 電及光特性。例如,加入氦或氖可使薄膜更透明(且更具絕 緣性),同時加入氬或氙可使薄膜較不透明(且更具半導電 性)。一般相信,對固定射頻來源電源及射頻偏壓電壓而 言,添加氛會降低離子通量,而加入氬或氛會增加離子通 量。增加電離子通量傾向降低薄膜的光透明性及電阻。前 述提及可增加導電性的因素也傾向相對於「實數」分量增 加電容率之「虛數」分量。以固定射頻來源電源增加射頻 偏壓電壓可增加晶圓表面上離子轟擊之離子能量,其會傾 向降低薄膜的光透明度及電阻。其也會傾向相對於「實數j 分量增加電容率的「虛數」分量。以固定射頻偏壓電壓增 加射頻來源電源可增加晶圓表面之充能離子通量,其會傾 向降低薄膜的光透明度及電阻。其也傾向相對於「實數」 分量增加電容率之「虛數」分量。以固定射頻來源電源及 射頻偏壓電壓增加氣體壓力會增加晶圓表面之充能離子通 量,其傾向降低薄膜之光透明度及電阻。其且傾向相對於 「實數」分量增加電容率之「虛數j分量。 沈積碳層的保形性可藉由調整射頻來源電源的方式做 調整。藉由調整來源電源以調整沈積層保形性先前已描述 於此說明書中。沈積碳層的應力可藉調整射頻電漿偏壓電 源的方式作調整。藉由調整偏壓電源來調整沈積層應力先 前已描述於此說明書中。 49 1352381 氤碳薄膜:
氟碳製程氣體(如選自此說明書中先前所列之氟碳氣 體之一者)可替代碳氫氣體作為CVD製程氣體,以於晶圓 上沈積含氟之碳層。前述層在大範圍的波長段時傾向呈透 明。含氟碳層在沈積碳層需非常低之介電常數時很有用; 其在欲透明碳層時也很有用;其在欲高度絕緣性之碳薄膜 時也很有用;其在欲低電容率(相對於「實數」分量具有小 「虛數」分量)時也很有用。對氟化碳薄膜而言,較佳之氟 化碳氣體為C4F6或C3F6。其他氟化碳氣體包括C2F4、 C2F6、C3F8、C4F8及 C5F8。該製程也可用於沈積氟碳氫 薄膜。對氟碳氫薄膜而言,也可使用例如CH2F2般的氟碳 氫氣體。或者,該製程可用於沈積結合碳氫及氟碳材料之 薄膜,其中適當碳氫及氟碳氣體的結合可作為製程氣體。 此種含氟薄膜可為非晶形或聚合物。此種含氟薄膜傾向呈 透明,取決於氟含量。如此薄膜可具有非常低的介電常數, 取決於氣含量。含氣化碳(或說竣鼠)及碳鼓兩者的薄膜可 於透明及吸收之間改變,取決於相關之氫及氟含量。 含氟碳層之特性可以前述用於含氫碳層相同方式來控 制,藉由控制碳-碳鏈長度及控制碳薄膜中F : C鍵比例及種 類的方式為之。該等特性可藉由下列部分或全部動作之一 者或結合之方式予以控制: (1 ) 調整晶圓表面處之離子轟擊能量; (2) 調整晶圓溫度; 50 1352381 (3) 選擇製程氣體之氟碳氣體物種(選擇.氣體之氟 碳比例); (4) 以氟稀釋製程氣體; (5) 以惰性氣體(例如氦、氖、氬或氙)來稀釋製裎 氣體; (6) 相對於晶圓表面之含碳自由基物種的通量來 調整晶圓表面處充能離子(含碳或其他者)通 量;
(7) 於製程氣體中加入下列先驅物添加氣體之一 者:(a)半導電性強化物種;(b)電阻強化物種; (8) 於沈積碳層中佈植下列一者:(a)半導電性強 化物種;(b)電阻強化物種。 於晶圓表面處調整離子轟擊能量可藉由調整射頻偏壓 電源、晶圓電壓及/或處理室壓力的方式進行,而晶圓表面 處充能離子通量的調整可藉由調射頻電漿來源電源及/或 處理室壓力及/或稀釋氣體流量的方式進行。
充能離子通量調整(energetic ion flux):以固定偏壓電 壓及固定壓力,增加射頻電漿來源電源可增加晶圓表面處 充能離子的通量。晶圓表面處之自由基通量也會隨來源電 源增加。然而,於適中壓力以下處(亦即,毫托耳壓力至幾 百托耳),晶圓處充能離子通量相對於自由基通量的比例一 般仍會增加(但仍較整體為低)。以固定偏壓電壓增加射頻 電漿來源電源同時降低壓力’更會增加晶圓處充能離子通 量相對於自由基通量的比例。以固定來源電源及偏壓電 51 1352381 壓,以氬或氙稀釋製程氣體會傾向增加晶圓表面處的充能 離子通量,而以氦或氖稀釋則傾向降低晶圓表面處充能離 子通量。此影響在與製程氣體流率有關之稀釋氣體流率的 比例增加時會加劇。於適中壓力以下處(亦即,毫托耳至幾 百毫托耳),以固定射頻電漿來源電源及偏壓電壓增加壓力 會增加晶圓表面處的充能離子通量。
離子能量調整:以固定射頻電漿來源電源,增加射頻 偏壓電源或電壓會增加晶圓表面處之離子A擊能量。以固 定射頻電漿來源電源及射頻偏壓電壓以及以適中壓力以下 (亦即,毫托耳壓力至幾百毫托耳)時,增加壓力會降低離 子能量,不過此影響並非必然很大。以固定射頻電漿來源 電源及射頻偏壓電源以及以適中壓力以下(亦即,毫托耳壓 力至幾百毫托耳)時,增加壓力會降低離子能量,且因偏壓 電壓(以固定偏壓電源)會由於高電漿離子及電子密度之負 載影響而減少故有較大影響。經沈積氟碳層之保形性係藉 由調整射頻電漿來源電源的方式作調整。藉調整來源電源 以調整經沈積層之保形性已描述於此說明書之先前内容 中。經沈積氟碳層的應力係藉由調整射頻電漿偏壓電源的 方式作調整。藉由調整偏壓電源以調整沈積層應力已描述 於此說明書先前内容中。 氟碳氣體及碳氫氣體的結合可作為製程氣體,以按所 欲比例形成含氟及氫之碳層。此比例可用於達成沈積碳層 中的所欲保形性或吸收性。方才所述用於調整各個C : F及 C : Η沈積材料中C : Η及C : F鍵之比例的相同方法也可用於 52 1352381
控制結合C : F + C : Η沈積碳材料中C : Η及C : F鍵的比例。 氫及氟兩者之碳層也可藉由於利用環形來源之電漿製程 將含氤之非氟碳氣體加入碳氫氣體的方式形成。例如, 或BF34 SiF4或NF3可加入碳氫氣體中。反之,含氫及 兩者之碳層也可藉由於利用環形來源之電漿製程中將含 之非碳氫氣體加入氟碳氣體中的方式形成。例如,H2 B2H6或SiH4或NH3可加入氟碳氣體中。 光吸收層之低溫沈積: 光吸收層(Optical Absorber Layer, OAL)可為非晶 碳層(Amorphous Carbon Layer, ACL),其係利用前述環 電漿源之低溫CVD製程作沈積。若光吸收層(OAL)為非 形碳層(ACL)時,引入處理室之製程氣體為碳先驅物 體。吾人已發現,於非晶形碳材料中以所欲波長(例如8 奈米)的吸收可藉由加入不純物材料於碳中的方式予以 化。前述可使非晶形碳於8 1 0奈米波長下不透光之不純 材料的範例之一為硼。於前述情況中,製程氣體係由碳 驅物氣體(例如丙烯)及硼先驅物氣體(例如 B 2 Η 6 )及用 Β 2 Η 6之稀釋氣體(例如氫)所組成。雖然氦可作為稀釋 體,但吾人發現在有氫存在的情況下,非晶形碳層的光 性可作最佳效果的強化。 第2 0圖係接面形成製程的方塊圖,其包括第9圖之 形電漿來源反應器的低溫 C V D步驟(用於形成光吸收 (OAL)),其後進行高速光退火步驟(例如第1-8圖之光源 含 中 F2 氟 氫 或 形 形 晶 氣 10 強 物 先 於 氣 特 環 層 的 53 1352381
動態表面退火(DSA)製程)。第一步驟(第20圖之方塊205) 為將摻雜不純物離子佈植至半導體材料中,例如結晶矽。 對尺寸小於6 5奈米之幾何元件而言,此摻雜離子佈植步驟 可界定出超淺接面特徵,其中佈植之摻雜區域並未延伸超 過幾百埃。摻雜不純物步驟2 0 5可以習知束線佈植器或, 更明確而言,利用電漿浸沒離子佈植(P 3 i)製程(使用第 9 圖所示之環形來源反應器類型),如2 0 0 4年1 0月1 4曰所 公開,由H i r o j i H a n a w a等人申請之美國專利申請公開第 2004/0200417號中。其後步驟(第20圖之方塊210)係於第 9圖之環形電漿來源反應器中實施低溫化學氣相沈積製 程,以於晶圓上形成光吸收層。方塊2 0 1之C V D製程係由 下列步驟所組成。首先,將晶圓置放於第9圖之反應器的 靜電吸盤上(方塊 2 1 1 );將製程氣體引入反應器處理室中 (方塊 2 1 2)。製程氣體由用於光吸收層材料之先驅物所組 成。例如,若光吸收層為非晶形碳,則製程氣體便為(或包 括)碳的先驅物。前述碳先驅物氣體已於此說明書的先前内 容討論過,且其可為先前所列含碳氣體的任何一種(或其組 合),包括甲烷、乙炔、乙烯、乙烷、丙烯、丙烷、乙基乙 块(ethyl-acetylene)、1,3-丁二稀(1,3-butadiene)、1-丁稀 (1-butene)、η -丁烧(n-butane)、戊烧、己院、曱苯、曱基 苯或乙基乙炔(1 -butyne)或其他適當的碳先驅物。於下一步 驟中(方塊 2 1 3 ),射頻電漿來源電源係藉由射頻產生器 30,30’施加,以於第9圖之再進入管22,22’中產生環形電 漿流。吸附電壓會施加至靜電吸盤以固定晶圓,於晶圓及 54 1352381
靜電吸盤間提供緊貼的電力及熱耦合。產生器30,30’的射 頻來源電源位準可設定以於沈積薄膜中達所欲的保形性程 度(方塊214)。射頻偏壓電壓可藉由射頻產生器44施加至 晶圓,且其電源或電壓位準可作調整以於沈積層中達所欲 應力位準(第20圖之方塊215)。於此步驟中,沈積層的密 度可藉由增加沈積層中之壓縮應力的方式增加。此需增加 偏壓電源或電壓,如先前於此說明書有關第1 3圖所述者。 較佳而言,在先驅物物種包括於沈積之光吸收層中時,引 入處理室之添加氣體為一種可強化光吸收層之光特性的先 驅物物種(方塊2 1 6)。一般而言,於動態表面退火(D S A)光 源之波長(例如8 1 0奈米)時,此光特性為吸收或不透光。 若光吸收層為非晶形碳,則強化物種可為,例如硼’或氮、 氫或其他此說明先前所提及之範例。於沈積製程步驟完成 後,晶圓會卸離(dechucked),通常藉由將吸附電壓設定至 零或至卸離電壓,接著升舉銷會將晶圓舉離靜電吸盤,並 接著關閉射頻來源及/或偏壓電源。
方塊 2 1 6之吸收強化步驟可包括以非常短的時間(幾 秒或幾分)加熱晶圓至適熱的溫度(例如,攝氏4 5 0度)。此 加熱步驟(其也可於沈積光吸收層後於不同反應器中實施) 於某些製程範例中可將光k值(消光係數)由約0.3提高至 0.3 6。光吸收層可沈積至約 0.2 5微米至約1微米間的厚 度。在完成方塊2丨0之光吸收層沈積製程後,可實施動態 表面退火(DSA)製程(第20圖之方塊23 0)。晶圓會置入動 態表面退火處理室(方塊2 3 2 ),且來自連續波二極體雷射 55 1352381
陣列的光會藉由第1 - 8圖之光源以特定波長(例如8 1 0奈米) 於晶圓上聚焦成細線(方塊2 3 4)。此光線會橫向地掃過整 個晶圓(方塊 2 3 6)。此步驟中晶圓的快速加熱已於此說明 書之先前内容做過討論。在完成方塊230之動態表面退火 步驟後,光吸收層會自晶圓去除(方塊 2 40)。此步驟可利 用習知去除處理室,一般包括一經加熱的晶圓支撐件及氧 氣(輻射)源。然而較佳而言’此去除處理室為第9圖所示 之環形電漿反應器類型,其中會引入由氧及/或氮組成之製 程氣體,並以電漿來源電源產生電漿。也可加熱晶圓(以經 加熱之晶圓吸盤或電漿加熱)及/或作偏壓以改善光吸收層 或非晶形碳的移除效果。
光吸收強化物種可藉由後 CVD離子佈植步驟加入光 吸收層,此與方塊2 1 6之步驟(於該步驟中該等物種係藉由 含納於製程氣體中的方式於 CVD沈積製程期間加入光吸 收層)有別。於前述情況中,係將第2 0圖之製程變化如第 2 1圖所示,其中在方塊2 1 0之低溫光吸收層化學氣相沈積 步驟完成後、且在方塊230之動態表面退火步驟之前,會 進行後C V D離子佈植步驟2 2 0以將光吸收強化物種(例如 硼)佈植於光吸收層中。為達成此目的,可使用習知束線離 子佈植器,或較佳者,可以前述Hanawa等人之參考公開 案所述方法利用第9圖之P 3 i (電漿浸沒離子佈植)環形來 源電漿反應器進行。此步驟繪示於第22圖,其中晶圓251 具有一上方薄膜結構 2 5 2,其包括數個摻雜佈植區域。該 晶圓2 5 1及薄膜結構2 5 2由方塊2 1 0之步驟所形成之非晶 56 1352381
形碳光吸收層2 5 3所覆蓋。方塊220之後CVD離 驟係藉由將離子(例如,硼離子)加速佈植至光吸 中的方式進行,如第22圊所示。為避免將硼引入 之超淺接面,需使硼之離子佈植深度輪廓良好的 收層2 5 3底部上方。第2 3 A圖係繪示具有摻雜物 2 5 1a、薄膜結構2 5 2以及光吸收層2 5 3之半導H 晶圓2 5 1。第2 3 B圖係繪示光吸收層2 5 3内光吸 種之離子佈植濃度深度輪廓。該佈植離子(硼)濃 擊一深度並達光吸收層2 5 3底部上方深度幾乎零 使底部光吸收層2 5 3 a未受佈植。此特徵有兩種優 係因存在未佈植之底部光吸收層2 5 3 a,故可避免 體層2 5 1受離子佈植吸收強化物種的污染。另一 使底部光吸收層2 5 3 a不受摻雜,將可強化光吸收 材料間之黏附或結合強度或品質。雖然第2 3 B圖 面或斜升之佈植輪廓,但離子佈植輪廓也可較為 使光吸收層2 5 3整個佈植區域(指較上方者)有相’ 非傾斜)的佈植物種分佈,而作為深度的函數。 消光係數或折射率之虛數部可於無需離子佈 化物種下斜升。例如,於C V D沈積步驟期間加入 之光吸收強化物種的濃度深度輪廓可呈斜升。藉 20圖之製程以包括一步驟的方式可達前述效果, 驟中加入方塊2 1 6步驟之吸收強化物種的比例於 步驟期間係隨時間呈斜升或梯狀。或者,特定製: 如偏壓電源)於 CVD沈積步驟期間係隨時間呈 子佈植步 收層 2 5 3 先前形成 位於光吸 佈植區域 層(矽)或 收強化物 度向下轟 的位置, 點,其一 下方半導 優點為, 層及上方 繪示呈斜 陡峭,以 I均勻(而 植吸收強 光吸收層 由變化第 其中該步 CVD沈積 里參數(例 斜升或梯 57 1352381
狀。此等變化圖示於第24圖中,其中方塊210之CVD沈 積製程中是以兩步驟之任一(或兩者)作為結束。該第一步 驟(第24圖之方塊261)會隨方塊210之CVD沈積步驟期間 進入吸收強化氣體先驅物種(例如B 2 Η 6)之處理室的氣體流 率之時間而斜升。其他步驟(第24圖之方塊262)會隨方塊 2 1 0之沈積步驟期間特定製程參數(例如偏壓電源或電壓) 之時間而斜升。偏壓電源或電壓的斜升(ramping)會形成斜 升深度分佈的壓縮應力,及因此光吸收層2 5 3中密度的縮 減。密度會影響吸收性並因此斜升偏壓電壓會傾向使光吸 收層之吸收特性隨光吸收層内深度的函數而斜升。第 25 圖為一圖表,說明製程氣體中吸收強化物種先驅物氣體之 小部分是如何隨時間(或C VD層厚度)由底部光吸收層的最 小厚度T處開始向上斜升。第26圖為一圖表,說明方塊 2 1 0之 C V D沈積製程期間晶圓偏壓電壓是如何隨時間增 加。在達最小層厚度T之前並不會施加偏壓電壓。前述後 者具有兩種優點,第一係在下方層暴露且未保護前,藉由 移除沈積開始時的晶圓偏壓以避免非預期的將不純物佈植 至下方半導體層。第二為,在底部光吸收層上缺乏偏壓電 壓可最小化光吸收層/晶圓介面處的應力,而幫助此介面處 的結合並可避免在移除光吸收層後於下方層上留下應力痕 跡。第27圖係繪示光吸收層253及下方層251,252之正視 圖。該光吸收層 25 3 包括一純淨且未受應力的底部層 2 5 3 a,而上方部分具有壓縮應力及隨高度會增加的不純物 濃度。 58 1352381
第2 0圖之製程可作變化以藉於光吸收層2 5 3内形成抗 反射塗層的方式強化光吸收性。此特徵可結合或取代前述 吸收強化製程步驟之任一者的方式為之。此變化係示於第 28圖甲,其中 CVD製程2 1 0係於高k值(不透明)及低k 值(透明)交替之光吸收層中形成連續次層的方塊217步驟 作為結束。名詞「k值」係指消光係數、處於動態表面退 火光源波長(例如8 1 0奈米)之折射率的虛數部。於第2 8圖 中,方塊2 1 0之步驟的光吸收層沈積步驟包括方塊2 1 7步 驟,即以第1 - 8圖之動態表面退火光源之波長形成可替代 之高及低k值之光吸收層的連續次層。此步驟可包括下列 步驟之任一者:(a)使吸收強化添加氣體流以步進方式(即 開啟及關閉)進入處理室(第2 8圖之方塊2 1 7 a) ; (b)使添加 氣體内容交替於吸收強化添加氣體物種(例如,含硼氣體) 及透明性強化添加氣體物種(例如,含氟添加氣體)之間(第 28圖之方塊217b); (c)使CVD製程參數交替於促進形成 高k值材料之數值以及促進形成低k值材料之數值之間(第 28圖之方塊217c)。 第2 9 A圖為一圖表,說明反應器處理室中總製程氣體 之(添加性)吸收強化物種先驅物氣體之部分組成物作為時 間函數,其係依據第2 8圖之方塊2 1 7 a步驟隨時間呈步進 或有節奏地向上及向下跳動。此可藉由跳動添加性氣體流 率(以可界定不透明層(群)之「啟動」時間期間以及可界定 較不透明(或幾乎透明)層(群)之「關閉」時間)來進行。跳 動數目可決定抗反射塗層中不透明及非不透明層之交替數 59 1352381
目。其光學厚度一般係對應於動態表面退火光源波長的四 分之一。第29B圊為一圖表,說明反應器處理室中總製程 氣體之添加性氣體之部分组成物作為時間函數,其係依據 第2 8圖之方塊2 1 7 b步驟交替於吸收強化物種先驅物(例 如,含硼氣體)及透明強化物種先驅物(例如,含氟氣體)之 間。吸收強化添加性氣體流率的「準時(〇 n t i m e)」可決定 光吸收層之抗反射部段中不透光層的厚度,而透明強化添 加性氣體流的準時可決定光吸收層之抗反射部段中透明層 的厚度。第2 9 C圖為一圖表,說明影響沈積材料吸收性之 選定製程參敫(例如射頻偏壓電源)之數值作為時間函數。 於第2 9 C圖中,製程參數值係依據第2 8圖之方塊2 1 7 c步 驟於低及高數值間跳動。此步驟可結合方塊2 1 7 a或2 1 7 b 之任一者步驟。在製程參數為偏壓電源時,高數值會於沈 積材料中形成較具壓縮性的應力,使其較密集並藉以強化 其吸收性或消光係數k,而較低數值會形成具較小k值的 次層。其他會影響沈積材料之光吸收性的製程參數可以相 同方式跳動以強化效果。前述添加性製程參數可包括處理 室壓力、晶圓溫度、來源電源、初始沈積材料先驅物氣體(在 非晶形碳光吸收層的情況中為含碳氣體)之氣體流率。 第 3 0圖係圖示一包括由前述步驟之任一者所形成之 抗反射部段的光吸收層。該光吸收層(可為非晶形碳層)係 形成於晶圓2 5 1上方,並由低溫CVD製程形成其薄膜結構 2 5 2。第2 8圖之方塊2 1 7步驟係於該C V D製程之至少一部 份中實施,以使光吸收層2 5 3之部段2 5 3 a由交替之不透明 60 1352381
及非不透明層 253a-1 、 253a-2 、 253a-3 、 253a-4 板成 替換層2 5 3 a- 1至2 5 3 a-4為適當厚度(例如,動態表面 光源之四分之一波長),則部段2 5 3為光吸收層内的抗 塗層。或者,抗反射部段2 5 3 a(第3 0圖示為光吸收層 之内部)亦可作為光吸收層2 5 3剩餘部分之上部上的璧 雖然前述範例係關於光吸收層(其中光吸收性已 大化)&前述低溫C V D製程可用於形成光吸收層或於 波長處具有低光吸收性非晶形碳層。此可藉由,例如 免於光吸收層中含納或加入棚或其他吸收強化不純物 式達成。於純非晶形碳光吸收層的情況中,低溫CVD 會於第3圖之砷化鎵二極體雷射陣列之波長(8 1 0奈i 成相當透明之層。若欲更透明(或較少不透明/吸收度) 可藉由將適當先驅物(例如含氟)氣體加入C V D製程中 由後C V D離子佈植步驟的方式將透明強化不純物(例4 加入光吸收層。 第31圖繪示半導體晶圓40及可於晶圓上實施動 面退火製程之動態表面退火光源2 6 0 (第1 - 8圖),以實 20、21、24或28圖任一者之方塊230步驟。如第31 示,晶圓4 0係塗覆前述低溫C V D製程中沈積之光吸 253。光吸收層253具有前述許多或全部任一者之特微 如,非晶形碳初始材料及吸收強化特徵,例如於CVD 期間或後 CVD離子佈植製程期間引入之吸收強化 物、抗反射部段或塗層及/或經強化之密度。第3 1圖 態表面退火光源包括雷射條狀陣列 1 3 2、微小透鏡 。若 退火 反射 253 :層。 被最 光源 ,避 的方 製程 m形 ,則 或藉 :。氟) 態表 施第 圖所 收層 ,例 製程 不純 之動 陣列 61 1352381 140、一選擇性之中介物(interleaver)142、一選擇性極化多 工器(polarization multiplexer)152 一 系列透鏡 162,164,166、一均質化光管170及聚焦光學儀器180,182 之快軸、以及高溫計1 6 1,其皆已描述於先前第1 - 8圖之 内容中。第31圊所示之觀點係沿光源快軸。光束則沿光源 慢軸(橫向或垂直快軸)相對於晶圓40移動。
第3 2圖係繪示用於退火晶圓中半導體接面(超淺接面) 之集成系統的一實施例。第3 2圖之集成系統係採單一平台 上的「雙」配置,該單一平台具有共同晶圓處理機器人或 機械 3 10,其上並結合一對不同工具。更明確而言,機器 人晶圓處理器3 1 0係與一對輸入/輸出晶圓埠3 1 5 a,3 1 5 b ' 一對前述第9圖類型之環形電漿源低溫CVD反應器處理室 320a,320b、一對動態表面退火處理室325a,325b(各具有一 前述第1 - 8圖類型之完整光源)以及一對光吸收層去除處 理室3 3 0 a,3 3 0 b等介面接合。第3 3圖說明另一集成系統之 實施例,用以形成並退火半導體接面且其可實施前述第
2 0 - 2 9圖所有步驟及製程。第3 3圖之該集成系統具有一晶 圓處理器 350,其具晶圓輸入/輸出埠或工廠介面 3 5 5,3 5 5 ’。下列工具或反應器處理室可耦接至晶圓處理器 3 5 0 : —超淺接面摻雜物離子佈植反應器3 6 5、一後離子佈 植光阻去除處理室3 6 7、一第9圖所示類型之環形電漿源 反應器 3 7 0 (可用以進行低溫 C V D形成光吸收層)、一後 C V D離子佈植反應器 3 7 5 (用以佈植光吸收強化不純物或 添加物至反應器3 7 0中晶圓上所沈積之光吸收層)、一包括 62 1352381 第31圖動態表面退火光源260之動態表面退火處理室380 以及一光吸收層去除處理室 3 8 5 (用以實施後動態表面退 火光吸收層移除製程)。
預佈植晶圓清潔反應器3 6 0可為習知清潔反應器,但 也可為第9圖所示另一種環形來源電漿反應器,其中會將 清潔氣體(例如,含氫或含氧或含氟氣體或含氮氣體或惰性 氣體如氦、氖、氬或氙)引入反應器同時形成電漿。摻雜離 子佈植反應器3 6 5可為習知離子束佈植器或電漿源浸沒離 子佈植(Plsama immersion ion implantation, P3i)反應器。 前述P 3 i反應器可為第9圖所示類型之環形來源反應器,
用以實施本說明書先前所述之 P 3 i接面形成製程(參照 Hiroji Hanawa等人之公開申請案)。後CVD離子佈植反應 器3 7 5可為習知離子束佈植器或可為P 3 i反應器。前述P 3 i 反應器可為第9圖所示類型之環形來源反應器,用以實施 本說明書先前討論之P 3 i製程(參照H i r 〇 j i H a n a w a等人之 公開申請案)。然而於此情況下,佈植物種為光吸收強化物 種先驅物氣體,例如含硼氣體。光吸收層去除反應器385 可為習知反應器,用以自晶圓移除光吸收層。若光吸收層 為非晶形碳,則去除處理室3 8 5會利用氧及/或氮氣並可加 熱晶圓及/或偏壓該晶圓以加速移除製程。然而,光吸收層 去除反應室3 8 5可為第 9圖所示類型之環形電漿源反應 器,其中係將含氧及/或氮氣體、含氫氣體或含氟氣體引入 並以電漿電源產生電漿。晶圓也可加熱(以加熱之晶圓吸盤 或電漿加熱)及/或偏壓以改善光吸收層或非晶形碳的移 63 1352381
除。例如,於環形電漿源去除反應器中,晶圓是置放於 氏 250度加熱之靜電吸盤。於第一步驟中,〇2、H2、 及NF3的氣體混合物會流入環形電漿來源反應器。2千 的射頻環形電源會施加至兩環形電漿源之各者。5 0 0伏 的射頻偏壓電壓會施加至靜電吸盤。在部分去除非晶形 後,於第二步驟中,0 2、Η 2、N 2之氣體混合物會流入環 電漿來源反應器。1千瓦的射頻環形電源會施加至兩環 電漿電源之各者。5 0伏特的射頻偏壓電壓可施加至靜電 盤。第二步驟會實施直至已移除非晶形碳。亦可選擇性 施的是,對應電漿中碳存在與否的光發射線終點訊號可 監測,且可選擇性啟始去除製程至結束。例如,激發的 氧化碳發射線可用以指出電漿中存有碳副產物。當一氧 碳發射線訊號消失時,表示碳層已被移除。前述用於移 光吸收層的去除製程也可作為光吸收層沈積反應器(用 沈積碳光吸收層)中的處理室清潔製程,以在晶圓移出後 送入處理室前移除碳及其他沈積在處理室表面上的材料 一般而言,對用以沈積任何含碳層(無論是否其具有特定 或電特性)之環形電漿反應器而言,前述兩步驟碳去除製 在晶圓送入之前或晶圓移出處理室之後也可作為處理室 潔製裎。例如,此碳去除製程也可作為前述第1 9圖方 6 1 4 1之處理室清潔步驟。 製程範例: 下文為用於光吸收層沈積之碳先驅物的部分列表 攝 Ν2 瓦 特 碳 形 形 吸 實 作 化 除 以 或 〇 光 程 清 塊 64 41352381 碳(C) 氫(Η) 曱烷 1 乙炔 2 乙缔 2 乙烷 2 丙稀 3 丙烧 3 乙基乙炔 4 1, 3 - 丁二烯 4 1 - 丁烯 4 η-丁烷 4 戊烷 5 己烷 6 甲苯 7
2 4 6 6 8 6 乙基乙炔(1-butyne) 6 8 10 12 14 曱基笨 8 (C6H5CH3) 其他先驅物(例如氟碳化物)也可使用,但與碳氫化物 相比,在雷射光輻射波長下通常會有較差的吸收性(亦即, 消光係數或複折射率的虛數部)。氟碳化物可因此在需沈積 一層、或一層的一部份(較透明或較不具吸收性/不透光)時 特別有用。較佳之碳氫氣體為c 4 F 6或C 3 F 6。其他碳氫氣 65 1352381
體包括C2F4、 C2F6、C3F8、C4F8及 C5F8。用以進一步強 化光特性的不純物範例為B2H6、BF3、B5H9、PH3、PF3、 AsH3、AsF5、SiH4、SiF4、GeH4、GeF4,且伴隨通常可提 供較摻雜氟化物為佳之吸收性的氫化物。於一範例中,在 300mm石夕晶圓上,C3H6係以600sccm的流率作為破先驅物 氣體,伴隨著20sccm流率的硼先驅物B2H6、1 80sccm流 率的H2以及15毫托耳製程處理室壓力且200sccm流率之 氬稀釋氣體。並對以交叉環狀配置之兩再進入管之各者施 加2千瓦的射頻環形電源(以約1 2 -1 4 Μ Η z的頻率)。射頻 偏壓電壓(以1 - 3 MHz之頻率)在幾秒後會由0斜升至7千 瓦之峰值對峰值,此需要約8千瓦的射頻偏壓電源。靜電 晶圓吸盤則維持在-2 0至+ 4 0的範圍中,且晶圓溫度約為攝 氏8 0度至14 0度。對一分鐘製程時間而言,薄膜厚度約為 0.25微米,且在約800奈米之雷射波長時「k」值約為0.36。 薄膜厚度與沈積時間成線性關係,曲變(yielding)三分鐘約 0.75微米。硼先驅物B2H6(最大1 0-20%)常用於與H2、He、 Ar或N2稀釋,因在1 00%時其高反應性會阻礙可用率。雖 然H2或He稀釋為最佳,但也可使用Ar或N2稀釋。其他 硼先驅物也可使用。若不使用硼,前述範例條件在雷射波 長約8 0 0奈米時會產出「k」值約0 · 1 8的薄膜。也可不使 用硼而加入N2 :在使用 N2而不使用硼時,前述範例條件 在雷射波長約8 0 0奈米時會產出「k」值約0.2 5的薄膜。 若為某些應用薄膜欲較低「k」值時,可加入Η 2。在Η 2以 2 0 0 - 4 0 0 s c c m流率加入且未加入棚或Ν 2時,前述範例條件 66 1352381
在雷射波長約8 Ο 0奈米時會產出「k」值約Ο . Ο 4的薄膜 或者或可額外進行的是,可加入含氟氣體以得低「kj值 膜。 非晶形碳薄膜可藉由控制「k」值(吸收性或消光係 或複折射率之虛數部)在一大範圍内的方式作沈積,同時 起伏特徵上提供良好的階梯覆蓋率、無孔洞及控制薄膜 力以改善熱特性及避免破裂或剝離,甚至在受到雷射退 或習知退火時亦然。吸盤或晶圓溫度可較低以增加沈積 而不需犧牲「k」值或其他薄膜特性。於攝氏4 5 0度處理 秒可將「k」值增加至約 0.3 6。該層可有效吸收雷射, 摻雜矽能活化同時維持吸收層的整體性。晶圓表面便可 熔點溫度而不會造成吸收層毁損。在退火後,吸收層可 習知方法(如光阻去除/清潔製程)去除並清潔。或者,去 製程也可回到相同或不同電漿處理室(具有前述利用氧 氧/氮混合物之環形電漿源)。 沈積製程也可為多步驟(如第2 4及2 8圖所討論)。 前述段落之範例中,硼先驅物也可刻意延遲引入直至沈 初始不含硼之層,以避免可能的晶圓摻雜。例如延遲3 t 可得厚度約1 〇 〇 - 1 5 0埃之不含硼層。偏壓電壓也可刻意 遲引入直至僅初始來源電源沈積製程。此可用以避免佈 沈積先驅物至晶圓表面,且此等可獨立或共同使用。於 實施例中,硼先驅物的引入及偏壓電壓啟始係延遲3秒 接著加入硼先驅物,並接著在另外3秒延遲後斜升或步 提升偏壓電壓。此方式可降低沈積或佈植硼或碳之的可 薄 數 於 應 火 率 數 使 達 以 除 或 於 積 > > 延 植 進 能 67 1352381
性。或者,N2(而非硼)會在最初3秒延遲後加入,並在另 外3秒延遲後步進增加偏壓電壓。於另一實施例中,N 2 (而 非硼)會在最初3秒延遲後加入,並在另外3秒延遲後步進 增加偏壓電壓,接著在60秒後,會為其餘製程起始硼先驅 物(隨著或不隨N2)。於光吸收層處於所欲波長時(例如8 1 0 奈米)沈積非晶形碳薄膜之低溫環形電漿CVD製程中,在 將(1)硼(亦即,B 2 Η 6)加上(2 ) N 2或其他形式之氮加入初始 非晶形碳先驅物碳氫氣體(亦即C 3 Η6)時會有加乘效果。沈 積碳層的熱穩定性於攝氏450度時會改善,且更高溫度時 更為明顯。更明確而言,沈積之非晶形碳層可為雷射加熱 至或高於矽的熔點溫度而不會造成沈積層的分層或剝離等 現象。此特徵事實上會降低一般所需之臨界晶圓電壓或臨 界離子能量以避免分層或剝離。前述於碳氫氣體中結合硼 及氮添加物之特徵也可在沈積光吸收非晶形碳層時使用, 且也可用以沈積非光吸收之碳層。於另一範例中,於 300mm之石夕晶圓上,氬本身可以800sccm之流率及30毫 托耳之壓力引入,以利用1千瓦(以約1 2 -1 4MHz之頻率) 射頻環形來源電源對兩再進入管(以交叉環狀配置)之每一 者起始電漿。在電漿起始步驟之後,節流閥會作調整以降 低處理室壓力至1 5毫托耳,並在剩餘的沈積製程中予以維 持。繼而,氬氣流會降低至2 0 0 s c c m流率並以6 0 0 s c c m流 率引入C 3 H6以作為碳先驅物氣體,並將環形來源電源位準 提升至每管2千瓦約3秒鐘以沈積初始介面層。(在剩餘沈 積製程中環形來源電源位準係維持在每管2千瓦)。接著將 68 1352381
N2以 3 3 3 seem之流率引入且在幾秒後射頻偏壓電壓( 1-3MHZ之頻率)由0或低初始值斜升至7千瓦之峰值對 值,此需要約8千瓦的射頻偏壓電源。在約4 0秒後,B 2 係以2 0 s c c m之流率隨氫稀釋氣體(以1 8 0 s c c m之流率)並 斷N2流(選擇性實施)引入。此步驟實施1 40秒。於整個 行期間,靜電晶圓吸盤會維持在-20至+40之範圍中,且 圓溫度約攝氏8 0度至1 4 0度。對於約3分鐘的總製程時 來說,薄膜厚度約為〇 _ 7 5微米,且「k」值於約8 0 0奈 之雷射波長下約為〇. 3 6。薄膜有良好熱穩定性及保形性 且有下方晶圓表面最小的佈植損害。且,其在先前所述 環形去除處理室、或於攝氏2 5 0度晶圓溫度之習知下游 由基去除製程處理室中,其皆可利用氮與氧之混合物及 於1 0 %之C F 4去除。C F 4或可替換之氟來源可在初始上 含硼層被去除(氟或替代之氫可協助移除硼)後終止,在 後習知氮及氧便可以最小傷害的方式有效移除下方晶圓 面之剩餘薄膜厚度。 雖然本發明已參照該等較佳實施例詳細描述,然應 理解其亦可在不悖離本發明精神及範圍下作改變或潤飾 【圖式簡單說明】 第1圖係繪示動態表面退火設備。 第2圖係第1圖設備之光學儀器的俯視圖。 第3圖係對應第2圖之正視圖。 第4圖係用於第1圖設備中之雷射陣列的截面圖。 以 H6 中 進 晶 間 米 之 自 少 方 其 表 可 69 1352381 第5圖係第1圖設備中一光均勻管的透視圖。 第6圖係第5圊具準直及聚焦透鏡之光管的透視圖。 第7圖為對應第6圖之側視圊。 第8圖對應第6圖之俯視圖。 第9圖係繪示用於低溫化學氣相沈積製程之環形電漿 源反應器。 第1 0圖為一方塊圖,繪示實施於第9圖之一般低溫化 學氣相沈積製程。
第1 1 A圖為一圖表,說明以第1 0圖之低溫製程所沈 積之層的保形性作為電源之函數。 第11 B圖為高深寬比開口以及沈積層之截面圖,已說 明保形性的定義。 第1 2圖係一繪示化學氣相沈積之沈積率作為電漿電 源函數之圖表。 第 1 3圖係一繪示沈積層之應力作為偏壓電源位準函 數之圖表。
第1 4圖係一方塊圖,說明第1 0圖製程之實施例。 第1 5圖係一方塊圊,說明第1 0圊製程之另一實施例。 第1 6圖係一方塊圖,說明第1 0圖製程之又一實施例。 第1 7圖係藉由第1 5圖或第1 6圖之製程所形成之薄膜 結構的截面圖。 第1 8圖係一圖表,繪示第1 5或第I 6圖中佈植之離子 密度作為晶圓表面下方深度之函數。 第1 9圊係一方塊圖,說明第1 〇圖製程之再一實施例。 70 1352381 第20圖係一用於形成超淺接面之製程的方塊圖。 第2 1圖係第2 0圖製程之替代實施例的方塊圖。 第2 2圖係形成於第2 1圖製程中之薄膜結構的截面圖。 第2 3 A圖係形成於第2 1圖製程中之薄膜結構的截面 圖。 第23B圖係一離子佈植物種濃度作為第23A圖之薄膜 結構之深度函數的圖表。 第24圖係第20圖製程之替代實施例的方塊圖。
第2 5圖係添加性氣體流率作為第2 4圖製程之函數的 圖表。 第26圖係射頻晶圓偏壓作為第24圖製程之時間函數 的圖表。 第2 7圖係第2 4圖製程所形成之薄膜結構的裁面圖。 第2 8圖係第2 0圖製程之另一替代實施例的方塊圖。 第2 9 A圖係一圖表,繪示兩不同添加性氣體作為第2 4 圖製程之時間的函數。
第29B圖係一圖表,繪示第24圖製程之另一態樣中 單一添加氣體之比例。 第29C圖係說明晶圓射頻偏壓作為第24圖製程之又 一實施例中時間的函數。 第3 0圖係繪示一薄膜結構,其具有以第2 4圖之製程 所形成之多層沈積塗層。 第 3 1圖係說明一用於退火半導體晶圓中超淺接面之 操作。 71 1352381 第3 2圖係說明一集成系統, 圓。 第3 3圖係說明一集成系統, 超淺接面的所有步驟。 以依據本發明處理一晶 以實施形成晶圓表面中
【主要元件符號說明】 10 側壁 12 14 靜電吸盤 16 18 氣體分配板 20 22 再進入管 22' 23 絕緣環 23' 24 射頻電源供應 器 24' 26 磁鐵芯 265 28 導電線圈 28 5 30 射頻電源產生 器 30’ 32 匹配電路 325 34 氣體供應器 40 42 製程區域 44 46 匹配電路 48 50 絕緣電容器 110 112 軌道 114 116 起重架橫樑 118 120 光源束 122 124 雷射束 126 頂部 柚吸環形物 氣體注入器 再進入管 絕缘環 射頻電源供應器 磁鐵芯 導電線圈 射頻電源產生器 匹配電路 晶圓/工作件 射頻偏壓電源產生器 電壓電源 起重架結構 轨道 起重架橫樑 ^夕晶圓 線束 72 1352381
132 雷射條堆疊 136 發散器 142 中介物 161 高溫計 163 光檢測器 16 5 渡光器 167 控制器 172 矩形板 1 76 輸入表面 180 變形透鏡 242 薄膜結構 2 5 3 非晶形碳「光吸收層」 253 a-2 非不透明層 25 3 a-4 非不透明層 3 10 晶圓處理器 320a CVD反應器 325a DSA處理室 330a 去除處理室 3 50 晶圓處理器 3 5 5’ 晶圓輸出 365 離子佈植反應器 3 70 環形電漿反應器 3 80 DSA處理室 134 條 140 柱形透鏡陣列 158 光源束 162 柱形透鏡 164 柱形透鏡 166 柱形透鏡 170 光管 174 軸 178 輸出表面 182 變形透鏡 2 5 1a 晶圓 2 5 3 a-l 不透光層 2 5 3 a-3 不透光層 2 6 0 光源 315a 晶圓埠 320b CVD反應器 32 5b DSA處理室 330b 去除處理室 3 5 5 晶圓輸入 3 60 清潔反應器 367 後離子佈植去除處理器 3 75 後CVD離子佈植反應器 3 8 5 光吸收層去除處理室 73

Claims (1)

1352381 ****** 十、申請專利範圍: 1. 一種於一工作件之半導體材料中形成半導體接面的方 法,其至少包含以下步驟: 離子佈植摻雜不純物於該半導體材料選定之區域 中; 將一光吸收材料先驅物氣體引入一含有該工作件之 處理室中;
藉由施加射頻電源的方式於一再進入路徑 (reentrant path)中形成一射頻振盪環形電聚流,以沈積 一光吸收材料層於該工作件上,其中該再進入路徑包括 該工作件上方之一製程區; 光學地退火該工作件,以活化該半導體材料中的摻 雜不純物; 藉由於沈積該光吸收材料期間將一吸收強化物種先 驅物氣體加入該光吸收材料先驅物氣體,以將一吸收強 化物種含納在該光吸收材料中;以及
隨時間改變該處理室中之該吸收強化物種先驅物氣 體之比例,以隨該光吸收材料之深度改變吸收特性。 2.如申請專利範圍第1項所述之方法,其中施加射頻電源 的步驟包括將射頻電源耦接至該再進入路徑之一外部 部分。 74 1352381 第权丨)號專利案年J月修正 工作 之溫 偏壓 材料 括一 化物 收材 該工 該工 掃過 3. 如申請專利範圍第丨項所述之方法,其更包括將該 件維持在低於攝氏200度以下。 4. 如申請專利範圍第3項所述之方法,其中該工作件 度係低於攝氏1 〇 0度以下。 5·如申請專利範圍第1項所述之方法,其更包括施加 電源或電壓至該工作件。 6·如申請專利範圍第5項所述之方法,其中該光吸收 至少包括非晶形碳且該光吸收材料先驅物氣體包 含碳氣體。 7. 如申請專利範圍第1項所述之方法,其中將吸收強 種含納在光吸收材料中的步驟包括在沈積該光吸 料後將該吸收強化物種離子佈植至該光吸收材料。 8. 如申請專利範圍第1項所述之方法,其中光學退火 作件的步驟包括自雷射陣列將光聚焦成線束,射於 作件之上表面上,並將該線束以橫切該線束之方向 該上表面。 9.如申請專利範圍第8項所述之方法,其中: 75 1352381 該雷射陣列會以一預定波長發散輻射;以及 該吸收強化物種可以該預定波長增加該光吸收材料 之不透明度。 10.如申請專利範圍第5項所述之方法,其更包括調整該偏 壓電源或電壓以於該光吸收材料中達到預定應力位準。
11.如申請專利範圍第10項所述之方法,其中該預定應力 位準相當於壓縮應力。 12.如申請專利範圍第1項所述之方法,其更包括調整該射 頻電源位準以於該光吸收材料中達到所欲保形性程度。 13.如申請專利範圍第12項所述之方法,其中該調整步驟 包括將該射頻電源設定至一足以達高度保形性之高位 準,以藉該光吸收材料獲得階梯覆蓋。
14.如申請專利範圍第9項所述之方法,其中該吸收強化物 種至少包含硼、氮、氫之一者。 15.如申請專利範圍第5項所述之方法,其更包括隨時間將 該偏壓電源或電壓位準由一初始值增加至最大值,以將 該光吸收材料中的應力分等級。 76 1352381 16.如申請專利範圍第1項所述之方法,其中隨時間改變該 吸收強化物種先驅物氣體之比例的步驟包括下列一者: (a) 斜升(ramping)該比例以隨該光吸收材料之深度 將該吸收特性分等级; (b) 變更該比例以於具有交替高與低不透光度之次 層的該光吸收材料中形成一抗反射塗層。
17.如申請專利範圍第7項所述之方法,其更包括提供一離 子佈植深度輪廓,其可隨深度變化以隨深度改變該光吸 收材料的不透明度。
77
TW095117366A 2005-05-17 2006-05-16 A semiconductor junction formation process includi TWI352381B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/131,904 US7109098B1 (en) 2005-05-17 2005-05-17 Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing

Publications (2)

Publication Number Publication Date
TW200717613A TW200717613A (en) 2007-05-01
TWI352381B true TWI352381B (en) 2011-11-11

Family

ID=36974440

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095117366A TWI352381B (en) 2005-05-17 2006-05-16 A semiconductor junction formation process includi

Country Status (5)

Country Link
US (1) US7109098B1 (zh)
JP (1) JP2008546178A (zh)
KR (1) KR20080011421A (zh)
TW (1) TWI352381B (zh)
WO (1) WO2006124965A1 (zh)

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US20040149219A1 (en) * 2002-10-02 2004-08-05 Tomohiro Okumura Plasma doping method and plasma doping apparatus
JP4853857B2 (ja) * 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
US7968473B2 (en) * 2006-11-03 2011-06-28 Applied Materials, Inc. Low temperature process for depositing a high extinction coefficient non-peeling optical absorber for a scanning laser surface anneal of implanted dopants
US7901776B2 (en) * 2006-12-29 2011-03-08 3M Innovative Properties Company Plasma deposited microporous carbon material
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8377209B2 (en) * 2008-03-12 2013-02-19 Applied Materials, Inc. Linear plasma source for dynamic (moving substrate) plasma processing
US7947584B2 (en) * 2008-05-02 2011-05-24 Applied Materials, Inc. Suitably short wavelength light for laser annealing of silicon in DSA type systems
US7906817B1 (en) * 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI421954B (zh) * 2008-12-31 2014-01-01 Taiwan Tft Lcd Ass 接合檢視結構
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8362596B2 (en) * 2009-07-14 2013-01-29 International Business Machines Corporation Engineered interconnect dielectric caps having compressive stress and interconnect structures containing same
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110070724A1 (en) * 2009-09-21 2011-03-24 Applied Materials, Inc. Defect-free junction formation using octadecaborane self-amorphizing implants
JP2012212706A (ja) * 2011-03-30 2012-11-01 Tohoku Univ 半導体装置及びその製法において用いられるアモルファスカーボン膜の製造法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5922352B2 (ja) * 2011-08-11 2016-05-24 Sppテクノロジーズ株式会社 窒化膜の製造装置及びその製造方法、並びにその製造プログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8679987B2 (en) 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10312137B2 (en) * 2016-06-07 2019-06-04 Applied Materials, Inc. Hardmask layer for 3D NAND staircase structure in semiconductor applications
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
TW202111147A (zh) * 2019-08-12 2021-03-16 美商應用材料股份有限公司 低k介電膜
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2344138A (en) 1940-05-20 1944-03-14 Chemical Developments Corp Coating method
US3109100A (en) 1960-05-19 1963-10-29 Automatic Canteen Co Photosensitive currency testing device
US3576685A (en) 1968-03-15 1971-04-27 Itt Doping semiconductors with elemental dopant impurity
US3907616A (en) 1972-11-15 1975-09-23 Texas Instruments Inc Method of forming doped dielectric layers utilizing reactive plasma deposition
CH611938A5 (zh) 1976-05-19 1979-06-29 Battelle Memorial Institute
DE3118785A1 (de) 1981-05-12 1982-12-02 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zum dotieren von halbleitermaterial
DE3221180A1 (de) 1981-06-05 1983-01-05 Mitsubishi Denki K.K., Tokyo Verfahren und vorrichtung zur herstellung einer halbleitervorrichtung
US4385946A (en) 1981-06-19 1983-05-31 Bell Telephone Laboratories, Incorporated Rapid alteration of ion implant dopant species to create regions of opposite conductivity
US4382099A (en) 1981-10-26 1983-05-03 Motorola, Inc. Dopant predeposition from high pressure plasma source
JPH0635323B2 (ja) 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4521441A (en) 1983-12-19 1985-06-04 Motorola, Inc. Plasma enhanced diffusion process
JPS60153119A (ja) 1984-01-20 1985-08-12 Fuji Electric Corp Res & Dev Ltd 不純物拡散方法
US4539217A (en) 1984-06-27 1985-09-03 Eaton Corporation Dose control method
US4584026A (en) 1984-07-25 1986-04-22 Rca Corporation Ion-implantation of phosphorus, arsenic or boron by pre-amorphizing with fluorine ions
US4698104A (en) 1984-12-06 1987-10-06 Xerox Corporation Controlled isotropic doping of semiconductor materials
JPH0763056B2 (ja) 1986-08-06 1995-07-05 三菱電機株式会社 薄膜形成装置
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4912065A (en) 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
KR930003857B1 (ko) 1987-08-05 1993-05-14 마쯔시다덴기산교 가부시기가이샤 플라즈마 도우핑방법
US4778561A (en) 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
US5643838A (en) 1988-03-31 1997-07-01 Lucent Technologies Inc. Low temperature deposition of silicon oxides for device fabrication
US4871421A (en) 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5106827A (en) 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
US5312778A (en) 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5040046A (en) 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5107201A (en) 1990-12-11 1992-04-21 Ogle John S High voltage oscilloscope probe with wide frequency response
US5288650A (en) 1991-01-25 1994-02-22 Ibis Technology Corporation Prenucleation process for simox device fabrication
JP3119693B2 (ja) 1991-10-08 2000-12-25 エム・セテック株式会社 半導体基板の製造方法及びその装置
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5505780A (en) 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
WO1994006263A1 (en) 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
US5510011A (en) 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
JP3430552B2 (ja) 1993-05-07 2003-07-28 ソニー株式会社 ダイヤモンド半導体の製造方法
US5572038A (en) 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
IT1263372B (it) 1993-05-26 1996-08-05 Deregibus A & A Spa Macchina perfezionata per la produzione di tubi in gomma vulcanizzata.
EP0634778A1 (en) 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
JP2919254B2 (ja) 1993-11-22 1999-07-12 日本電気株式会社 半導体装置の製造方法および形成装置
US5520209A (en) 1993-12-03 1996-05-28 The Dow Chemical Company Fluid relief device
US5435881A (en) 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
DE19581590T1 (de) * 1994-03-25 1997-04-17 Amoco Enron Solar Erhöhung eines Stabilitätsverhaltens von Vorrichtungen auf der Grundlage von amorphem Silizium, die durch Plasmaablagerung unter hochgradiger Wasserstoffverdünnung bei niedrigerer Temperatur hergestellt werden
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5569363A (en) 1994-10-25 1996-10-29 Sony Corporation Inductively coupled plasma sputter chamber with conductive material sputtering capabilities
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5888413A (en) 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5702530A (en) 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US6000360A (en) 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5770982A (en) 1996-10-29 1998-06-23 Sematech, Inc. Self isolating high frequency saturable reactor
SE510984C2 (sv) 1996-10-31 1999-07-19 Assa Ab Cylinderlås
JP4013271B2 (ja) 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
US6139697A (en) 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
JPH10270428A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US5985742A (en) 1997-05-12 1999-11-16 Silicon Genesis Corporation Controlled cleavage process and device for patterned films
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US6321134B1 (en) 1997-07-29 2001-11-20 Silicon Genesis Corporation Clustertool system software using plasma immersion ion implantation
US5935077A (en) 1997-08-14 1999-08-10 Ogle; John Seldon Noninvasive blood flow sensor using magnetic field parallel to skin
US6041735A (en) 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
US5994236A (en) 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6265328B1 (en) 1998-01-30 2001-07-24 Silicon Genesis Corporation Wafer edge engineering method and device
US6132552A (en) 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US5944942A (en) 1998-03-04 1999-08-31 Ogle; John Seldon Varying multipole plasma source
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6101971A (en) 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
JP3906579B2 (ja) 1998-08-26 2007-04-18 三菱電機株式会社 イオン源装置
US6050218A (en) 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6174743B1 (en) 1998-12-08 2001-01-16 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
US6096661A (en) 1998-12-15 2000-08-01 Advanced Micro Devices, Inc. Method for depositing silicon dioxide using low temperatures
US6239553B1 (en) 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6248642B1 (en) 1999-06-24 2001-06-19 Ibis Technology Corporation SIMOX using controlled water vapor for oxygen implants
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6182604B1 (en) 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6335536B1 (en) 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
US6303519B1 (en) 2000-07-20 2001-10-16 United Microelectronics Corp. Method of making low K fluorinated silicon oxide
US6305316B1 (en) 2000-07-20 2001-10-23 Axcelis Technologies, Inc. Integrated power oscillator RF source of plasma immersion ion implantation system
KR100365414B1 (en) * 2001-04-30 2002-12-18 Hynix Semiconductor Inc Method for forming ultra-shallow junction using laser annealing process
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids

Also Published As

Publication number Publication date
JP2008546178A (ja) 2008-12-18
WO2006124965A1 (en) 2006-11-23
KR20080011421A (ko) 2008-02-04
TW200717613A (en) 2007-05-01
US7109098B1 (en) 2006-09-19

Similar Documents

Publication Publication Date Title
TWI352381B (en) A semiconductor junction formation process includi
TWI354031B (en) Low temperature plasma deposition process for carb
TWI359208B (en) Low temperature absorption layer deposition and hi
US7422775B2 (en) Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
CN101243544B (zh) 使用低温沉积含碳硬掩膜的半导体基材制程
US7312148B2 (en) Copper barrier reflow process employing high speed optical annealing
US7335611B2 (en) Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) Semiconductor substrate process using an optically writable carbon-containing mask
JP5351450B2 (ja) 動的表面アニール処理のための吸収層
US8338316B2 (en) Low temperature process for depositing a high extinction coefficient non-peeling optical absorber for a scanning laser surface anneal of implanted dopants
US7588990B2 (en) Dynamic surface annealing of implanted dopants with low temperature HDPCVD process for depositing a high extinction coefficient optical absorber layer
US20070243721A1 (en) Absorber layer for dsa processing

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees