JP2008546178A - 光吸収層の低温プラズマ堆積と高速光学アニーリングを含む半導体接合部形成プロセス - Google Patents

光吸収層の低温プラズマ堆積と高速光学アニーリングを含む半導体接合部形成プロセス Download PDF

Info

Publication number
JP2008546178A
JP2008546178A JP2008512458A JP2008512458A JP2008546178A JP 2008546178 A JP2008546178 A JP 2008546178A JP 2008512458 A JP2008512458 A JP 2008512458A JP 2008512458 A JP2008512458 A JP 2008512458A JP 2008546178 A JP2008546178 A JP 2008546178A
Authority
JP
Japan
Prior art keywords
wafer
layer
plasma
light
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008512458A
Other languages
English (en)
Inventor
カーティク ラマスワミー,
ヒロジ ハナワ
ビアジオ ガロ,
ケニス, エス. コリンズ,
カイ マ,
ヴィジェイ パリハール,
ディーン ジェニングズ,
アブヒラッシュ マユール,
アミール アル−バヤティ,
アンドリュー グイェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008546178A publication Critical patent/JP2008546178A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers

Abstract

ワークピースの半導体材料内に半導体接合部を形成する方法は、半導体材料の選択された領域内にドーパント不純物をイオン注入するステップと、ワークピースを含有したチャンバ内に光吸収体材料前駆物質ガスを導入するステップと、RFソース電流を印加することで、ワークピースの上にあるプロセスゾーンを含む再入経路内にRF発振トロイダルプラズマ電流を生成して、ワークピース上に光吸収体材料の層を堆積させるステップと、ワークピースを任意でアニーリングして、半導体材料内でドーパント不純物を活性化するステップとを備える。
【選択図】 図9

Description

発明の背景
[01]結晶半導体ウェーハ上に形成した高速集積回路は、ソース領域とドレイン領域内に注入したイオン注入ドーパント不純物により形成された極浅の半導体接合を有する。注入したドーパント不純物が高温アニーリングステップによって活性化されることで、注入した原子の大きな割合が結晶半導体格子内で代替物となる。こうしたイオン注入後のアニーリングステップは、ウェーハ容量全体を短時間だけ非常に高温に加熱する(例えば、約100〜200℃/秒の上昇率や、50〜100℃/秒の初期効果率)強力な照明を採用した急速加熱プロセス(RTP)によって行われる。注入した接合部の画成を、半導体ウェーハの注入場所から熱誘導されたドーパント不純物の拡散によって劣化させないために、加熱期間を短くする必要がある。このRTPアプローチは、炉内でウェーハを長期間加熱する旧式のイオン注入後アニール技術にかけての大きな向上である。照明を使用するRTPは、加熱器反応時間が非常に遅い炉アニーリングステップと比べて、熱源(照明フィラメント)の時間反応が短いため効率的である。RTP方法の高温かつ短時間の加熱は、注入した不純物の活性化を援助すると同時に、熱による拡散の誘導を最小化する。
[02]向上したアニールは、ウェーハ全体の表面(のみ)を非常に短い時間(例えば数ミリ秒間)だけ非常に高い温度にまで加熱する強力なフラッシュランプを採用したフラッシュランプアニールプロセスによって行う。注入した接合部の画成を、半導体ウェーハの注入場所から熱誘導されたドーパント不純物の拡散によって劣化させないために、加熱期間を短くする必要がある。このフラッシュアプローチは、水の大部分が熱シンクとして機能し、熱いウェーハ表面を急速に冷却することから、RTPアプローチを改良したものということになる。水の全量をほぼ同じアニール温度に加熱するRTPアニーリングと異なり、フラッシュランプを使用した高速アニールでは熱がウェーハの表面に閉じ込められるためより効率的である。このフラッシュ方法の高温での短期間によって、熱誘導される拡散が最小化する。しかし、ウェーハ全体にかけて均一な熱を達成することは難しい。ウェーハ内における熱の非均一性が大きくなると大量の機械応力が作成され、その結果ウェーハが破壊され、フラッシュランプを使用するアニールの場合には最高動作温度が約1150度に規制されてしまう。フラッシュランプアニーリングの表面温度は、1枚のウェーハから次のウェーハ繰り返し可能な方途で制御することが難しいフラッシュランプの強度とパルス期間によって決定される。
[03]RTPに伴う1つの問題は、装置サイズが65ナノメートル(nm)以下に減少すると、RTPまたはフラッシュ加熱期間が短いにもかかわらず、RTPまたはフラッシュ加熱により生じた最小の熱拡散が装置サイズに対して著しく大きくなってしまうことである。これ以外にも、注入したドーパント不純物の活性度がRTPまたはフラッシュプロセスの最大温度によって規制されるという問題もある。RTPプロセスによってウェーハの全容量を、最大温度を超える温度(例えば1100℃)で加熱すると、極端な場合ウェーハ内に格子欠陥とウェーハ破壊を生じる機械応力が作成される。ウェーハ温度を最大レベルに規制することは(例えば1100℃)、このような破壊を防げるが、残念なことに、注入された(ドーパント)原子の活性化する比率が規制されてしまう(即ち、半導体結晶格子内で置換する)。ドーパントの活性化を規制することで、シート伝導性と装置速度が規制される。装置サイズが65nm未満(例えば45nm)に減少すると、この問題はさらに重大となる。
[04]ドーパントの活性化レベルを、RTPまたはフラッシュアニーリングで達成できるレベルよりも高く上昇させるために、レーザアニーリングがRTPの代わりに導入された。これまで使用されてきたレーザの1つのタイプに、10.6ミクロンの放出波長を有するCOレーザがある。このレーザは、ウェーハ表面全体にかけてラスタ走査されるべき狭い結晶ビームを生産する。ビームをウェーハ表面に対して鋭角に保持することにより、10.6ミクロンにおける表面反射性を減少させる。COレーザ波長はシリコンのバンドギャップよりも小さい光子エネルギーと対応するため、シリコンを事前に加熱して、伝導帯に自由キャリアが存在するようし、これにより、自由キャリア吸収を介した10.6ミクロン光子の吸収が促進されるようにする必要がある。基本的な問題は、10.6ミクロンにおける吸収が、ドーパント不純物(これはとりわけ局所的な自由キャリア濃度を決定する)によって影響を受けるためにパターン依存型であり、ウェーハ表面が均一に加熱されないことである。また、ウェーハ上の伝導特徴または金属特徴は10.6ミクロンのレーザ波長において高度の反射性を有するため、伝導性薄膜特徴が存在する場合にはこのプロセスが有効でなくなる可能性がある。
[05]注入後アニールステップは、短い波長パルスレーザ(短い波長はシリコンのバンドギャップよりも大きな光子エネルギーに対応する)を用いて実行されてきた。表面加熱は非常に急速で浅い一方で、こうしたパルスレーザは半導体結晶を融点にまで上昇させるため、加熱対象を、このアプローチの有効性を低下させてしまう極度に浅い深さのみに限定する必要がある。典型的には、加熱する領域の深さは、極浅接合部の深さを超えない(約200オングストローム)深さである。
[06]前述した問題は、複数の平行ビームがウェーハ直径または半径とほぼ同じ長さを有する狭ライン(例えば幅約300ミクロン)に沿って集束されるダイオードレーザのアレイを採用することで解決されてきた。このダイオードレーザの波長は約810nmである。この波長は、半導体結晶(シリコン)のバンドギャップエネルギーよりも大きな光子エネルギーに対応しているため、レーザエネルギーが原子価と伝導帯の間の電子を励起させ、これにより、吸収されたエネルギーが格子に解放されて格子温度が上昇する。狭レーザビームラインがウェーハ表面全体にかけて横方向に(例えば約300mm/秒の速度で)走査されることで、ウェーハ表面上のエンドポイントが非常に短い時間だけ(例えば約1ミリ秒)露光される。このタイプのアニーリングは、Dean C.Jenningsらによる米国特許公報US2003/0196996A1(2003年10月23日)に開示されている。幅広く薄いビームラインを用いれば、シングルレーザスポットの鉛筆状のビームを用いた場合よりも遥かに急速にウェーハを走査できるため、生産性が、RTPのものに近づくほどに大幅に高まる。しかし、RTPとは異なり、ウェーハ表面の小さな部分しか加熱できないため、ウェーハの残りの(殆どの)部分において応力が解放され、ピーク温度が最大RTP温度を超えて(例えば約1250〜1300℃にまで)上昇してしまう。さらに、レーザ走査アニール中にウェーハの全容量を事前に加熱して、アニーリング特徴を向上させることもできる。最大事前加熱温度は技術ノード、プロセス条件、半導体材料との適合性などによって決定される。この結果、ドーパントの活性は遥かに高いため、シート抵抗性は低く、装置速度は高い。ウェーハ表面の各領域は、約50マイクロ秒で約1250〜1300℃の温度範囲に達する。この領域の深さは約10〜20ミクロンである。これは、約200オングストロームの極浅半導体接合部の深さよりもずっと下へ延びている。
[07]ウェーハ表面は、注入した(ドーパント)原子の所望の活性化度を達成するために、最低温度よりも高い温度に加熱する(例えば1250℃)必要がある。上昇した温度は、これ以外にも、伝導性や漏出といった接合部の電気特徴を向上させるべく、先行する任意の注入または加熱ステップによって生じた格子の損傷および欠陥をアニール処理するために必要とされる。半導体結晶(例えば結晶または多結晶シリコン)の溶融温度を防止するために、ウェーハ表面を最高温度未満(例えば1350℃)に保なければならない。ウェーハ表面全体をこの所望の温度範囲内で均一に加熱するためには、ウェーハ表面の光吸収がウェーハにかけて均一でなければならず、また、レーザビームラインをウェーハにかけて操作しながら、(精密な温度制御を可能にするために)ウェーハ表面の照射部分の表面温度を正確に監視しなければならない。これは、ウェーハ表面の加熱部分による光の放出(通例、レーザ光源とは波長が異なる)を測定することで遂行され、この測定は均一的に正確でなければならない。本明細書で採用している用語「光」は、例えば赤外線、可視、紫外線である光源(例えばレーザ)から放出された、あるいは加熱したウェーハ表面から放出された任意の波長の光または電磁放射を意味する。
[08]問題は、ウェーハ表面上に形成された下の薄膜構造が呈する異なる光吸収特徴と異なる光放射率が、ウェーハ表面上の異なる場所ごとに異なってしまう点である。これにより、ウェーハ表面にかけて均一なアニール温度を得るステップと、ウェーハ表面にかけて均一的に正確な温度測定を得ることが不可能でない場合でも、困難になる。この問題は、レーザ放射を均一的に吸収し、下の半導体ウェーハへ熱を伝導させることができる光吸収層を、ウェーハ表面全体の上にかけて均一に堆積させることで解決できる。このような膜は、レーザアニールステップ中に、損傷または分離することなく、加熱の応力に耐えられなければならず、また、下の層に関連したレーザアニールステップ終了後に選択的に除去することが可能でなければならず、さらに、下の半導体ウェーハあるいは薄膜特徴を汚染もしくは損傷することがあってはならない。さらに、吸収体膜は、下の薄膜特徴の上に優れた段状被覆(高度の正角性)を達成できなければならない。こうした膜の1つの利点に、膜における側方への熱伝導によって光ビーム内の不均一性を覆い隠せることがある。このアプローチが試みられたが、問題に悩まされた。1タイプの吸収体層は、抗反射コーティングを形成する交互の金属層および誘電層とからなっている。このタイプの吸収体材料における異なる層は、レーザビームの強力な熱の下で溶解して一体化し、次のレーザアニールステップでの除去が困難となったり、下の層を金属で汚染させてしまう傾向にある。
[09]本発明で使用したより優れたアプローチでは、プラズマ拡張させた化学気相堆積法(PECVD)によって堆積できる吸収体層を採用している。2003年10月3日にLuc Van Autryveらに出願され、特許譲受人に譲渡された米国特許出願番号10/679,189号、「DSAプロセスのための吸収体層(Absorber Layer for DSA Processing)」で開示されているように、PECVD堆積させる吸収体層はアモルファスカーボンであってもよい。アモルファスカーボンの1つの利点は、プラズマプロセスにおいて、またはラジカルを採用した下流酸化プロセスにおいて、400℃未満のウェーハ温度で酸化によって、容易かつ(他の材料の下の層に関連して)選択的に除去できることである。別の利点は、一般的にカーボンが半導体プラズマプロセスと適合でき、そのため、過剰な注入ガスが発生しない限り汚染が関与することがないことである。問題は、堆積させた層が、非常に高い温度(例えば550℃)で堆積されたのでない限り、レーザアニールステップの高温下ではひび割れや剥離に弱いことである。(こうした堆積層の、高温または高い温度傾度への反応によるひび割れ、剥離、下の層からの分離の傾向、あるいはこれらに対する抵抗のことを、本明細書中では一般的に堆積層の熱特性または熱機械特性と呼んでいる。)さらに、このPECVD堆積プロセスに伴う熱的負担(budget)(時間および温度)により、ドーパントが後続のレーザアニールステップで溶解し難い、具体的には65nm未満の特徴サイズの(例えば特徴サイズ約45nmの)クラスタを形成した。この問題を、吸収体層材料のPECVD堆積中にウェーハ温度を低下させる(例えば400℃に)ことにより解決しようとして2つの問題が生じた。第1の問題は、堆積層の熱特性が、レーザアニーリングステップ中に(ひび割れ、剥離、ウェーハからの分離による)失敗するものであることである。第2の問題は、生産した堆積層が透明であるか、または不十分な光吸収を有するものであることである。これ以外にも、この吸収体層が遭遇する問題として段状被覆に劣ることが挙げられる。PECVD550℃吸収体層が、下の層または薄膜構造内の前述した段の付近に、65nm未満の大きさの非常に大きな空隙を有することができると認めた。
[10]本発明者らは、吸収体層の(例えば剥離やひび割れによる)失敗は、レーザアニールステップ中における1300℃までの急速な加熱の応力に耐えられる(下の層と堆積した材料との)高品質な化学接着の欠如から生じると感じる。また、堆積層の熱特性を向上させるには、こうした高品質の接着を低いウェーハ温度にて達成するためには、PECVプロセス中に高いイオンエネルギーが必要であると感じる。こうした高いイオンエネルギーは、従来のPECVDリアクタでは容易に得られるものではない。吸収体層またはアモルファスカーボン層による低品質の段状被覆は、従来のPECVDまたはHDPCVDリアクタが、適度なレベルのエネルギーイオン衝撃を設けた中間範囲のイオン化(イオン/ラジカル比)を提供できないために生じるものと感じる。これらの不適切性は、一部に、こうした従来のPECVDおよびHDPCVDリアクタがソース電力結合(プラズマ電極を生成するためのもの)、チャンバ圧力、ウェーハ電圧の幅広い中間範囲内において動作できないために生じる。無論、これとは異なるタイプの従来のPECVDリアクタおよびHDPCVDリアクタは、(プラズマ電子を生成するために)非常に高いまたは非常に低い範囲のいずれかのソース電力結合、チャンバ圧力、ウェーハ電圧にて動作する傾向にある。従来のPECVDリアクタは、比較的高圧な静電結合RFソース電力を採用しているため、不適切なレベルのエネルギーイオン衝撃を用いた(さらに、電圧またはエネルギーを別々に制御しない)非常に低い範囲のイオン化(イオン/ラジカル比)を得る結果となる。これは、不十分なソース電力結合(プラズマ電子を生成するためのもの)と、高圧における中性点との衝突によるイオンエネルギーの減衰が原因である。ウェーハのRFバイアスを別に追加したとしても、高圧における中性点との衝突によるイオンエネルギーの減衰によって、電圧およびエネルギー範囲が低い範囲に限定されてしまう。反対に、従来のHDPCVDリアクタは、典型的に誘導結合型RFソース電力を、非常に低圧において採用している。典型的に、このタイプのプラズマソースはプラズマ静電性を開始し、その後、誘導結合型電力モードへ移行するべく高い電力閾値を有するようになる。結合電力がこの閾値を超え、ソースが誘導モードで動作するようになると、ソース電力結合は非常に効率的になり、プラズマ密度は可能な限り最小となり、イオン化(イオン/ラジカル比)の範囲は非常に高くなる。別のRFウェーハバイアスが比較的高密度なプラズマに結合することで、非常に低い電気インピーダンス負荷を呈するようになる。この結果、エネルギーイオン衝突を生産するために必要なRFバイアス電力が非常に高くなる(>2kVの場合で>>10kW)。一般的には、実際のRF送出システム規制(RF生成器、マッチングネットワーク、供給構造)により、高いエネルギーを得ることはできない。殆ど(例えば〜80%)のバイアス電力はウェーハ上で熱として消散される。低いウェーハ温度(<400℃、またはこれ以下)を維持するために、低圧および適度な速度にて熱を除去することは非常に困難である。最後に、吸収膜または半導体膜を(RF窓または絶縁体上に)堆積させる際のカーボンの化学的性質と共に使用する場合には、容量結合型PECVDリアクタと誘導結合型HDPCVDリアクタは電力結合ドリフト(オン時間)イシューを有する。幅広い中間範囲のソース電力結合およびレベル、ウェーハ電力、チャンバ圧力において動作できる能力により、どのような場合でも幅広い中間範囲内でのイオン化比率と、適度レベルのエネルギーイオン衝突とを提供できるリアクタが必要である(これは、以降で詳述するトロイダルプラズマCVDリアクタとプロセスによって解決される)。吸収膜または半導体膜を堆積させる際のカーボンの化学的性質と共に使用する場合、トロイダルプラズマCVDリアクタは電力結合ドリフトを見せない。これは、トロイダルプラズマCVDリアクタが既に伝導的(金属)であり、非常に薄い膜と、隔離された直流電流の破壊とを有することが原因であるが、これでは多量の堆積物が蓄積せず、堆積物はその場で容易にプラズマ洗浄されてしまう。
[11]従来のPECVDリアクタの1つのタイプは、RFプラズマソース電力が印加される接近して離間した1対の平行板電極を有する容量結合型プラズマリアクタである。このような容量結合型リアクタは、典型的には高いチャンバ圧力(2〜10トール)で動作する。この高圧と、(電極半径に対して)接近した間隔は、ウェーハ上への堆積速度を最大化し、プロセス領域外部への堆積を最小化するために採用されている。プラズマソース電力は、バルクプラズマ内の両電子と、プラズマシース内のイオンとに結合する。典型的に、電極にかかる電圧は比較的低く(300mmのウェーハの場合、数kWのソース電力にて1KVpp未満)、プラズマシースは非常に衝突し易いため、典型的にイオンエネルギーは低い。このタイプのリアクタは非常に低いイオン/中性点の存在比率とイオン/ラジカル比率を生産するためイオン束は低く、恐らくこれによって、堆積材料とこれの下の材料との間に必須の高品質の接着を得るために必要なイオンエネルギーレベルまたはウェーハ温度が上昇する。しかし、電極内電圧が低く、衝突シース内でのイオンエネルギーの損失が多いために、高品質の接着に必要なイオンエネルギー分布を生成することは非常に困難である。
[12]従来のPECVDリアクタの別タイプは、誘導アンテナにRFソース電力が印加される誘導結合型高プラズマ密度CVD(HPDCVD)リアクタである。誘導結合プラズマモードの維持に必要で、高いプラズマ密度を生産する高い最小誘導電場のために、このリアクタは、低いチャンバ圧力(例えば5〜1ミリトール)と高いプラズマソース電力レベルで動作する必要がある。誘導結合モードに耐えるためには大量のRFソース電力が必要であり、また、RF誘導型電場はバルクプラズマ内の電子と直接結合するため、このリアクタ内で生産されるイオン化の度数(イオン/中性点密度の比率)は非常に高い値(上述した静電リアクタのものよりも4または5桁大きい)の範囲内に閉じ込められる。これは、プラズマシースを横切る変位によって、またはプラズマシース発振を介して、RF電場が電子とより低い効率性で間接的に結合する静電結合プラズマとは反対である。その結果、プラズマ密度と伝導性が非常に高まり、(ウェーハ電圧が高い伝導プラズマを介してロードされるため)実用的なバイアス電力レベルにて高いウェーハ電圧を生成することが困難となる。この結果、ウェーハに過剰量のRFバイアス電力を印加しない限り高いイオンエネルギーを得ることが不可能となる。これはウェーハを過剰に加熱し、また恐らくは、下の半導体結晶格子内における極浅接合部の境界を(熱拡散によって)破壊してしまう。典型的に、300mmのウェーハの場合において、ピークトゥピークで1〜2kVのウェーハ電圧は約10kWのRFバイアス電力を必要とする。極浅接合部の境界を維持するためにウェーハを冷却することは高いバイアス電力、(1〜2kVよりも)さらに高いバイアス電圧では困難であるため、最高の膜特性にはより高い電力が必要となる。RF電力送出システム>10kWは非常に降下であり、利用可能性も制限されている。
[13]HDPCVDリアクタに伴う別の問題は、チャンバシーリング内に大型の非伝導性ウィンドウを提供し、これを介してコイルアンテナからプラズマソース電力を誘導結合しなければならない点である。これにより、伝導シャワーヘッドを直接ウェーハに載せて使用することができなくなるため、ウェーハにおけるガス分布の均一性と、ウェーハ上のRFバイアスグラウンド基準の均一性とが規制される。さらに、ウェーハ上に非絶縁材料を堆積させるためにリアクタを採用している場合には、処理中に同じ材料が誘電性ウィンドウ上にも蓄積して、RF電力に対する伝導性シールドまたは半導体減衰器が作成されるため、チャンバ内へのソース電力の結合を効率的に低減するか、あるいは遮断することさえも可能である。HDPCVDリアクタの誘電性ウィンドウのような非伝導表面の温度は効率的に制御できないため、処理中および処理後におけるリアクタ内部の堆積がさらに困難にとなる。両タイプのリアクタに関連する問題は、プラズマソース電力が、チャンバ内の任意の利用可能な伝導性表面からの基準戻りを探すことで、プロセス制御が、チャンバ表面上に副産物が堆積したことによる電気的変化によって妨害される。誘電材料と金属材料の両方がチャンバ表面を構成している状態では、処理後に堆積したプラズマ副産物を除去することが困難であるか、あるいはチャンバ部品の過剰な疲労を招く。これは、使い捨て型のシールドまたはプロセスキットを採用し、チャンバ表面上への堆積を防止することによって回避できる。しかし、こうした使い捨て型のシールドでは、優れたRFグラウンド基準を提供することも、任意の精密性による熱制御を行うこともできない。
[14]要するに、従来のリアクタは、狭い低チャンバ圧力ウィンドウ(HDPCVDリアクタの場合)、または狭い高チャンバ圧力ウィンドウ(容量結合型リアクタの場合)に閉じ込めに閉じ込められる。いずれのチャンバも、シースが高度に衝突型であるか(容量結合型リアクタの場合)、またはプラズマが高度な伝導性を有する(HDPCVDリアクタの場合)ために、高いイオンエネルギーを達成できない。また、狭い高イオン化度領域(HDPCVDリアクタ)か、狭い低イオン化度領域(容量結合型リアクタ)のいずれかに結合している。さらに、いずれのタイプのリアクタも、非絶縁材料の堆積に使用する場合には常にパフォーマンスにおける幅広い逸脱の影響を受け易い。これは、容量結合型リアクタ内の電極境界にかけて、または誘電結合型リアクタの誘電ウィンドウ上に蓄積した非絶縁材料によってRFソース電力のチャンバ内への結合が歪んでしまうためである。このような、レーザアニーリングステップ中の機械的失敗または分離の影響を受けることのない、下の層(半導体格子を含む)とのこうした高品質の接着を有する光吸収体層を形成するためには、非常に低温にて実施できる(例えば最大700度の室内温度)堆積プロセスが必要である。このプロセスは、幅広いソース電力ウィンドウ、中間範囲内にある幅広いイオン化度、幅広いイオンエネルギーウィンドウを設けた幅広いウェーハ電圧(バイアス電力)ウィンドウ、幅広いウェーハ温度ウィンドウを有する必要がある。
発明の概要
[15]ワークピースの半導体材料内に半導体接合部を形成する方法は、半導体材料の選択された領域内にドーパント不純物をイオン注入するステップと、ワークピースを含有したチャンバ内に光吸収体材料前駆物質ガスを導入するステップと、RFソース電流を印加することで、ワークピースの上にあるプロセスゾーンを含む再入経路内にRF発振トロイダルプラズマ電流を生成して、ワークピース上に光吸収体材料の層を堆積させるステップと、ワークピースを光学的にアニーリングして、半導体材料内でドーパント不純物を活性化するステップとを備える。
発明の詳細な説明
序論
[53]背景の説明において上述した全ての問題は、トロイダルのソースプラズマリアクタを採用した低温PECVDプロセスによって、アモルファスカーボン光吸収体層を堆積させることで解決される。トロイダルソースは、HDPCVDリアクタや容量結合したPECVDリアクタとは違い、幅広いイオンエネルギーで動作させることができる。これにより、適度のイオン束を、適度(または高い)イオンエネルギー共に維持することができるため、堆積した層とこれの下の基板または薄膜との間に高品質の接着がウェーハ温度の上昇なしに確立される。実際、ウェーハ温度は室温程度であってもよい(チャンバ温度は、注入した極浅接合への任意の衝撃、例えば、注入プロセス中に形成されたアモルファス層の再結晶化、ドーパントクラスタ形成、熱拡散を最小化する)。その結果、このプロセスで形成された吸収体層が、ウェーハからの分離やひび割れを生じることなく、レーザビーム露光と厳しい加熱とに耐えられるようになる。トロイダルプラズマソースリアクタを動作するバイアス力またはバイアス圧力の範囲が広いので、堆積した層の応力を、引張レベルから圧縮レベルまでの非常に幅広い範囲の中から選択できるようになる。さらに、トロイダルプラズマソースリアクタを動作するソース電力の範囲が広いため、例えば優れた段状被覆に高度の正角性を保証するために、堆積した層の正角性を精密に制御することが可能になる。トロイダルのソースプラズマリアクタは、チャンバ圧力よりも遥かに幅広い範囲(例えば10〜80ミリトール)にかけて動作することができるため、イオン密度とプラズマシースの衝突性を遥かに幅広い範囲にかけて制御することができる。高いイオン密度は必要ないため、比較的少量のバイアス力で、高いウェーハ電圧と高いイオンエネルギーを維持することができる(例えば、300mmウェーハの場合、たった7kWのバイアス力で7kVのウェーハ電圧を維持できる)。トロイダルのプラズマソースリアクタは、誘電体アンテナからのRF電力をチャンバ内に結合するための誘電体窓を必要としないため(また、非常に薄い誘電体「直流破壊」があればよい)、導電性シャワーヘッドをシーリングに配置することができる。この特徴は、ウェーハにかけてのプロセスガス分布の最高の均一性と、高均一な低インピーダンスRF基準グラウンドとを提供する。導電結合に誘電体窓は必要ないので、チャンバ全体を金属製にすることができ、したがってチャンバ全体を熱制御することが可能となるため、処理中の堆積が調節され、処理後のチャンバ表面高温洗浄が促進される。トロイダルプラズマソースは低電位のプラズマを生成し、また、トロイダルプラズマ電流はチャンバ表面を介したグラウンドリターンを必要としないことから、プロセス領域からドリフト電流を生じさせる電位は低いため、処理ゾーンの外のチャンバ表面上には堆積が殆どまたは全くない。トロイダルプラズマリアクタに誘電体窓の必要性がないことによる別の利点は、チャンバ内面上に蓄積した非絶縁体材料からの悪影響が生じることなく、ウェーハ上に非絶縁材料を堆積させるためにリアクタを採用できることである。
[54]本発明は、ナイフ刃状光ビームに一直線化および集束させた1アレイの連続波(CW)ダイオードレーザを使用した、半導体ウェーハにおける極浅接合のダイナミックな表面アニーリングに関する。ナイフ刃状光ビームは高度に強力であり、ウェーハにかけてこれの長さを横切って走査される。少容量であるために急速に冷却し、いつでも瞬時に加熱される、ビーム周囲の極度に局所化された範囲内において、温度が(シリコンのほぼ融点にまで)少しの間だけ上昇される。この技術は、2003年10月23日発行の、Dean C. Jenningsらによる米国特許出願公報US2003/0196996 A1(これ以降、公報Aと呼ぶ)に説明されている。極度に小さい特徴サイズ(例えば45nm)では、3次元位相幾何学的特徴が存在しているため、ウェーハを均一に加熱することは難しい。これらの特徴は、異なる材料を備えるか、または異なる光学性質を有している。こうした特徴により熱吸収が不均一となってしまう。さらに、こうした特徴は表面放出をも不均一にしてしまうため、表面温度を正確に監視することが不可能になる。
[55]過去にも、これらの問題は、ウェーハ全体(後に除去される)にかけて光吸収体層を堆積させることにより検討されてきた。この吸収体層は、複素屈折率の高度の虚数成分を有する(n+ikの「k」値。この場合「n」は屈折率、「k」は消光係数である)。十分に厚い吸収体層がこれとウェーハの間にある膜による放出性の変化と、これの次元位相幾何学的特徴とを覆い隠すことにより、ウェーハにかけてレーザ吸収および熱吸収の均一性(さらに、表面放出性の大きさと均一性)が促進される。問題は、光吸収体層が、下にある層から剥離または分離することなく、ダイナミックな表面(レーザ)アニーリング中における融点に近い温度に耐えなければならない点である。こうした剥離や分離を防止するためには、高温にて吸収体層を堆積させて、吸収体層特徴とこれの下のウェーハ特徴との間の高品質な接着を達成する。この高温はさらに、良質な膜構造、光学特性および電気特性を提供する役割も果たす。これに伴う問題は、ウェーハ温度が、ひび割れ、剥離、分離の影響を受けない高品質の吸収体層を達成するために十分高くなった場合に、このウェーハ温度により、既存のアモルファスシリコン層の再結晶化、または、極浅接合が拡散することでこれの画成が劣化し、ウェーハ上の回路特徴が劣化することのうちいずれかの望ましくない影響が生じる。より低温の従来のCVD吸収体層はまた、著しく減少した「K」値を有するため、これよりも高い温度のCVD吸収体層と同じ純吸収、および下の層の吸収特徴に対する耐性を達成するには遥かに厚い膜が必要である。
[56]これらの問題は、本発明に従って、2004年10月14日発行のHiroji Hanawaらによる米国特許出願公報2004/0200417号(これ以降、公報Bと呼ぶ)に記載されているトロイダルプラズマソース低温CVDプロセスを使用した低温化学気相堆積プロセスにて吸収体層を堆積させることで克服される。このプロセスは、公報Bで詳述されている独特なトロイダルソースプラズマリアクタを採用している。このプロセスは、例えば300未満、さらには室温と同じ温度といった非常に低い温度で実施される。そのため、ウェーハ上に既に形成されている極浅接合特徴上への悪影響(例えば、熱拡散、ドーパント移動、再結晶化)は殆どまたは全くない。吸収体層をアモルファスカーボンにする場合には、カーボンを含有したプロセスガスを採用する。レーザビームから吸収体層への熱の吸収を拡張するために、ボロン、3価リン、ヒ素、シリコン、ゲルマニウムのような不純性をドーピングすることによって、堆積させたアモルファスカーボン層をより不伝導性にする。これは、やはり公報Bに記載のトロイダルソースプラズマ浸入イオン(P3i)プロセスを使用したイオン注入ステップによって、または(あるいは)CVD低温堆積プロセス中にプロセスガス混合物中にボロンを組み込むことによっても実施できる。例えば高い誘電定数を得るべく、吸収体層の誘電定数または屈折率を調整または制御するために堆積させたアモルファスカーボン吸収体層内への他の不純物(例えば窒素)のイオン注入を採用することもできる。代替として、これ以外の不純物(例えば窒素、水素、酸素、フッ素)を、これらをCVD低温堆積処理中に処理ガス混合物質に含めることによって組み合わせてもよい。
[57]熱特性、即ち、ダイナミック表面レーザアニーリングステップ中に生じる低温堆積させた吸収体層の剥離、ひび割れ、分離への耐性は、堆積させた層を圧縮応力をかけた層にすることで拡張される。これは、公報Bで説明しているように、低温プラズマCVDプロセスにおいて、RFプラズマバイアス電力またはバイアス電圧を比較的高レベルに上昇させることで遂行される。比較的高い正角性を用いた吸収体層を堆積させることで、先にウェーハ上に形成した全ての3次元マイクロ回路特徴にかけて優れた段状被覆が得られる。これは、公報Bに説明されているとおり、低温プラズマCVDプロセスにおいてプラズマRF源電力を比較的高レベルに設定することによって遂行される。堆積させた膜の粘着性は、洗浄プロセス中にウェーハを事前処置して、表面酸化物や他の汚染物質を除去することで拡張できる。或る事前処置プロセスは、プラズマソース電力またはバイアス電力によって生成された水素プラズマを使用する。洗浄率を拡張するためにバイアス電圧を追加してもよい。水素イオンおよび/またはラジカルが酸化物または汚染物質の薄膜をエッチングすると考えられている。別の事前処置プロセスでは、プラズマソース電力やバイアス電力によって生成された窒素および/または酸素プラズマを使用する。洗浄率を拡張するためにバイアス電圧を追加してもよい。窒素イオンおよび/または酸素イオンおよび/またはラジカルは、有機汚染物の薄膜をエッチングすると考えられている。この事前処置プロセスに続いて、水素プラズマ事前処置プロセスを行って酸化を除去することもできる。別の事前処置プロセスは、ヘリウム、ネオン、アルゴン、キセノンのような不活性ガスプラズマを使用して、表面酸化または汚染物質をスパッタ洗浄する。代替として、湿式事前処置プロセスを使用して、膜堆積の前に、(接着を拡張するために)ウェーハ表面を洗浄することができる。
[58]高い吸収、または、レーザ光ビームの放射の波長にある、あるいは温度測定高温計の波長にある複素屈折率の消光係数もしくは虚数部分を有するために、吸収体層膜の光学特性をプロセス変数で調整することができる。こうしたプロセス変数には、吸収体層内の不純物(例えば窒素)の濃度、吸収体層内のドーパント(例えばボロン)の濃度、ウェーハ温度、プロセスガス圧力、(C含有ガス、不純物含有ガス、また、ヘリウムや水素やアルゴンのような希釈ガスの)ガス流量、RFバイアス電圧または電力、RFプラズマソース電力、プロセス時間、層の厚さが含まれる。こうした不純物の濃度を層の深さで等級付けすることによって、さらなる吸収体層特性の拡張が得られる。これは、上で参照したP3iプロセスによって注入したイオンの注入深さプロフィールを調整したり、あるいは、プロセスガス内のこうした不純物の濃度を上昇傾斜させたり、公報Bに記述の低温CVDプロセス中にRFバイアス電圧または電力、RFプラズマソース電力または電圧を変更することで遂行される。吸収体層の特性のさらなる拡張は、吸収体層を堆積させたウェーハを硬化させることで得られる。硬化には、熱(温度における時間)または紫外線露光、あるいはこれらの組み合わせが含まれる。これによって、吸収、複素屈折率の消光係数あるいは虚数成分がさらに増加または安定化される。
[59]公報Bのものと同一のトロイダルソースプラズマチャンバを採用して、公報Bの低温CVDプロセスを使用した吸収体層の堆積と、吸収体層内の不純物を注入する任意のP3iイオン注入プロセス(公報Bに記載のとおり)との両方を実行できるようにすることで、ウェーハをチャンバ間で搬送させる必要がなくなる。さらに、上記と同じツールか、または公報Bのトロイダルソースプラズマリアクタを用いたプラットホームに、公報Aのプロセスチャンバ(レーザビームダイナミック表面アニール(DSA)プロセスを実行する)を組み込むことによって、ウェーハが吸収体層(例えばアモルファスカーボン)でコーティングされ、選択した不純物および/またはドーパントのP3iイオン注入によって吸収体層を拡張でき、次に、同じ1つのツール内において、公報AのDSAレーザ光源を使用してウェーハにレーザアニールプロセスを施せるようにすることが好ましい。これはウェーハの汚染の危険を低減する。またさらに、同一のツールやプラットホーム上に、同じトロイダルプラズマソースチャンバあるいは第2(専用の)トロイダルソースプラズマチャンバ(公報Bに記載のものと同タイプ)、もしくは別タイプのプラズマチャンバを組み込んで、レーザアニールDSAプロセスの完了後に吸収体層を取り除くことができるようにすることも可能である。
[60]完全に組み込まれたプロセスには、次に示す、所与のウェーハに以下の順序で使用されるチャンバが必要である。極浅接合(USJ)ソース/ドレイン構造を形成するべくドーパントを注入するためのプラズマ浸入イオン注入(P3i)チャンバ;画成するUSJ構造、またはパターン化したフォトレジストを除去するためのレジストストリップチャンバ;レジストストリップ洗浄後の湿式洗浄チャンバ;アモルファスカーボン吸収体層を形成する、低温CVDプロセスを実行するためのトロイダルソースまたはP3iプラズマリアクタ;DSAマルチレーザ光源と走査機器とを含有したチャンバ;吸収体層を除去するためのカーボンストリップチャンバ;ウェーハストリップ洗浄後の湿式洗浄チャンバ。これらチャンバの少なくとも2またはこれ以上を共通のプラットホーム上に組み込むことで、ウェーハの扱いが低減し、汚染が低減し、生産性が増加する。
[61]吸収体層はアモルファスカーボンであることが好ましいが、これ以外の適切な材料の選択も可能である。膜厚さとおよび吸収、または、吸収層のレーザ光ビームの放射の波長における複合屈折率の消光係数もしくは虚数成分の積は、ウェーハ上の全ての3次元トロイダル特徴およびマイクロ回路構造上に膜を堆積させ、膜の下にある材料の光特性が吸収体層に要求される大きさまで覆い隠されるのに十分でなければならない。吸収体層光特性は、レーザビームからの熱の吸収を最大化するように選択される。吸収体層熱または熱機械特性が、プロセス温度が融点付近であるにもかかわらず、吸収体層が、DSAレーザアニーリング中における剥離、ひび割れ、下にあるウェーハからの分離に耐性を有するようになるように選択される。
[62]吸収体層は、先に述べた3次元表面トロイダル特徴がウェーハ上に存在する場合でも、レーザビームからの均一な吸収を最大化する。吸収体層は優れた熱導体であるため、したがって、ウェーハの局所的に放射された範囲にかけて均一な熱分布を提供する。吸収体層の均一な表面はウェーハの表面放出性を均一にするので、ウェーハ温度の正確な測定を連続的に行うことができるようになり、優れたプロセス制御が得られる。
[63]光吸収の大きさまたは均一性を向上させ、ウェーハ全体の、またウェーハ毎の温度変化を低減するために、上述した吸収体層を、例えばRTA(急速加熱アニール)または「スパイク」アニール、またはフラッシュランプアニールといった、より従来のアニーリング技術に有利に使用することもできる。こうした層を使用すれば、下にある層の、3次元幾何学効果を含む変化を覆い隠すことができる。この場合は、吸収体層の堆積/注入を、フィラメントまたはアーク/ガス放出光源が生産した波長のスペクトルにかかる望ましい光特性に合わせる。本発明の熱吸収体層は、3次元マイクロ回路トロイダル特徴を有する半導体ウェーハのRTAアニーリングにも使用できる。この場合には、吸収体層光特性をRTA光源に適合させる。こうした装置には、シリコン・オン・インシュレータや誘電性構造上のポリシリコンといった高反射性構造が含まれる。
レーザ熱束アニーリング光源
[64]上で参照したダイナミックな表面アニール光源はCWダイオードレーザを使用し、このCWダイオードレーザは、細く長い放射のラインとしてウェーハを衝打する非常に強力な光ビームを生産する。次に、このラインがウェーハ表面にかけて、ラインビームの長い寸法に対して垂直な方向に走査される。光源の一実施形態を図1の略直交図に図示する。2次元走査を行うガントリ構造110は、1対の固定平行レール112、114を含んでいる。2本の平行なガントリビーム116、118は設定距離で離間して相互に固定され、固定されたレール112、114の上に支持され、図示にはないモータおよび駆動機構により、ローラ、ソース、ボールベアリング上で固定レール112、114に沿って滑動するように制御されている。ビームソース120は、例えばビーム116、118の下に垂下される形でガントリビーム116、118上に滑動可能に支持され、また、これらに沿って滑動するべく、図示にはないモータおよび駆動機構によって制御される。シリコンウェーハ40または別の基板がガントリ構造110の下に静止状態にて支持されている。ビームソース120には、下方に向いたファン型のビーム124を生産するためのレーザ光源および光学部品が含まれ、このファン型ビーム124は、固定レール112、114とほぼ平行に、便宜上遅速方向と呼ばれる方向へ延びるラインビーム126としてウェーハ40を衝打する。ここでは図示していないが、ガントリ構造はさらにZ軸ステージを含み、このZ軸ステージは、レーザ光源および光学部品をファン型ビーム124と平行する方向へ移動させ、ビームソース120とウェーハ40の間の距離を制御可能に変化させることで、ウェーハ40上へのラインビーム126の集束を制御する。ラインビーム126の例示的な寸法には、例示的な電力密度400kW/cmの場合で長さ1cm、幅100ミクロンが含まれる。代替として、ビームソースとこれに伴う光学部品を静止固定し、ウェーハを、2次元走査を行うステージ上に支持してもよい。
[65]典型的な動作では、ラインビーム126をこれの長い寸法に対して垂直に、便宜上高速方向と呼ばれる方向へ走査するために、ガントリビーム116、118は固定したレール112、114に沿った特定位置に設定され、また、ビームソース120はガントリビーム116、118に沿って均一の速度で移動される。これによって、ラインビーム126がウェーハ40をこれの1側部から他側部に向かって走査して、ウェーハ40の1cmのスワスを照射する。ラインビーム126は、ウェーハの特定範囲がラインビーム126の光放射に瞬時のみ露光されるように十分狭く、高速方向への走査速度は十分速いが、ラインビームのピークにおける強度は表面領域を非常に高い温度に加熱するのに十分なものである。しかしながら、ウェーハ40のより深い部分は著しく加熱されるわけではなく、この部分はさらに、表面領域を急速に冷却するための熱シンクとして機能する。高速走査が完了すると、ガントリビーム116、118が固定レール112、114に沿って新たな位置へと移動されることで、ラインビーム126が遅速軸に沿って延びるその長い寸法に沿って移動することができる。次に、高速走査を実行して、ウェーハ40の隣接するスワスを照射する。ウェーハ40全体の加熱プロセスが完了するまで、高速走査と遅速走査を、恐らくはビームソース120の蛇行路において交互に繰り返す。図2、図3で直交的に図示している光学部品ビームソース120の1例は、図4の端部平面図に一方のみが図示されている2つのレーザバースタック132から発せられた約810nmのレーザ放射を受光する。各レーザバースタック132は、一般的にGaAs半導体構造内の垂直p−n接合に対応し、約1cmの長さで側方へ延び、約0.9mmの間隔で離間した14本の平行バー134を含んでいる。典型的には、バー134間には水冷却層が配設されている。各バー134の内部には49個のエミッタ136が形成されており、これらエミッタ136の各々は、直交方向への異なる発散角度を有した各ビームを放出する別々のGaAsラスタを構成している。図示されたバー134は、これの長い寸法が複数のエミッタ136へ延び、遅速軸に沿って整列し、また、短い寸法が高速軸に沿って整列した1ミクロン未満のp−n堆積層に対応する形で位置決めされている。ソースサイズを高速軸に沿って小さく設けることで、高速軸に沿った効率的な一直線化が得られる。発散角度は、高速軸に沿って大きく、遅速軸に沿って比較的小さい。
[66]図2、図3に戻ると、レーザ光を高速軸に沿った狭いビームに一直線化するために、2アレイの円筒形レンズレット140がレーザバー134に沿って位置決めされる。これらのレンズレット140を、接着剤を用いて、バー134と整列し、放射範囲136へ延びる形でレーザスタック132に接着することができる。2つのバースタック132から放出された2組のビームが従来の光学部品142に入力される。次に、ソースビーム158が1組の円筒形レンズ162、164、166を通過すると、ソースビーム158が、これが1次元ライトパイプ170に入る前に、遅速軸に沿うが実質的に高速軸と一直線化した有限集合角度にて、遅速軸に沿って集束する。図5の直交図により明白に図示しているライトパイプ170は、バースタック132内で遅速軸上において離間している複数のエミッタ136によって導入された、遅速軸に沿ったビーム構造を低減するためのビームホモジナイザとして機能する。ライトパイプ170は、総内部屈折を生産するのに十分高い屈折率を有する光学ガラスの矩形スラブ172として実現される。これは、遅速軸に沿って短い寸法を有し、高速軸に沿って長い寸法を有する。入力面176上の遅速軸に沿って集中するソースビーム158の軸174に沿ってかなりの距離で延びている矩形スラブ172は、スラブ172の頂面および底面から数回内部反射されることで、遅速軸に沿った表面組織の多くが除去され、また、出力面178から出る際にビームが遅速軸に沿って均質化される。しかし、ソースビーム158は高速軸に沿って上手く一直線化されており、スラブは、ソースビーム158がスラブ172の側面上で内部反射されないが、高速軸に沿った一直線化を維持できるよう十分に幅広い。入口アパーチャーおよび出口アパーチャーと、ビームの集中および発散とを制御するために、ライトパイプ170はこれの軸方向に沿って先細りしている。1次元ライトパイプは代替として、ソースビームが間を通過するスラブ172の上方面と下方面に一般的に対応している2つの平行な反射面として実現することができる。
[67]ライトパイプ170によって出力されたソースビームは一般的に均一である。図6の略図にてさらに図示しているように、さらなるアナモルフィレックレンズの組180、182は、遅速軸内で出力ビームを拡張し、また、ウェーハ40上に望ましいラインビーム126を投射する一般的に球状のレンズを含んでいる。アナモルフィック光学部品180は、長さが限られた狭いラインビームを生産するために、2次元においてソースビームを形作っている。高速軸の方向において、出力光学部品は、ライトパイプ170の出力部にてソースの無限共役を有し(しかし、システムを有限ソース共役を用いて設計することが可能)、ウェーハ40の画像平面にて有限共役を有しており、一方、遅速軸の方向において、出力光学部品はライトパイプ170の出力部におけるソースにて有限共役を有し、画像平面にて有限共役を有する。さらに、遅速軸の方向において、レーザバーの複数のレーザダイオードからの不均一な放射が均質化される。ライトパイプ170の均質化能力は、ライトパイプ170を横切って光が反射される回数に大きく依存する。この回数は、ライトパイプ170の長さ、もしあれば先細りの方向、入口アパーチャー176と出口アパーチャー178のサイズ、ならびにライトパイプ170内への打出し角度によって決定される。さらなるアナモルフィック光学部品は、ソースビームを、ウェーハ40の表面上にある望ましい寸法のラインビーム内に集束させる。
[68]図7、図8は、ライトパイプ170といくつかの関連した光学部品を示す、高速軸と遅速軸のそれぞれに沿って垂直方向に配列された側面図である。高速軸の方向においては、レーザバー132からのビームは上手く一直線化され、ライトパイプ170またはアナモルフィックレンズによって影響を受けない。この一方で、遅速軸の方向においては、入力アナモルフィック光学部品162、164、166が、ビームを集めてライトパイプ170の入力端部内に集中させる。このビームは、遅速軸に沿ってかなり均一な強度で、しかし、かなりの発散をもってライトパイプ170から出る。出力アナモルフィック光学部品180、182は出力ビームを遅速軸に沿って拡張および一直線化させる。
[69]ウェーハのピーク温度を調節または制御するために、ウェーハ40の図示した部分の温度を高温計システムによって定期的に監視する。この高温計システムは、ラインビーム126の近隣におけるウェーハ40の図示の範囲から放出される熱放射を、図3に概略的に示した高温計161とは反対方向に向けるためにウェーハ上にレーザソース光を集束させる場合と同じ光学部品を使用する。高温計161は、フォトダイオードのような光学検出器163、レーザ光源(例えば810nm)の波長を遮断する光ファイバ165を含む。高温計フィルタ165は、当該の温度にて急速に変化するプランキアン(Plankian)黒体放射曲線の領域内で中心決めされた狭い通過域フィルタであることが好ましい。例えば、高温計通過域を950nmにて中心決めすることができ、この場合、検出器163はシリコンフォトダイオードである。一般的に、光学部品は相互的であるため、反対方向においては、ラインビーム126上の、またはこれに非常に近いウェーハ40の小さい範囲のみを検出し、この画像を遥かに大きな範囲に光学的に拡張する。制御装置167は、検出器163の出力部を使用してレーザアレイ132への給電を制御する。フィルタ(図示せず)をレーザ例132の前に配置して、これが高温計波長(例えば950nm)にて有する全ての放射を遮断することができる。
[70]以下で説明している本発明の特徴は、他のレーザタイプに採用することもできる:COガスレーザ;任意で周波数を2倍にすることが可能なネオジウムYAGレーザ(ネオジウム:イットリウム・アルミニウム・ガーネット);Eビームまたは電子放出による励起を用いたエキシマレーザ(最大で、基底状態が本質的に反発的2原子の地点にまで分子の電子移動に作用を及ぼす紫外線(126〜558nm)において放射を行う希ガスハライドまたは希ガス金属蒸気レーザ;ダイオードレーザ(シミュレートされた放射を使用してコヒーレントな光出力を形成するように設計された発光ダイオード)。
トロイダルソースプラズマリアクタの低温CVDプロセス
[71]図9は、低温CVDプロセスの実施に用いるトロイダルソースプラズマリアクタを描写している。プラズマリアクタは円筒形の側壁10、シーリング12、ウェーハ接触/冷却静電チャック14を有する。チャック14と側壁10の間にポンプアニュラス16が画成されている。ガス分配板18(または「シャワーヘッド」)からプロセスガスが導入されて、シーリング12の大部分を形成する。任意で、プロセスガスを側部射出ノズル20または他の手段によって導入してもよい。図9のリアクタは、側壁10の対抗する両側部を介して(または、図1には示していないシーリング12の開口部を介して)リアクタの内部に結合した外部再入管22を構成している再入RFトロイダルプラズマソースを有する。絶縁リング23は、再入管22に沿って直流破壊を提供する。トロイダルプラズマソースはさらに、再入管22の環状部分を包囲する磁気透過性のトロイダルコア26を含んでいてもよいRF電力アプリケータ24と、コア26の一部に巻着した伝導コイル28と、任意のインピーダンスマッチ回路32を介して伝導コイルに結合したRFプラズマソース電力生成器30とを含む。第2外部再入管22’は第1管22を横切っており、側壁10の対向する両側を介して(または、図1には示していないシーリング12の開口部を介して)リアクタの内部に結合している。絶縁リング23’は、第2再入管22’に沿って直流破壊を提供する。第2RF電力アプリケータ24’は、再入管22’の環状部分を包囲する磁気透過性のトロイダルコア26’と、コア26’の一部の周囲に巻着した伝導性コイル28’と、任意のインピーダンスマッチ回路32’を介して伝導性コイルに結合したRFプラズマソース電力生成器30’とを含んでいる。プロセスガス供給部34はガス分配板18(またはガスインジェクタ20)に結合している。半導体ウェーハまたはワークピース40は、チャック14の頂部上に配置されている。処理領域42がウェーハ40とシーリング12(ガス分配板18を含む)の間に画成されている。トロイダルプラズマ電流が再入管22と処理領域42を通って延びた閉鎖型トロイダル経路に沿って、RFプラズマソース電力生成器30の周波数にて振動する。
[72]RFバイアス電力生成器44が、インピーダンスマッチ回路46を介してチャック14にRFバイアス電力または電圧を印加する。隔離キャパシタ50によってRFバイアス電力生成器44より隔離されているチャッキング電圧源48からチャック14に直流チャッキング電圧が印加される。ウェーハ冷却を採用していない場合、RFバイアス電力生成器44からウェーハ40へ送出されたRF電力は、生成器44から印加されたRFプラズマバイアス電力のレベルおよび期間に応じて、ウェーハ40を400℃を超える温度にまで加熱することができる。バイアス電力生成器44からのRF電力の約80%またはこれ以上がウェーハ40内に熱として消散されると考えられる。ウェーハ支持ペデスタル14は、絶縁性または半絶縁性の頂層あるいはパック(puck)60を有する静電チャックである。パック60の内部において金属(例えばモリブデン)ワイヤメッシュまたは金属層62が陰極(または電極)を形成しており、この陰極に直流チャッキング電流およびRFバイパス電圧が印加される。パック60は高度絶縁層66上に存在している金属層64上に支持されている。金属基層68をグラウンドに接続してもよい。チャッキング電圧源48から電極62へ直流電圧を印加することにより、ウェーハ40がチャック14上に静電的に保持される。これにより、ウェーハ40の底面内には、これと対抗する(引力のある)画像電荷が誘導される。対向する2つの電荷層の間の効果的な隙間は、半導体層60内における上方電荷移動の結果最小となるため、チャックとウェーハ40の間の引力が、印加された比較的小さなチャッキング圧力について非常に大きくなる。そのため、パック半絶縁体層60は、望ましい電荷移動性を有する材料で形成されるので、材料は完全な絶縁体にはならない。RFバイアス電力生成器44からのRFバイアス電力または電圧を、電極62あるいは金属層64に印加して、半導体絶縁パック層60を介したRF結合を行うことができる。金属層64を冷却することでパック60から熱を除去する。このために、冷却ポンプ72、熱シンク、または冷却源74に結合した金属層64内に内部冷却剤流路70が提供されている。任意で、熱シンク74は、所望であればやはり熱を金属層64に与えられる熱交換器であってもよい。非常に高いチャッキング力を維持することにより、ウェーハ40とパック60の間に非常に高い熱伝達係数を実現できる。研磨面60aを提供することで力を拡張できる。
[73]低温化学気相堆積プロセスは、ウェーハにRFバイアス電力または電圧を結合させて熱を除去(または提供)するためと、ウェーハ温度を所望のレベルまたは閾値未満に維持するためとの両方の役割を果たす静電ウェーハチャックを採用するのが好ましい。より好ましくは、静電チャックは図9を参照してすぐ上で書いたタイプのものであり、これはDouglas A.Buchberger,Jrらによって2004年8月26日に出願された米国特許出願番号10/929,104号、表題「無ガス式高圧接触力ウェーハ接触/冷却静電チャック(GASLESS HIGH VOLTAGE HIGH CONTACT FORCE WAFER CONTACT−COOLING ELECTROSTATIC CHUCK)」でさらに詳細に説明されている。前述した(高熱伝達係数を用いた)静電チャックを使用することで、所望であれば、ウェーハ温度を200℃未満、さらには100℃未満に維持しながら、ソース電力をより高いレベル(即ち、トロイダルソース5kW)にて、また、バイアス電力をより高いレベル(即ち10kW)にて動作できるようになる。これに加えて、高いウェーハ温度を必要としなくとも、チャンバ圧力が、欠陥(例えば細片状の剥げ落ち)を持ったCVD層を防止するのに十分に低い約5〜200ミリトールの範囲内に維持される。この低いチャンバ圧力によって、ワークピースを加熱せずに高品質の膜を堆積させるのに必要なプラズマイオン密度および/またはイオンエネルギーを弱化させてしまう過剰なイオンの再結合が防止される。プロセス領域内で通常のプラズマイオン密度を維持することで、ウェーハを加熱する必要が全くなくなるため、PECVDリアクタとは異なり、非常に低い温度(100℃未満)で高品質のCVD膜を堆積させられるようになる。プラズマ密度が非常に高くなく、プラズマソース電力レベルを高くする必要がないことで、HDPCVDリアクタとは異なり、過剰なバイアス電力レベルを要さずに、動作範囲の幅広いバイアス電圧が許容される。
[74]所望であればトロイダルソースリアクタ内で低いソース電力レベルにてCVD反応を実施できるという事実は、ソース電力を最小レベルから最大レベルにまで(例えばトロイダルソース毎に約5kW)変化できる大型の窓を意味する。この窓は、CVD堆積させた層を、非正角(0.1正角比)と正角(>0.5正角比)の間で変化できるよう十分に大きく作られている。同時に、ウェーハに印加されたプラズマバイアス電力または電圧を、堆積層内の引張応力のための低いレベル(例えば500ワット)と堆積層内の圧縮応力に対する高いレベル(例えば3kW以上)の間で変化することにより、CVD堆積させた層の応力レベルを変化させることが可能である。その結果、ソースおよびバイアス電力レベルをそれぞれ調整することで、各プラズマCVD堆積層の正角性と応力を、正角または非正角のいずれかであり、引張または圧縮応力のいずれかを有する異なる層に独立的に調整できるようになる。非正角膜は、ディープトレンチ充填や、フォトレジスト上への除去可能な層の作成に有効である。正角層は、エッチング停止層および不動層に有効である。圧縮応力を用いた層はこれの下に在る、または隣接したPチャネルMOSFETにおけるキャリア移動性を拡張し、一方、引張応力を用いた層は、これの下に在る、または隣接したNチャネルMOSFETにおけるキャリア移動性を拡張する。トロイダルソースプラズマリアクタの独自のリアクタ構造の結果、図9のトロイダルソースプラズマの低い最小プラズマソース電力と、リアクタがソース電力として提供する制御可能性の高いプラズマイオン密度とが増加する。プラズマソース電力は、内部においてトロイダルRFプラズマが循環(振動)する外部再入導管内へ、電力アプリケータを介して印加されるため、ソース電力密度が非常に低くなる。この特徴によって、HDPCVDプラズマリアクタとは異なり(誘導結合への移行)、ウェーハ表面におけるプラズマイオン密度が高度に制御可能となり、プラズマソース電力と共に過剰に増加することがない。さらに、RFソース電力アプリケータによる外部再入導管内のプロセスガスへの高度に効率的な結合により、プラズマ点火のための最小プラズマソース電力を従来のリアクタ(HDPCVDリアクタなど)のものよりも遥かに小さくする。この低温CVDプロセスによって、装置構造を破壊することなく装置温度が長い時間にかけて400度を超えられない場合に、(例えば)65nmまたは45nmの、あるいはこれよりも小型の装置についてプラズマCVDプロセスを提供する問題が解決する。またこれによって、下に在るフォトレジストを引き裂いたり破壊することなく、フォトレジスト層上にプラズマCVD堆積を堆積させられるようにもなる。この可能性により、nmサイズの設計規則に特に適し、装置上のフォトレジストマスキングを引き裂くことなく実施が可能な、以下で説明する完全に新しいプロセスクラスが開始される。
[75]CVD後イオン注入プロセスを、低温CVDプロセスの実行に使用したのと同じトロイダルソースリアクタに実施することができる。CVD後イオン注入プロセスには、アモルファスまたは多結晶CVD堆積層とこれの基層との間の粘着を拡張するプロセス、化学量論の比率を越えてCVD層内の種の比率を上昇させるプロセス、プラズマCVDプロセスと適合しない種をCVD層内に注入するプロセス、または層の特定の材料品質、例えば誘電定数や応力を変える種をCVD層内に注入するプロセスが含まれる。
[76]低温プラズマCVDプロセスは、シリコン膜、窒化シリコン膜、シリコン−水素膜、シリコン−窒素−水素膜のCVD形成、また、酸素あるいはフッ素をさらに含有している前述した膜のバージョンのCVD形成に有効である。これらの膜は、CVDプロセスが非常に低温で実施されるにもかかわらず、ひび割れ、剥離、細片状の剥げ落ち、その他を生じることのない優れた品質と優れた熱特性を見せる。CMOS装置への適用のために、選択的なエッチング、フォトレジストマスキングおよび除去を可能にするべく、高い非正角性を使用して、不動態化層が、それぞれ圧縮および引張応力によってPおよびNチャネル装置上に堆積され、また、全ての装置上にゼロ(ニュートラル)応力のエッチング停止層を高い正角性で堆積させることができる。低温プラズマCVDプロセスもカーボン膜のCVD形成に有効である。
[77]図10に、図9のトロイダルリアクタを採用する低温プラズマCVDプロセスを図示する。このプロセスでは、トロイダルプラズマ化学気相堆積プロセスにおいてカーボンまたはカーボン含有層を堆積させる。堆積させた層は、プロセスの実証法に応じて、例えばアモルファスカーボン材料、ポリマーカーボン材料、グラファイトカーボン材料のいくつかの属性と、幅広い電気および光学特性とを有していてもよい。本明細書の後の部分では、堆積した材料の特性のプロセス制御について説明している。任意の第1ステップ(図10のブロック6105)は、ウェーハ上の金属汚染を防ぐまたは最小化するために、チャンバの内面を不動態化層でコーティングするものである。不動態化層は、例えば堆積させるCVD膜と同じ材料(例えば、カーボンを含有した材料)であってもよい。チャンバ内面上の不動態化コーティングは、上述した実施形態と同様に、適切なプロセスガス混合物(例えばプロピレンのようなカーボン含有ガス)を導入し、プラズマソース電力を印加してトロイダルRFプラズマ電流を生成することにより実施される。このステップは、内部チャンバ面上に適切な厚さの不動態化材料が堆積するまで実施される。次に、ウェーハ支持ペデスタル上に生産ワークピースまたは半導体ウェーハが配置される(図10のブロック6107)。カーボン、および(任意で)その他の種、例えば水素や窒素を含有するプロセスガスが導入される(ブロック6109)。チャンバ圧力は低または適度レベル、例えば約5〜200ミリトールに維持される(図10のブロック6111)。再入トロイダルプラズマ電流はトロイダルソースリアクタ内で生成される(ブロック6113)。RFプラズマソース電力(例えば、100ワット〜5kW)(図10のブロック6113−1)を、各外部再入導管22、22’内に結合させ、0〜10kワットのRFプラズマバイアス電力を印加することで、トロイダルプラズマ電流が生産される(図10のブロック6113−2)。ソース電力は、プラズマイオンの生産に非常に有効な約10MHz(例えば13.56MHz)のHF周波数にあることが好ましい。バイアス電力は、約1MHz(例えば2MHz)のLF周波数にあることが好ましく、これは所与量のバイアス電力のための比較的大きなプラズマシース電圧の生産に非常に有効である。RF生成器180によって送出されるソース電力の大きさを調整することで、望ましい正角性でウェーハ上に膜を化学気相堆積させることができる(ブロック6115)。RF生成器162によって送出されるバイアス電力または電圧の大きさが、堆積膜が望ましい応力、圧縮、引張を有するように調整される(図10のブロック6117)。前述したプロセスは、望ましい堆積膜厚さに達するまで実施される。この後、特定の任意のCVD後イオン注入プロセスを実行することができる(図10のブロック6119)。
[78]図11Aは、印加したRFソース電力の関数(水平軸)としての堆積層の正角比(垂直軸)のグラフである。図11Bに示すように、CVDプロセスで基層または基板6123上に堆積させた層6121の正角比は、層6121(基層6123の垂直面6123a上に堆積させたもの)の垂直区間6121aの厚さCの、層6121(基層6123の水平区間6123b上に堆積させたもの)の水平区間6121bの厚さDに対する比率C/Dである。0.5を超える正角比は正角性の高いCVD堆積膜を指し示す。約0.1の正角比は非正角なCVD堆積膜を指し示す。図11Aは、図9のトロイダルソースリアクタの幅広いソース電力窓が、非正角(約100ワットのソース電力)から高度な正角(約1kWソース電力)までの正角比範囲にわたる様子を図示している。図11Aは、同じトロイダルソースリアクタを、正角、非正角の両方の膜のプラズマCVD堆積に使用できることを示している。図12は、印加したソース電力の関数(水平軸)としての、CVD堆積速度(垂直軸)を図示したグラフである。ゼロから最大100ワットまでのRFソース電力の場合、図9のトロイダルソースリアクタ内でプラズマは点火されず、堆積速度はゼロである。約2MHzにおける約5kVの一定のバイアス電圧を伴う約13.56MHzの約100ワットのソース電力にて開始することにより、堆積速度が約500オングストローム/分(100ワットのソース電力)にて開始し、約1000オングストローム/分に達する(約2kWのソース電力)。これの利点は、堆積速度が十分に低いため、高速の堆積速度(例えば5000オングストローム/分)を形成してしまうであろう欠陥を加熱またはアニーリングによって硬化させる必要なく、高品質で欠陥のないCVD膜が形成されることである。そのため、トロイダルプラズマリアクタ(図9)のソース電力を、ウェーハを加熱することなく、非正角および正角の間(即ち200ワット〜2kW)の正角比の切り替えに必要な範囲内のどこにおいても変化することができるので、ウェーハを低処理温度、即ち200℃未満、さらには100℃に保つことが可能である。トロイダルプラズマリアクタソース電力を、過剰なCVD堆積速度を生じることなく(高度の正角性を得るために)このように増加させられるという事実は、ウェーハ120の上に在るプロセス領域内でのイオン密度の過剰な増加を防止するトロイダルソースリアクタの構造によって起こる。こうした過剰なイオン密度の一部は、各プラズマソース電力アプリケータ(即ち、それぞれの再入導管22、22’を包囲する各コア26、26’および対応する一次巻線28、28’)が、側壁10とシーリング12によって画成されており、ウェーハ40の上に在るプロセス領域42から離れている、再入導管22、22’のリアクタチャンバの外側にある1区間に対してプラズマソース電力を印加するため防止される。好都合なことに、図9のトロイダルプラズマリアクタのソース電力を用いた、プラズマイオン密度の低く、従って高度に制御可能な上昇には、プラズマ点火のための非常に低い最小ソース電力(例えば、僅か100ワット)が付随するため、正角性範囲全体にわたる幅広いソース電力窓が得られる。このプラズマ点火のための最小ソース電力レベルは、図9のトロイダルソースリアクタがHF周波数にてトロイダルRFプラズマ電流を生成する効率的な方法を用いた結果得られるものである。
[79]図9のトロイダルプラズマリアクタの別の特徴は、リアクタを動作するのに用いる幅広いRFプラズマバイアス(シース)電圧(例えば0〜10kV)である。この特徴の1つの態様を図13のグラフに図示している。ここで、バイアス電圧動作範囲(図13の水平軸)は、CVD堆積膜内における引張応力(+1ギガパスカル)から圧縮応力(−1ギガパスカル)までの応力の範囲(図13のグラフの垂直軸)にわたる。こうしたCVD後イオン注入処置については、本明細書中の以降の部分において説明する。例えば2MHzのRFソースのように低周波(LF)のプラズマバイアスソースを使用することで、大きな範囲のRFプラズマバイアス(シース)電圧が得られる。このような低周波数はウェーハ表面上のプラズマシースを横切る高インピーダンスへ遷移し、これ比例してシース電圧が上昇する。比較的少量のプラズマバイアス電力(5kW)は、ウェーハ表面にて非常に大きなシース電圧(10kV)を生産することができる。このような比較的低いバイアス電力レベルによってウェーハ上の加熱負荷が低下し、ウェーハ支持ペデスタル上の熱および電場負荷が低下する。当然ながら、図9のトロイダルソースリアクタは、プラズマの点火または持続にこうした大きなシース電圧を必要とせず、所望であれば、プラズマを消滅させることなく、バイアス電力を5kWよりもさらに低いゼロにまで低下することができる。図11Aに図示した正角性の選択(非正角と高度な正角の間における選択)と、図13に図示した応力選択(引張力と圧縮力の間における選択)は、図9のトロイダルソースリアクタの非常に幅広いソース電力とバイアス電力動作窓を使用して独立的に実行される。その結果、図9のトロイダルソースリアクタが、図10の、異なる層を多様な応力(引張力、ゼロ、または圧縮)および多様な正角比(非正角性または高度な正角性)で堆積させる低温CVDプロセスを実行する。
[80]図14は、プロセスガス内に前駆物質ガスを含めることで堆積層内に添加物種を含める図10のプロセスの変化形を描写する。第1ステップは、カーボン材料前駆体ガス(例えば、炭化水素、フッ化炭素、過フッ化炭化水素、またはその他のカーボン含有ガス)をチャンバ内に導入することである(図14のブロック6132)。このプロセスガスはまた、例えば不活性ガスのような、堆積(カーボン)層内に追加する必要なくトロイダルプラズマCVDプロセスを拡張する種を含んでいてもよい。望ましい添加物種の前駆物質ガス(CVD堆積させたカーボン層内に導入されるもの)がチャンバ内に導入される(図14のブロック6133)。添加物種は、例えばカーボンの前駆物質(B)、窒素や水素や硫黄(HS)、あるいは別の望ましい種であってもよい。また、CVD堆積させたカーボン層内に含めるために、添加物種前駆物質ガスに、2つの(またはこれ以上の)異なる添加物種のための前駆物質ガスを含めることができる。次に、図10のステップ6111、6113、さらに(任意で)6115、6117を実行することにより、チャンバ内でトロイダルプラズマCVDプロセスが実施される(ブロック6134)。カーボン前駆物質プロセスガスと添加物(例えばカーボン)前駆物質ガスとの相対的なガス流速度により、CVD堆積させたカーボン層中の添加物種の比率が決定される。図15は図14のプロセスの変化形を図示している。この変化形では、トロイダルプラズマCVDプロセスを開始する(ブロック6135)以前に最初に、カーボン材料前駆物質ガスのみを導入する(ブロック6132)。添加物種なしのカーボン層を所望の閾値厚さに堆積させるために(ブロック6135)、トロイダルプラズマCVDプロセスを添加物種前駆物質ガスなしで十分な時間だけ実施する。プロセスのこの時点では、トロイダルソースCVDプロセスを継続しながら、添加物種前駆物質ガスがチャンバ内に導入されることで(ブロック6136)、望ましいカーボン含有層の残りの(上方)部分にも添加物種が含まれるようになる。
[81]図16は、図10のプロセスの別の変化形を図示しており、この変化形では、ブロック6119のCVD後ウェーハ処置工程中にイオン注入ステップを実行する。図16のプロセスでは、チャンバ内にカーボン材料前駆物質プロセスガスが導入され(ブロック6132)、ウェーハ上でトロイダルプラズマCVDプロセスを実施する。その後、ウェーハ上でイオン注入プロセスを実行する(ブロック6137)。このプロセスでは、CVD堆積させたカーボン含有層内に所望の種を注入する。この所望の種は、CVD堆積させたカーボン含有層内に特定の望ましい特性を生産するために、(カーボンと同様に)化学的に活性な添加物種(1またはこれ以上)であってもよい。この所望の種はイオン衝撃(例えば不活性種)であってもよい。このイオン衝撃は、CVD堆積させたカーボン含有層の性質をイオン衝撃の損傷によって変更させる。いずれの場合にも、注入した種のイオン注入深さプロフィールを設定することで、注入した種をCVD堆積させたカーボン含有層内に閉じ込める。例えば、イオン注入深さプロフィールまたは分布では、CVD堆積させたカーボン含有層内の中間(例えば中央)深さ、またはこれの付近にピーク値が設定されている。あるいは、添加物を含んでいないカーボン層が基層(またはシリコンウェーハ層)に接触しており、この基層の上に、添加物種を含有したカーボン層が接触していることが望ましい場合は、イオン注入深さプロフィールをCVDカーボン含有層内の上方深さにて中心決めすることで、閾値深さ未満のイオン注入が殆どまたは全く生じないようにしてもよい。このオプションの結果を図17に図示する。図17では、下に在る層6140、添加物種を含有せず、閾値厚さを有する底部カーボン含有層6139、この上に在る添加物種を含むカーボン含有層6138を描写している。図17の層状構造は、図15の2位相型のトロイダルプラズマCVDプロセスによっても実現される。図18は、図16のブロック6137のステップのためのイオン注入深さプロフィールを描写している。本質的には、イオン注入は下にある(例えばウェーハの)表面よりもずっと上の深さに閉じ込められる。これは、図18に示すようにイオン分布ピークを底面から移動させて、(任意で)カーボン含有底層(図17の層6139)を未注入のまま残すことで遂行される。
[82]図19は、図14、図15、図16のプロセスのうちのいずれかがどのように、図14、図15、図16のトロイダルプラズマCVDプロセスの前後に実行できるチャンバストリップまたは洗浄ステップ6141とチャンバシーズニングCVD堆積ステップ6142を組み込むことで修正されるかを描いている。図19では、ストリップステップとシーズニングステップが、トロイダルプラズマCVDプロセス以前に実行されている状態を描写している。まず、図9でリアクタチャンバ内にウェーハを導入する前に、このチャンバ内にプロセスガスが導入され(図19のブロック6141)、このプロセスガスは、露光されたチャンバ内表面から堆積層をストリップすることが可能な種を含有している。図14、図15、図16のプロセスにおいて、チャンバ内表面上に堆積させた材料は主にカーボンからなるため、ブロック6141のステップで使用した洗浄またはストリッププロセスガスは例えば主に酸素からなっていてもよい。これ以外または追加の洗浄ガス種には例えばフッ素が含まれる。次に、チャンバ内からストリップまたは洗浄プロセスガスを除去し、図9のリアクタの露光されたチャンバ内表面上にシーズニング層を堆積させる(図19のブロック6142)。ブロック6142のステップは、上述したのもの同じトロイダルプラズマCVDプロセスを使用して実施する。詳細には、カーボン前駆物質ガスをシーズニング層前駆物質ガスとしてチャンバ内に導入して、チャンバ内にトロイダルプラズマを生成させる。これにより、露光したチャンバ内表面上にカーボン含有シーズニング層がCVD堆積される。このシーズニング層の硬度または耐久性を拡張することが望ましい場合には、シーズニング層前駆物質ガスの種としてフッ素を導入する。例えば、シーズニング層前駆物質ガスはフッ化炭素ガスまたは過フッ化炭化水素ガスを含んでいてもよい。シーズニング層前駆物質ガスの主要成分は炭化水素ガスであってもよい。チャンバ内表面上でシーズニング層が所望の厚さに到達したら、ウェーハをチャンバ内に導入し(図19のブロック6143)、図10、図14、図15、図16のトロイダルプラズマCVDプロセスを実施する(図19のブロック6144)。
トロイダルソースCVDプロセスによるカーボン膜の堆積
[83]本発明は、特定の光特性(紫外線、赤外線、可視波長、即ち「光学」波長)や、特定の電気特性(例えば、光特性が特に重要でない場合)、例えば伝導性や複合誘電率を持ったカーボンベースの膜の堆積に有効である。こうした膜の電気特性と光特性の両方を、具体的な必要性に応じて調整する。本発明はまた、カーボンベース膜のような膜の堆積にも有効であり、この場合には、次の堆積させたカーボンベース膜層をストリップできるステップと、シリコンあるいは下に在るその他の層に関連した選択性とが必要となる。さらに本発明は、空隙のない間隙重点用途のための、正角性制御を必要とする場合の、カーボンベース膜のような膜の堆積にも有効である。本発明はまた、応力制御が必要な場合の、カーボンベース膜のような膜の堆積にも有効である。
[84]図1のトロイダルプラズマソースリアクタを使用して、電気および光特性の異なるカーボン膜をウェーハ上に堆積させることができる。図1のガス分配板18を介して(または側部ノズル20を介して)プロセスガスを導入する。プロセスガスは、本明細書で先に挙げた炭化水素ガスから選択した1つ(または複数)の炭化水素ガスである。チャンバ内でこのようなガスから生成したRFトロイダルプラズマ電流が、ウェーハ表面上に炭化水素を含有したカーボン材料を堆積させる。本質的に、この膜は極微量の水素原子を含む純粋なカーボンである。しかし、一般的には、接着した水素原子の比率が重要であるため、堆積膜は水素添加したカーボンとなる。堆積膜の電気伝導性は、絶縁性と半導性の間の範囲内で設定できる。選択した波長帯域の堆積層の光特性は、高度に吸収的と透明の間の範囲内で設定できる。誘電率は、低から高までの範囲の大きさを持った「実」(即ち、「実」成分に関連した少量の「虚」成分を有する)として選択することができる。誘電率は、低から高までの範囲の大きさを持った「実」成分に関連した著しい「虚」成分を有するように選択できる。これらの電気および光特性は、以下の動作の1つ、またはいくつかあるいは全ての組み合わせのいずれかによって制御される。
(1)水面におけるイオン衝撃エネルギーの調整、
(2)水温度の調整、
(3)プロセスガスの炭化水素ガス種の選択(ガスの水素-炭素比の選択)、
(4)水素によるプロセスガスの希釈、
(5)ヘリウム、ネオン、アルゴン、キセノンといった不活性ガスによるプロセスガスの希釈、
(6)ウェーハ表面へのカーボン含有ラジカル種の束に関連した、ウェーハ表面におけるエネルギーイオン束(カーボン含有のもの、またはこれ以外のもの)の調整、
(7)プロセスガスへの、(a)半導性拡張種、(b)抵抗性拡張種のうち1つの前駆物質添加ガスの追加、
(8)堆積させたカーボン膜への、(a)半導性拡張種、(b)抵抗性拡張種のうち1つの注入。
[85]ウェーハ表面におけるイオン衝撃エネルギーの調整は、RFバイアス電力、RFバイアス電圧またはウェーハ電圧、および/またはチャンバ圧力を調整することで行うことができ、一方、ウェーハ表面におけるエネルギーイオン束の調整は、RFプラズマソース電力および/またはチャンバ圧力および/または希釈ガスの流れを調整することで行うことができる。
[86]エネルギーイオン束の調整:一定のバイアス電圧と一定の圧力において、RFプラズマソース電力を増加させることでウェーハ表面におけるエネルギーイオン束が増加する。ウェーハ表面におけるラジカル束もソース電力とともに増加する。しかし、低圧力から中圧力(即ち、1〜数百ミリトールの圧力)にて、ウェーハにおけるラジカル束に対するエネルギーイオン束の比率は、典型的には依然として増加する(しかし、まだ全体からすると遥かに低い)。圧力を減少させながら、一定のバイアス電圧にてRFプラズマソース電力を増加させることで、ウェーハにおけるラジカル束に対するエネルギーイオン束の比率がさらに増加する。一定のソース電力とバイアス電圧にて、プロセスガスをアルゴンまたはキセノンで希釈することによってウェーハ表面におけるエネルギーイオンの束が増加する一方で、ヘリウムまたはネオンで希釈することで、ウェーハ表面におけるエネルギーイオンの束は減少する傾向にある。この効果は、プロセスガスの流れ速度に対する希釈ガスの流れ速度の比率が増加するに従って強化される。低圧力から中圧力(即ち、1〜数百ミリトール圧力)にて、一定のRFプラズマソース電力とバイアス電圧にて増加する圧力によってエネルギーイオン束を増加させる。
[87]イオンエネルギー調整:一定のRFプラズマソース電力にて、RFバイアス電力または電圧を増加させることで、ウェーハ表面におけるイオン衝撃エネルギーが増加する。一定のRFプラズマソース電力とRFバイアス電圧にて、また、低圧力〜中圧力(即ち、1〜数百ミリトールの圧力)にて、圧力を増加することによりイオンエネルギーが低下するが、この影響は必ずしも大きくはない。一定のRFプラズマソース電力とRFバイアス電力、および低圧力〜中圧力(即ち1〜数百ミリトールの圧力)において、圧力を増加させることでイオンエネルギーが低下し、この場合、より高いプラズマイオンおよび電子密度により生じた負荷効果によってバイアス電圧(一定のバイアス電力)が低下するため、これによる影響は大きい。
[88]プロセスガスの炭化水素ガス種を選択する(ガスの炭化水素比を選択する)ことにより、堆積させた材料の光および電気特性が影響を受ける。ガスの炭化水素比を減少させることで、典型的に、C:H接着が減少し、C:C接着が増加し、これにより光吸収が増加し(透明性は減少する)、伝導性が増加する。またこれにより、誘電率の「実」成分に対する「虚」成分が増加する傾向にある。例えば、Cは、CHよりも光吸収および/または伝導性の高い堆積層を生産でき、また、Cは、Cよりも光吸収および/または伝導性の高い堆積層を提供できる。プロセスガス(1または複数)を水素で希釈することにより、堆積層の光および電気特性が影響を受ける。典型的には、水素希釈を低減することで、C:H接着が減少し、C:C接着が増加し、これにより、光吸収が増加し(透明性は減少する)、伝導性が増加する。さらに、誘電率の「実」成分に対する「虚」成分も増加する傾向にある。堆積させたカーボン材料の光吸収を調整する前述したステップに加えて、ボロン、窒素、硫黄といった堆積材料に特性の添加材料を含めることで光吸収が拡張される。これらの材料のいずれかを、プロセスガス内にB、N、HSのような前駆物質ガスをそれぞれ含めることで追加できる。プロセスガス中にボロン、窒素、硫黄のような材料を追加することにより、堆積させたカーボン材料の熱安定性が実質的に向上するため、失敗なく高温(>1400℃)にまで急速に加熱することが可能となる。
[89]材料の添加により、堆積させた材料の光吸収、熱安定性、および/または伝導性、および/または誘電率を拡張できる。添加ガス中のボロン、窒素、硫黄に対する水素の比率によって堆積層の特性に影響が及ぶ。典型的には、ガス中の水素対他の要素の比率を低減することで、典型的にC:H接着が低下し、C:C接着が増加し、これにより光吸収が増加し(透明性は低下する)、伝導性が増加する。さらに、誘電率の「実」成分に対する「虚」成分が増加する傾向にある。より高い光吸収または伝導性の場合には、B(Bと比較)またはN(NHと比較)によって、吸収または伝導性がより高い度数にまで増加する。典型的に、Bは、反応性が高いため安全性の理由から希釈(ガスボトル内で)する必要があり、また、ヘリウム、アルゴン、水素、窒素で希釈したものが市販されている。典型的に、水素で希釈したBは、ヘリウム希釈したBよりも光吸収と伝導性の拡張性が高い。アルゴン希釈したBは、ヘリウム希釈または水素希釈したBよりもさらに拡張性の高い光吸収と電気伝導性を提供できる。窒素希釈したBも、ヘリウム希釈または水素希釈したBよりも拡張性の高い光吸収および電気伝導性を提供でき、さらに以下で説明しているようにこれの相乗効果的な恩典をも提供することができる。Bの場合には希釈は不要であり、BよりもB対H比率が高いため、ヘリウムまたは水素で希釈したBよりも高い光吸収および伝導性の拡張を提供できる。上述の伝導性を増加させる要素は、「実」成分に関連する誘電性の「虚」成分を上昇させる傾向にもある。代替として、上述したCVDイオン注入後のステップを、吸収性拡張種(B、N、S)を用い、これらを堆積させたカーボン層中に注入することで実行してもよい。このCVD後の注入ステップを、例えば図1のトロイダルプラズマソースリアクタを使用して、プラズマ浸潤イオン注入によって実施した場合には、上述と同じプロセスガスを採用することができる(例えばB、N、HS)。
[90]基礎のアモルファスカーボン前駆物質炭化水素ガス(即ちC)に、(a)ボロン(即ちB)と(b)Nまたはその他の窒素形式とを添加することには相乗作用的利点がある。堆積させたカーボン層の熱安定性(即ち熱特性)が450℃、および特にこれよりも高い温度にて向上する。詳細には、堆積させたアモルファスカーボン層は、堆積層の層間分離や剥離などを生じることなく少なくともシリコンの融点にまでレーザ加熱することができる。(ボロンおよび窒素添加に伴う)この特徴は、相関分離または剥離を防止するために典型的に必要な閾値ウェーハ電圧または閾値イオンエネルギーを低減する。前述した、炭化水素ガス中でボロン添加物と窒素添加物を組み合わせる上で堆積層の熱特性を向上させる特徴は、上述の方法によって制御される、具体的な電気特性を有したアモルファスカーボン層を堆積させる場合に採用できる。この特徴はさらに、光吸収体でないカーボン層を堆積させる場合にも採用できる。堆積させたカーボン層の特性の調整は次の事項に基づくと考えられる:(1)カーボン層内の接着した水素原子の特性の調整、即ち、堆積させたカーボン層内における総原子接着のうちC:H接着の比率、(2)C:C鎖の長さ、(3)カーボン原子の接着ハイブリダイゼーション、および、異なる接着、即ちsp:sp:spの相対濃度。さらに、ウェーハ表面にてイオンエネルギーを増加させ、ウェーハ表面にてエネルギーイオン束を増加させ、ウェーハ温度を上昇させることで、(1)より多くのC:C鎖を破壊する効果と(より短い鎖を生産するため)、(2)より多くのC:H接着を破壊し(C:H接着の存在を減少させるため)、より多くのC:C接着を形成する効果と、(3)カーボン原子の接着ハイブリダイゼーションと、異なる接着、即ちsp:sp:spの相対濃度とを変更する効果とを有すると考えられる。リアクタチャンバ内のプロセスガス中における水素含有量を減少させることで、堆積させたカーボン層内で形成されたC:H接着の個数が減少する。
[91]C:C鎖の長さを短くすることで、堆積材料の状態が柔軟ポリマーから硬質アモルファスカーボンへと変化する。堆積カーボン層内のC:H接着の個数を減少させることで、伝導性が比較的絶縁性から半導性へと変化し、一方、光特性が比較的透明性から比較的不透明性へと変化する。そのため、トロイダルプラズマCVDプロセスにおいて、堆積させたカーボン層の伝導性を絶縁性と半導性の間の範囲のどこにでも設定でき、一方、同層の光特性を透明と不透明の間の範囲のどこにでも設定できる。
[92]イオン衝撃によってC:C接着および/またはC:Hを減少あるいは破壊させるには、非常に高いイオンエネルギー(例えば約100eV〜1keV)が必要である。低いウェーハ温度(100℃未満)では、ポリマーカーボン(ポリマー鎖の長いもの)が形成される傾向にある。ウェーハ温度が低い場合でも、ポリマー鎖の長さはイオン衝撃によって減少される。代替として、C:C鎖長さを短く保つために、(例えば、400℃まで)トロイダルプラズマCVDプロセス中にウェーハ温度が上昇する。堆積させた(高いRFバイアス電力を要する)カーボン層の光特性と電気特性を修正するために必要な非常に高いイオンエネルギーは、カーボン層と、これの下にあるウェーハまたはウェーハ上に事前に形成した薄膜構造との粘着性を拡張する効果を有する。この薄膜構造は、堆積させたカーボン層とこれの下の材料との間に高品質の原子接着を形成することで形成されている。さらに、堆積させたカーボン層内に圧縮応力が生成されたことで熱応力(例えば非常な高温)によって誘導された機械の故障や分離に対する堆積膜の抵抗性も拡張される。また、膜の機械的硬性も増加する。高いバイアス電圧(即ち>1kV)を印加することで、堆積させた炭素材料の熱安定性が実質的に向上し、故障することなく高温(>1400℃)にまで急速に加熱できるようになる。トロイダルプラズマCVDプロセス中におけるウェーハの加熱に加え、堆積させたカーボン層の光吸収を拡張する別の方法もある。この方法では、CVDプロセス完了後にウェーハを約400℃にまで加熱する。このステップによって、C:H接着を破壊し、堆積させたカーボン層内で多くのC:C接着を形成し、炭素原子の接着ハイブリダイゼーションと、異なる炭素、即ちsp:sp:spの相対濃度とを変更する機構と同一の機構によって光吸収が拡張されると考えられる。
[93]水素・カーボン前駆物質ガスに不活性希釈ガスを添加することで、膜の電気および光特性を修正できる。例えばヘリウムまたはネオンを添加することで膜の透明性(さらに絶縁性)が高まり、一方、アルゴンまたはキセノンを添加することで膜の不透明性(および半導性)が高まる。一定のRFソース電力およびRFバイアス電圧を得る場合には、ヘリウムの添加によりイオン束が減少し、一方アルゴンまたはキセノンの添加によりイオン束が増加すると考えられる。エネルギーイオン束の増加により、膜の光透明性と電気抵抗性が減少する傾向にある。上述した、伝導性を増加させる要素はさらに、誘電率の「虚」成分を「実」成分に対して増加させる傾向にある。一定のRFソース電力にてRFバイアス電圧を増加すると、イオンのイオンエネルギーがウェーハ表面にぶつかり、これによって膜の光透明性と電気抵抗性が減少する傾向にある。またこれにより、誘電率の「実」成分に対する「虚」成分が増加する傾向にある。一定のRFバイアス電圧にてRFソース電力を増加させると、ウェーハ表面へのエネルギーイオン束が増加し、これにより膜の光透明性と電気抵抗性が減少する傾向にある。また、これによって誘電率の「実」成分に対する「虚」成分が増加する傾向にもある。一定のRFソース電力とRFバイアス電圧にてガス圧力を増加させることで、ウェーハ表面へのエネルギーイオン束が増加し、これにより膜の光透明性と電気抵抗性が減少する傾向にある。さらに、誘電率の「虚」成分を「実」成分に対して増加させる傾向にもある。
[94]堆積させたカーボン層の正角性は、RFプラズマソース電力を調整することで調整される。ソース電力の調整によって行う堆積層の正角性の調整については、本明細書中で上述したとおりである。堆積させたカーボン層の応力の調整は、RFプラズマバイアス電力を調整して行う。バイアス電力の調整による堆積層の応力の調整については、本明細書中で上述したとおりである。
フッ化炭素膜:
[95]水素・カーボンガスの代わりに、本明細書中で先に挙げたフッ化炭素ガスのうちの1つから選択されたフッ化炭素プロセスガスをCVDプロセスガスとして採用して、ウェーハ上にフッ素含有カーボン層を堆積させることができる。こうした層は、波長の広い帯域幅にかけて透明である傾向にある。フッ素含有カーボン層は、堆積させたカーボン層における誘電定数が非常に低いことが望ましい場合に有効である。さらに、透明なカーボン層が望ましい場合にも有効である。また、高度な絶縁性を持ったカーボン膜が望ましい場合にも有効である。またさらに、「実」成分に対して小さい「虚」成分を有する、より低い誘電率が望ましい場合にも有効である。フッ化炭素膜の場合に好ましいフッ化炭素ガスはCまたはCである。好ましいフッ化炭素ガスには、これ以外にもC、C、C、CおよびCが含まれる。このプロセスは、過フッ化炭化水素膜の堆積にも使用できる。過フッ化炭化水素膜には、CHのような過フッ化炭化水素ガスを使用できる。代替として、このプロセスを、炭化水素材料とフッ化炭素材料を組み合わせた膜の堆積に使用してもよく、この場合には、適切な炭化水素ガスとフッ化炭素ガスを組み合わせてプロセスガスとして使用できる。このようなフッ素含有膜はアモルファスまたはポリマーであってもよい。またこのようなフッ素含有膜は、フッ素含有量に応じて透明である傾向にある。こうした膜は、フッ素含有量に応じて誘電定数が非常に低くあってもよい。フッ化炭素(または過フッ化炭化水素)と炭化水素の両方を含有した膜は、水素とフッ素の相対容量に応じて、透明性と吸収性の間で変化することができる。
[96]フッ素含有カーボン膜の比率は、水素含有カーボン層について上述した方法と類似の方法で、カーボン−カーボン鎖の長さを制御し、カーボン膜内のF:C接着の比率およびタイプを制御することによって制御できる。この比率は、以下の動作の1つ、またはいくつかあるいは全ての組み合わせによって制御される:
(1)ウェーハ表面におけるイオン衝撃エネルギーの調整、
(2)ウェーハ温度の調整、
(3)プロセスガスのフッ素−炭素ガス種の選択(同ガスのフッ素−炭素比の選択)、
(4)フッ素によるプロセスガスの希釈、
(5)ヘリウム、ネオン、アルゴンまたはキセノンのような不活性ガスによるプロセスガスの希釈、
(6)ウェーハ表面へのカーボン含有ラジカル種の束に対する、ウェーハ表面におけるエネルギーイオンの束(カーボン含有のもの、またはこれ以外のもの)の調整、
(7)プロセスガスへの、(a)半伝導性拡張種、(b)抵抗性拡張種のうちいずれか一方の前駆物質添加ガスの添加、
(8)堆積させたカーボン層への、(a)半導性拡張種種、(b)抵抗性拡張種のうちいずれか一方の注入。
[97]ウェーハ表面におけるイオン衝撃エネルギーの調整は、RFバイアス電力、ウェーハ電圧および/またはチャンバ圧力の調整によって行うことができ、一方、ウェーハ表面におけるエネルギーイオンの束の調整は、RFプラズマソース電力、および/またはチャンバ圧力、および/または希釈ガスの流れの調整によって行うことができる。
[98]エネルギーイオン束の調整:一定のバイアス電圧と一定の圧力において、RFプラズマソース電力を増加させることでウェーハ表面におけるエネルギーイオン束が増加する。ウェーハ表面におけるラジカル束もソース電力とともに増加する。しかし、低圧力から中圧力(即ち、1〜数百ミリトールの圧力)にて、ウェーハにおけるラジカル束に対するエネルギーイオン束の比率は、典型的には依然として増加する(しかし、まだ全体からすると遥かに低い)。圧力を降下させながら、一定のバイアス電圧にてRFプラズマソース電力を増加させることで、ウェーハにおけるラジカル束に対するエネルギーイオン束の比率がさらに増加する。一定のソース電力とバイアス電圧にて、プロセスガスをアルゴンまたはキセノンで希釈することによってウェーハ表面におけるエネルギーイオンの束が増加する一方で、ヘリウムまたはネオンで希釈することで、ウェーハ表面におけるエネルギーイオンの束は減少する傾向にある。この影響は、プロセスガス流量に対する希釈ガス流量比の比率が高まるにつれ強調される。低から中程度の圧力(即ち、1〜数百ミリトールの圧力)にて、一定のRFプラズマソース電力とバイアス電圧を増加させると、ウェーハ表面におけるエネルギーイオンの束が増加する。
[99]イオンエネルギー調整:一定のRFプラズマソース電力にて、RFバイアス電力または電圧を増加させることで、ウェーハ表面におけるイオン衝撃エネルギーが増加する。一定のRFプラズマソース電力とRFバイアス電圧にて、また、低圧力〜中圧力(即ち、1〜数百ミリトールの圧力)にて、圧力を増加することによりイオンエネルギーが低下するが、この影響は必ずしも大きくはない。一定のRFプラズマソース電力とRFバイアス電力、および低圧力〜中圧力(即ち1〜数百ミリトールの圧力)において、圧力を増加させることでイオンエネルギーが低下する。この場合、より高いプラズマイオンおよび電子密度により生じた負荷効果によってバイアス電圧(一定のバイアス電力)が降下するため、これによる影響はより大きい。堆積させたフッ化炭素層の正角性は、RFプラズマソース電力を調整することで調整する。ソース電力の調整によって行う堆積層の正角性の調整については本明細書中で上述したとおりである。堆積させたフッ化炭素層の応力はRFプラズマバイアス電力の調整によって調整する。バイアス電力の調整による堆積層応力の調整については本明細書中で上述したとおりである。
[100]フッ化炭素ガスと水素−カーボンガスの組み合わせをプロセスガスとして使用して、フッ素と水素の両方を所望の比率で含有したカーボン層を形成することができる。この比率は、堆積させたカーボン層内に所望の伝導性または吸収を実現するためにも使用できる。すぐ上の部分で、C:F堆積材料とC:H堆積材料のそれぞれにおけるC:H接着、C:F接着の比率の規制について説明した同様の方法は、C:F+C:Hを組み合わせた堆積カーボン材料内でC:H接着とC:F接着の比率を制御するためにも使用できる。水素とフッ素の両方を含有したカーボン層は、トロイダルソースを使用したプラズマプロセスにおいて、炭化水素ガスにフッ素を含有した非フッ化炭素ガスを添加することによっても形成できる。例えば、炭化水素ガスにF、またはBF、またはSiF、またはNFを添加してもよい。これとは反対に、トロイダルソースを使用したプラズマプロセスにおいて、フッ化炭素ガスに水素を含有した非炭化水素ガスを添加することによっても、水素とフッ素の両方を含有したカーボン層を形成できる。例えば、フッ化炭素ガスにH、またはB、またはSiH、またはNHを添加できる。
光吸収体層の低温堆積
[101]アモルファスカーボン層(ACL)であってもよい光吸収体層(OAL)は、上述したトロイダルプラズマソース低温CVDプロセスを使用して堆積させることができる。OALがACLで在る場合には、チャンバ内に導入するプロセスガスはカーボン前駆物質ガスである。カーボンに不純物材料を添加することで、好みの波長(例えば810nm)のアモルファスカーボン材料における吸収を拡張できることが発見された。アモルファスカーボンを810nmの波長で不透明化するこのような不純物材料の一例にはボロンがある。こういった場合、プロセスガスは、(例えば)プロピレンのようなカーボン前駆物質ガスと、ボロン前駆物質ガス(例えばB)と、水素のようなBのための希釈ガスとからなる。希釈ガスにヘリウムを使用することもできるが、水素の存在下の場合の方がアモルファスカーボン層の光学品質を最大に拡張できることがわかっている。
[102]図20は、光吸収体層(OAL)を形成するための図9のトロイダルプラズマソースリアクタの低温CVDステップと、これに続く、図1〜図8の光源のダイナミック表面アニール(DSA)プロセスのような高速光アニールステップとを含む、接合部形成プロセスのブロック図である。第1ステップ(図20のブロック205)は、結晶シリコンのようなドーパント不純物を半導体材料内にイオン注入するものである。65nm未満の装置形状の場合、このドーパントイオン注入ステップは、内部のドーパント不純物領域が数百オングストロームを超えない極浅接合部を画成する。ドーパント注入ステップ205は、従来のビームライン注入装置を用いて、あるいは、より好ましくは、トロイダルソースリアクタのタイプを採用したプラズマ浸入イオン注入(P3i)プロセスを使用して実施できる。このトロイダルソースリアクタのタイプは図9で描写しており、また、2004年10月14日公開のHiroji Hanawaらによる米国特許出願公報2004/0200417号において説明されている。次のステップ(図20のブロック210)は、ウェーハ上に光吸収体層を形成するために、図9のトロイダルプラズマソースリアクタ内で低温化学気相堆積プロセスを実施するものである。ブロック201のCVDプロセスは次のステップからなる。まず、図9のリアクタの静電チャックの上にウェーハを置く(ブロック211)。リアクタチャンバ内にプロセスガスを導入する(ブロック212)。プロセスガスは、OALの材料のための前駆物質からなる。例えば、OALがアモルファスカーボンである場合には、プロセスガスはカーボンのための前駆物質である(またはこれを含む)。このようなカーボン前駆物質ガスについては本明細書中の前の部分において説明されており、メタン、アセチレン、エチレン、エタン、プロピレン、プロパン、エチルアセチレン、1,3−ブタジエン、1−ブタン、n−ブタン、ペンタン、ヘキサン、トルエン、メチルベンゼンまたは1−ブチン、あるいはこれ以外の好適なカーボン前駆物質を含む、先に挙げたカーボン含有ガスのうちのいずれか1つ(または任意の組み合わせ)であってもよい。次のステップ(ブロック213)では、図9の再入管内にトロイダルプラズマ電流を生成するために、RF生成器30、30’によりRFプラズマソース電力を印加する。静電チャックにチャッキング電圧を印加してウェーハを留め、ウェーハと静電チャックの間に緊密な電気および熱結合を提供する。生成器30、30’のRFソース電力レベルを、堆積膜内に所望の正角性度数を実現するように設定する(ブロック214)。RF生成器44によってRFバイアス電圧をウェーハに印加することができ、これの電力または電圧レベルは、堆積層内で所望の応力レベルが実現されるように調整できる(図20のブロック215)。このステップでは、堆積層内の圧縮応力を増加させることで、堆積層の密度の増加が可能である。これには、図13を参照して本明細書中で前に説明したように、バイアス電力または電圧を増加させることが必要である。堆積させたOALに含まれるとOALの光特性を拡張させる種のための前駆物質である添加ガスをチャンバ内に導入することが好ましい(ブロック216)。典型的には、この光特性は、DSA光源の波長(例えば810nm)における吸収あるいは不透明性である。OALがアモルファスカーボンである場合には、拡張種は例えばボロンや、窒素、水素、また本明細書中で前に参照したこれ以外の例であってもよい。堆積プロセスステップの完了後、ウェーハを、典型的には、チャッキング電圧をゼロまたはデチャック電圧に設定することでデチャックし、次に、リフトピンがウェーハを静電チャックから上昇させ、その後RFソースおよび/またはバイアス電力がオフになる。
[103]ブロック216の吸収性拡張ステップは、ウェーハを非常に短時間だけ(僅か数秒間、あるいはほんの1分間)適度な高温(例えば450℃)に加熱することからなっていてもよい(ブロック216a)。いくつかのプロセス例において、OALの堆積後に別々のリアクタ内で実施されるこの加熱ステップは、光k値(消光係数)を約0.3〜0.36にまで上昇させる。OALを約0.25〜1ミクロンの厚さに堆積させる。ブロック210のOAL堆積プロセスが完了すると、ダイナミック表面アニーリング(DSA)プロセスを実行する(図20のブロック230)。DSAチャンバ内にウェーハを置き(ブロック232)、図1〜図8の具体的な波長(例えば810nm)の光源により、CWダイオードレーザのアレイからの光をウェーハ上の細い線に集束させる(ブロック234)。この光ラインがウェーハ全体にかけて横方向に走査する(ブロック236)。このステップにおけるウェーハの急速な加熱については、本明細書中の先の部分で説明しているとおりである。ブロック230のDSAステップが完了すると、OALがウェーハからストリップされる(ブロック240)。このステップは、加熱したウェーハ支持部と酸素ガス(ラジカル)ソースとからなる従来のストリップチャンバを採用できる。しかし、このストリップチャンバは、内部に酸素および/または窒素ガスからなるプロセスガスが導入され、プラズマソース電力を用いてプラズマが生成される、図9に図示したタイプのトロイダルソースプラズマリアクタであることが好ましい。ウェーハは、(加熱したウェーハチャックまたはプラズマ加熱を用いて)加熱する、および/または、バイアスすることにより、OALまたはアモルファスカーボン層の除去を向上することができる。
[104]光吸収性拡張種をCVD後のイオン注入ステップによってOAL内に入れることができる。これは、CVD堆積プロセス中に光吸収性拡張種をプロセスガス内に含めることでOAL内に入れるステップ216のステップとは異なる。このような場合には、図20のプロセスを図21に示すように修正する。即ち、光吸収性拡張種(例えばボロン)をOALに注入するCVD後イオン注入ステップ220を、ブロック210の低温OAL CVDステップ完了後、およびブロック230のDSAステップの前に実行する。例えば、従来のビームラインイオン注入装置を使用できる。あるいは、P3iトロイダルソースプラズマリアクタ(図9)を、上で参照したHanawaらによる公開特許に説明されている方法で採用することが好ましい。このステップを図22に描画する。同図では、ウェーハ251の上に、ドーパント注入領域を含む薄膜構造252を設けている。ウェーハ251と薄膜構造252は、ブロック210のステップにて形成したアモルファスカーボンOAL253でカバーされている。ブロック220のCVD後イオン注入ステップは、図22に表すようにOAL253内にイオン(例えばボロンイオン)を加速させることで実行する。事前に形成した極浅接合部内にボロンが導入されることを防止するために、ボロンのイオン注入深さプロフィールをOAL253の底部よりもずっと上に設けることが必要である。図23Aは、ドーパント注入領域251a、薄膜構造252、OAL253を有する半導体(シリコン)層またはウェーハ251を描いている。図23Bは、OAL253内に設けた光吸収性拡張種のイオン注入濃度深さプロフィールを描いている。注入したイオン(ボロン)の濃度は深さと共に下方へ勾配し、OAL底層253aは未注入のままで、OAL253の底部よりも上のほぼゼロに達する。この特徴には2つの利点がある。1つは、同プロフィールの下にある半導体層251のイオン注入吸収性拡張種による汚染を、未注入底部OAL層253aによって防止できることである。もう1つの利点は、底部OAL層253aを純粋に維持することで、OALとこれの下に在る材料の間の粘着/接着の品質と強度を拡張できることである。図23Bは、傾斜した、または勾配を成した注入プロフィールを描いているが、イオン注入プロフィールに鋭い角度を持たせることで、OAL253の全体の注入(上方)領域が注入した種の(勾配と比較して)ほぼ均一な分布を深さの関数として有するようにすることができる。
[105]吸収性拡張種のイオン注入に頼ることなく、屈折率の消光係数または虚部分に勾配を設けることができる。例えば、CVD堆積ステップ中にOALに添加された吸収体拡張種の濃度深さプロフィールを勾配させてもよい。これは、図20のプロセスを、ブロック216のステップにて添加した吸収体拡張種の比率をCVD堆積ステップ中に時間を追うごとに勾配または階段状になるステップを含むように修正することで行う。代替としては、特定のプロセスパラメータ(例えばバイアス電力)を、CVD堆積ステップ中に、時間を追うごとに傾斜または階段状になるようにしてもよい。これらの修正を図24に描いているが、同図では、ブロック210のCVD堆積プロセスが2つのステップのうちいずれか一方(または両方)にて終了している。第1ステップ(図24のブロック261)では、ブロック210のCVD堆積ステップ中に、吸収性拡張ガス前駆物質種(例えばB)のチャンバ内へのガスの流量を時間を追うごとに勾配させる。その他のステップ(図24のブロック262)では、ブロック210のCVD堆積ステップ中に、特定のプロセスパラメータ(バイアス電力または電圧)を時間を追うごとに勾配させる。バイアス電力または電圧の勾配によってOAL253の圧縮応力の深さ分布が勾配し、これにより密度の深さ分布も勾配する。この密度がバイアス電圧の吸収、そして勾配に影響することで、OAL内の深さの関数としてのOALの吸収特徴を勾配させる傾向にある。図25は、プロセスガス中における吸収性拡張種前駆物質ガスの部分が、底部OAL層の最小厚さTから、時間を追うごとに(またはCVD層の厚さにかけて)上方へ勾配する様子を図示したグラフである。図26は、ブロック210のCVD堆積プロセス中に、時間を追うごとにウェーハバイアス電圧を上昇させる様子を図示したグラフである。最小の層厚さTに達するまでバイアス電圧を印加しない。この次の特徴には2つの利点がある。第1は、下にある層が露光されて非保護状態にある際に、堆積開始時においてウェーハバイアス電圧を除去することで、下にある半導体層への不純物が誤って注入されることが防止される。第2に、底部OAL層上にバイアス電圧がないため、OAL/ウェーハの界面における応力が最小化し、この界面にかけての接着が補助され、OAL除去後に下の層に応力の履歴を残すことを防止できる。図27は、OAL253と、この下の層251、252の立面図を描写する。OAL253は、純粋で応力のない底層253aと、圧縮応力および不純濃度を有する上方部分とを含んでおり、この不純濃度は高さと共に増加する。
[106]図20のプロセスは、OAL253内に抗反射コーティングを形成することで光吸収を拡張するように修正できる。この特徴は、前述した吸収性拡張プロセスステップのいずれかと組み合わせて、またはこれらの代わりに採用できる。この修正を図28に図示しており、同図では、CVDプロセス210が、交互の高いk値(不透明)と低いk値(透明)がOAL内で連続的な副層を形成するブロック217のステップにて終了している。用語「k」は、DSA光源の波長(例えば810nm)における屈折率の虚部分である消光係数を意味する。図28では、ブロック210のOAL堆積ステップは、図1〜図8のDSA光源の波長にある交互の高いk値と低いk値のOALの連続した副層を形成するブロック217のステップを含む。このステップは、次のステップのうちいずれか1つを含むことができる:(a)吸収性拡張添加ガスの流れをチャンバへ進ませる(オン、オフを切り替える)ステップ(図28のブロック217a)、(b)吸収性拡張添加ガス種(例えばボロン含有ガス)と透明性拡添加ガス種(例えばフッ素含有添加ガス)の間で添加ガス含有量を交互に変えるステップ(図28のブロック217b)、(c)高いk材料の形成を促進する値と、低いk値の形成を促進する値との間でCVDプロセスパラメータを交互に変えるステップ(図28のブロック217c)。
[107]図29Aは、リアクタチャンバ内における全体的なプロセスガスの(添加物)吸収性拡張種前駆物質ガスの部分成分を、時間の関数として図示したグラフである。この部分成分は、図28のブロック217aのステップに従い、時間を追って上下に段状化またはパルスされる。これは、不透明層(1または複数)の厚さを定義する「オン」時間と、これよりも不透明度の低い(あるいはほぼ透明)な層(1または複数)の厚さを定義する「オフ」時間とを用いて、添加ガスの流量をパルスすることで行われる。パルスの数によって、抗反射コーティング内に交互に設ける不透明層と非不透明層の数が決定する。一般的に、これらの光厚さはDSA光源の1/4波長に対応する。図29Bは、図28のブロック217bのステップに従って、吸収性拡張種前駆物質(例えばボロン含有ガス)と透明性拡張種前駆物質(例えばフッ素含有ガス)の間で交互に変わる、リアクタチャンバ内における全体的なプロセスガスの添加ガス部分成分を時間の関数として図示したグラフである。吸収性拡張添加ガスの流れのオン時間はOALの抗反射区間の不透明層の厚さを決定し、一方、透明性拡張添加ガスの流れのオン時間はOALの抗反射区間における透明層の厚さを決定する。図29Cは、堆積させた材料の吸収に時間の関数として影響する、選択したプロセスパラメータ(例えばRFバイアス電力)の値を図示したグラフである。図29Cでは、図28のブロック217cのステップに従って、プロセスパラメータ値を低い値と高い値の間でパルスする。このステップは、ブロック217aまたは217bのいずれかのステップと組み合わせることができる。バイアス電力の場合、高い値が、堆積させた材料内でより多くの圧縮応力を生産するため密度が高まり、吸収性または消光係数kが拡張される。一方、低い値はより小さいkを有する副層を形成する。これ以外のプロセスパラメータは、堆積させた材料の光吸収特徴に影響を及ぼす傾向にあり、これらのパラメータに対しても同様の方法でパルスプロセスを行い、この影響を拡張させることができる。こうした追加のプロセスパラメータは、チャンバ圧力、ウェーハ温度、ソース電力、さらに、基本の堆積材料前駆物質ガス(例えば、アモルファスカーボンOALの場合にはカーボン含有ガス)のガス流量を含んでいてもよい。
[108]前述したステップのいずれかによって形成された抗反射区間を含むOALを図30に描画する。このOALはアモルファスカーボン層であってもよく、また、低温CVDプロセスによってウェーハ251とこれの薄膜構造252の上に形成される。図28のブロック217のステップがCVDプロセスの少なくとも一部の最中に実施されることで、OAL253の区間253aが交互に変わる不透明層と非不透明層253a−1、253a−2、253a−3、253a−4からなる。交互に変わる層253a−1〜253a−4が適切な厚さのものである場合には(例えばDSA光源の1/4波長)、区間253はOAL内の抗反射コーティングである。代替として、図30にOAL253の内部成分として示した抗反射区間253aを、OAL253の残り部分を被覆する表面コーティングにすることもできる。
[109]前述した例は、内部において光吸収性が最大化されるOALに関するものであったが、上述した低温CVDプロセスを使用して、光源の波長にある吸収性の低いOALまたはアモルファスカーボン層を形成することもできる。これは、例えば、ボロン、またはこれ以外の吸収性を拡張する不純物をOALに含めない、または付加しないことで遂行できる。純粋なアモルファスカーボンOALの場合、低温CVDプロセスは、図3のGaAsダイオードレーザアレイ32の波長(810nm)にある比較的透明な層を形成する。これよりも高い透明性(または不透明性/吸収性の低い)が望ましい場合には、透明性拡張不純物(例えばフッ素)を、CVDプロセス、あるいはCVD後イオン注入ステップに適切な前駆物質(例えばフッ素含有のもの)ガスを含めることによってOALに添加すればよい。
[110]図31は、図20、図21、図24、図28のいずれか1つのブロック230のステップを実施するために、ウェーハ上にDSAプロセスを実行する半導体ウェーハ40とDSA光源260(図1〜図8のもの)を描画する。図31に示すように、ウェーハ40は、前述の低温CVDプロセスにて堆積させたOAL層253でコーティングされている。OAL253は、上述した特徴、例えば、CVD処理中またはCVD後イオン注入プロセス中に導入された吸収性拡張不純物のようなアモルファスカーボン基礎材料および吸収性拡張特徴、抗反射区間またはコーティング、および/または拡張された密度のいずれか1つ、または多数、あるいは全部を有している。図31のDSA光源は、レーザバーのアレイ132、マイクロレンズレットのアレイ140、任意のインターリーバ142、任意の偏光マルチプレクサ152、一連のレンズ162、164、166、均質化ライトパイプ170、高速軸集束光学部品180、182、ポリメータ161を含んでおり、これらは全て図1〜図8を参照して先に説明したものである。図31の図は光源高速軸に沿っている。ビームはウェーハ40に関連し、光源遅速軸(高速軸を横断、またはこれに対して垂直)に沿って移動する。
[111]図32は、ウェーハ内で半導体接合部(極浅接合部)をアニーリングするための統合型システムの一実施形態を図示する。この図32の統合型システムは1つのプラットホーム上に設けられた「ツイン」構成であり、異なるツールの対を統合するための共通のウェーハハンドリングロボットまたは機構310を上に有している。詳細には、ロボットウェーハハンドラ310が、一対の入力ウェーハポート315a/出力ウェーハポート315bと、図9を参照して上述したタイプの1対のトロイダルプラズマソース低温CVDリアクタチャンバ320a、320bと、それぞれが図1〜図8を参照して上述したタイプの完全な光源を含む1対のDSAチャンバ325a、325bと、1対の光吸収体層ストリップチャンバ330a、330bと接触している。図33は統合型システムの別の実施形態を図示しており、この実施形態では、統合型システムは半導体接合部を形成およびアニーリングし、図20〜図29を参照して上述した全てのステップおよびプロセスを実行できる。図33の統合型システムはまた、ウェーハ入力ポート/出力ポートか工場界面355、355’を設けたウェーハハンドラ350を有する。次のツールまたはリアクタチャンバはウェーハハンドラ350に結合している:イオン注入前ウェーハ洗浄チャンバ360、極浅接合ドーパントイオン注入リアクタ365、イオン注入後抵抗ストリップチャンバ367、光吸収体層の低温CVD形成を実施するための、図9に図示したタイプのトロイダルプラズマソースリアクタ370、リアクタ370内のウェーハ上に堆積させたOALに光吸収性拡張不純物または添加物を注入するためのCVD後イオン注入リアクタ375、図31のDSA光源260を含むDSAチャンバ380、DSA後OAL除去プロセスを実行するOALストリップチャンバ385。イオン注入後レジストストリップチャンバ367またはOALストリップチャンバ385の後に湿式チャンバを使用してもよい。
[112]注入前ウェーハ洗浄リアクタ360は従来の洗浄リアクタであるが、これ以外の、図9に図示した、プラズマ生成中に洗浄ガス(例えば水素含有、酸素含有、フッ素含有、窒素含有のもの、またはヘリウム、ネオン、アルゴン、キセノンといった不活性ガス)が導入されるタイプのトロイダルソースプラズマリアクタであってもよい。ドーパントイオン注入リアクタ365は、従来のイオンビーム注入装置か、またはP3iリアクタであってもよい。このようなP3iリアクタは、図9に図示したタイプの、ヒロジ・ハナワ等による公開特許を参照して本明細書中で先に述べたP3i接合部形成プロセスを実施するためのトロイダルソースリアクタであってもよい。CVD後イオン注入リアクタ375は、従来のイオンビーム注入装置か、またはP3iリアクタであってもよい。このようなP3iリアクタは、図9に図示したタイプの、先に参照したヒロジ・ハナワ等による公開特許を参照して本明細書中で先に述べたP3iプロセスを実施するトロイダルソースリアクタであってもよい。しかし、この場合には、注入する種は、例えばボロン含有ガスのような光吸収性拡張種前駆物質ガスである。OALストリップリアクタ385は、ウェーハからOAL材料を除去する従来のリアクタであってもよい。OALがアモルファスカーボンである場合には、ストリップチャンバ385に酸素および/または窒素ガスを採用し、このガスでウェーハの加熱および/またはバイアスを行うことで除去プロセスを促進することができる。しかし、OALストリップリアクタ385は、図9に図示した、酸素および/または窒素含有ガス、水素含有ガス、フッ素含有ガスを導入し、プラズマソース電力を用いてプラズマを生成するタイプのトロイダルプラズマソースリアクタであってもよい。また、OALまたはアモルファスカーボン層の除去を向上するために、ウェーハを(加熱したウェーハチャックまたはプラズマ加熱を用いて)加熱および/またはバイアスすることもできる。例えば、トロイダルプラズマソースストリップリアクタ内で、250℃に加熱した静電チャック上にウェーハを置く。この第1ステップでは、O、H、N、NFのガス混合物がトロイダルプラズマソースリアクタ内に流入する。2つのトロイダルプラズマソースのそれぞれに2kWのRFトロイダルソース電力を印加する。静電チャックに500VのRFバイアス電圧を印加する。第2ステップにおいてアモルファスカーボン層を部分的にストリップすると、O、H、Nのガス混合物がトロイダルプラズマソースリアクタ内に流入する。2つのトロイダルプラズマソースのそれぞれに1kWのRFトロイダルソース電力を印加する。静電チャックに50VのRFバイアス電圧を印加する。アモルファスカーボン層の除去が完了するまでこの第2ステップを実施する。任意で、プラズマ内のカーボン(またはこの下にある材料)の有無に対応した光放出ラインエンドポイント信号を監視し、任意でストリッププロセスの終了を誘導することもできる。例えば、励起したCOの放出ラインを使用して、プラズマ内のカーボン副産物の存在を表すことができる。CO放出ライン信号が消滅すればカーボン層は除去されている。さらに、上述のOAL層を除去するストリッププロセスを、チャンバ洗浄プロセスとしてOAL堆積リアクタ(カーボンOAL層を堆積させるために採用したリアクタ)内に採用することで、ウェーハの除去後、あるいはウェーハをチャンバ内に導入する前に、チャンバ表面上に堆積したカーボンおよびその他の材料を除去することができる。より一般的には、任意のカーボン含有層(特定の光または電気特徴を有するか否かは関係ない)を堆積させるために使用するトロイダルプラズマリアクタについては、ウェーハ導入前、またはウェーハをチャンバから除去する前に、上述の2ステップ式のカーボンストリッププロセスをチャンバ洗浄プロセスとして採用することができる。例えば、このカーボンストリッププロセスを、上述した図19のブロック6141のチャンバ洗浄ステップとして採用することも可能である。

プロセス例:
[113]以下は光吸収体層堆積に用いるカーボン前駆物質のリストの一部である:


C H
メタン 1 4

アセチレン 2 2
エチレン 2 4
エタン 2 6

プロピレン 3 6
プロパン 3 8

1−ブチン
エチル
アセチレン 4 6 E
1,3−ブタジエン 4 6
1−ブテン 4 8
n−ブタン 4 10

ペンタン 5 12

ヘキサン 6 14
メチル
ベンゼン
(C
トルエン 7 8 H

[114]他の前駆物質、例えばフッ化炭素を使用することもできるが、これは炭化水素と比較した場合に、レーザ光ビームの波長において放射の吸収に劣る(即ち、複素屈折率の消光係数または虚部分)傾向にある。そのため、フッ化炭素は、より透明性が高いか、吸収/不透明性が低い層、あるいは層の一部を堆積させることが望ましい場合に有効である。好ましいフッ化炭素ガスはCまたはCである。これ以外のフッ化炭素ガスにはC、C、C、C、Cが含まれる。光特性をさらに拡張する不純物の例にはB、BF、B、PH、PF、AsH、AsF、SiH、SiF、GeH、GeFがあり、一般的に水素化物はドーパントフッ化物よりも優れた吸収を提供する。一例では、300mmのシリコンウェーハ上で、CをC−前駆物質ガスとして600sccmの流量にて使用し、B−前駆物質Bを20sccmの流量にて使用し、Hを180sccmの流量にて使用し、希釈ガスAr200sccmをプロセスチャンバ圧力15ミリトールにて使用する。交差トロイダル構成の2本の再入管のそれぞれに、2KWのRFトロイダルソース電力(周波数約12〜14MHz)を印加する。RFバイアス電力(周波数1〜3MHz)を数秒後にピークトゥピークでゼロから7KVにまで急勾配させるには約8KwのRFバイアス電力が必要である。静電ウェーハチャックを−20〜+40℃の範囲内に維持し、ウェーハ温度を約80〜140℃に維持する。1分間のプロセス時間で、膜厚さは約0.25ミクロンとなり、「k」値は約800nmのレーザ波長にて約0.36となる。膜厚さは堆積時間に対して直線的であり、3分間で約0.75ミクロンを作造する。H、He、Ar、Nで希釈したB−前駆物質B(最大10〜20%)はH、He、Ar、Nで希釈した状態で普通に入手できる。希釈してあるのは、反応性が高いために100%での有用性が得られないためである。HまたはHe希釈が最も好ましいが、ArまたはN希釈も使用できる。これ以外のボロン前駆物質の使用も可能である。ボロンを用いない場合には、上記の例の条件は、約800nmのレーザ波長における約0.18の「k」値の膜を作造する。ボロンの代わりにNを添加してもよい。Nを用い、ボロンを用いない場合には、上述の例の条件にて、約800nmのレーザ波長にて約0.25の「k」値を持った膜を作造する。別の用途においてこれよりも低い「k」値の膜が望ましい場合には、Hを添加することができる。200〜400sccmで、Hを添加し、ボロンまたはNを用いない場合には、上述の例の条件で、約800nmのレーザ波長にて約0.04の「k」値の膜を作造できる。代替として、またはこれに加えて、フッ素含有ガスを添加して「k」値の低い膜を作造することもできる。
[115]レーザアニーリングまたは従来のアニーリングを施した場合にも、熱特性を向上させ、ひび割れや剥離を防止することができるよう、トポグラフィにかけての優れた段状被覆、無空隙、膜応力の制御を提供しながら、アモルファスカーボン膜を、幅広い範囲にかけて「k」値を制御することによって(吸収、あるいは複素屈折率の消光係数または虚部分)堆積させることができる。チャックまたはウェーハ温度をさらに低くすることで、「k」値やその他の膜特性を犠牲にすることなく堆積速度を加速することができる。450℃で数秒間硬化させると「k」値が約0.36に上昇する。層がレーザを効率的に吸収することで、吸収体層の完全性を維持しながら、ドープしたシリコンを活性化することが可能である。吸収体層を破損することなくウェーハ表面を溶融温度にまで上げることができる。次に、アニール後、吸収体層を従来の方法(フォトレジスト・ストリップ/洗浄プロセスと同じ方法)でストリップして洗浄できる。代替として、酸素または酸素/窒素混合物を使用して、上述したトロイダルプラズマソースを有する同一または別のプラズマチャンバ内でストリッププロセスを実行してもよい。
[116]この堆積プロセスはマルチステップ式(図24、図28を参照して上述したとおり)であってもよい。上述した先の段落の例では、最初のボロンを含まない層が堆積するまでボロン前駆物質の導入を故意に遅らせて、ウェーハの潜在的なドーピングを防止することができる。例えば3秒間の遅延により作造できるボロンを含まない層の厚さは約100〜150オングストロームである。最初のソース/電力のみの堆積プロセスが終了するまで、バイアス電圧の導入を故意に遅らせることができる。これにより、ウェーハ表面内への堆積前駆物質の注入を防ぐ。これらは別々または合わせて使用できる。一実施形態では、ボロン前駆物質の導入とバイアス電圧のオン切り替えとを3秒間遅らせた後にボロン前駆物質を添加し、その後、さらに3秒間遅らせるとバイアス電圧が勾配または急上昇(stepped on)する。これにより、ボロンあるいはカーボンが堆積する、または注入される確率が低下する。代替として、最初の3秒間の遅延の後にNを(ボロンの代わりに)添加し、さらに3秒間遅らせてバイアス電圧を急上昇(stepped on)させる。さらに別の実施形態では、最初の3秒間の遅延の後に(ボロンではなく)Nを添加し、さらに3秒間遅らせるとバイアス電圧が急上昇し、その後、60秒後にボロン前駆物質がオンにされ(Nの有無に関係なく)、この状態がプロセスの残りの部分にかけて続く。アモルファスカーボン膜を光吸収体として、好みの波長(例えば810nm)にて堆積させる低温のトロイダルプラズマCVDプロセスの場合には、基礎アモルファスカーボン前駆物質炭化水素ガス(即ちC)に対して(1)ボロン(即ちB)、(2)Nまたは他の形態の窒素を添加することによる相互作用的な恩典が得られる。堆積させたカーボン層の熱安定性は450℃、特にこれよりも高い温度にて向上する。詳細には、堆積層の層間分離や剥離などを生じることなく、堆積させたアモルファスカーボン層をレーザによってシリコンの融点、またはこれよりも上の温度にまで加熱することができる。この特徴によって、典型的に層間分離や薄利を防止するために必要な閾値ウェーハ電圧または閾値イオンエネルギーが事実上低下する。炭化水素ガス中でボロン添加物質と窒素添加物質を組み合わせる前述の特徴を、光吸収アモルファスカーボン層を堆積させる場合に採用することができ、また、光吸収体ではないカーボン層を堆積させる場合にも採用できる。別の例では、交差トロイダル構成の2本の再入管のそれぞれに1KWのRFトロイダルソース電力(約12〜14MHzの周波数で)を印加してプラズマを開始させるために、300mmのシリコンウェーハ上に、800sccmの流量と30ミリトールの圧力でArを自己導入させている。プラズマ開始ステップの次に、スロットル弁を調整してチャンバ圧力を15ミリトールにまで降下させ、このチャンバ圧力を堆積プロセスの残り部分にかけても維持する。次に、Arの流れを200sccmに低減し、C前駆物質ガスとしてCを600sccmの流量にて導入し、トロイダルソース電力レベルを管1本につき2kWにまで3秒間上昇させることで、最初の界面層を堆積させる。(残りの堆積プロセスについても、トロイダルソース電力レベルを管1本につき2kWに維持する。)その後、Nを333sccmの流量で導入し、この数秒後に、約8KWのRFバイアス電力を要し、RFバイアス電圧(周波数1〜3MHz)をピークトゥピークでゼロまたは低い初期値から7KVにまで急勾配させる。約40秒後に、Bを20sccmの流量で、180sccmの流量水素希釈ガスと共に導入し、Nの流れを(任意で)断絶する。このステップを140秒間かけて行う。全実行中、静電ウェーハチャックを−20〜+40℃の範囲内に維持し、ウェーハ温度を約80〜140℃に維持する。約3分間の合計プロセス時間について、膜厚さは約0.75ミクロンであり、「k」値は約800nmのレーザ波長にて約0.36である。この膜は優れた熱安定性と正角性を有し、注入による下に在るウェーハ面の損傷は最小である。またこの膜は、先述のトロイダルストリップチャンバまたは従来の下流ラジカルストリッププロセスチャンバのいずれかの内部にて、ウェーハ温度250℃で、窒素と酸素の混合物を10%未満のCF窒素と共に使用し、(アニールの有無に関係なく)ストリップ可能である。CFまたはこれに代わる窒素ソースを、ボロンを含有した最初の頂層のストリップ後に停止し(フッ素あるいは水素がボロンの除去を助ける)、その後は、従来の窒素および酸素によって、下のウェーハ面への損傷を最小に抑えながら残りの膜厚さを有効に除去することができる。
[117]本発明を、好ましい実施形態を参照しながら詳細に説明したが、本発明の真の精神および範囲から逸脱しない限り、これに応用および変更を加えられることが理解される。
ダイナミックな表面アニーリング機器を図示する。 図1の機器の光学部品の頂面図である。 図2に関連した立面図である。 図1の機器に採用したレーザアレイの分断断面図である。 図1の機器の均質化を行うライトパイプの斜視図である。 図5のライトパイプの斜視側面図であり、この場合、ライトパイプはコリメートレンズと集束レンズを設けている。 図6に関連した側面図である。 図6に関連した頂面図である。 低温CVDプロセスを実施するためにを採用されたトロイダルソースプラズマリアクタを描いている。 図9のリアクタ内で実行される一般的な低温CVDプロセスを描画するブロック図である。 図10の低温プロセスによって堆積させた、ソース電力の関数としての層の正角性を図示したグラフである。 アスペクト比の高い開口部と堆積した層の断面図であり、正角性の定義を図示している。 CVD堆積速度をプラズマソース電力の関数として描画したグラフである。 堆積層の応力をバイアス電力レベルの関数として図示したグラフである。 図10のプロセスの実施形態を図示したブロック図である。 図10のプロセスの別の実施形態を図示したブロック図である。 図10のプロセスのさらに別の実施形態のブロック図である。 図15または図16のいずれかのプロセスによって形成された薄膜構造の断面図である。 図15または図16いずれかのプロセスによって注入したイオンの密度を水面以下の深さの関数として描画したグラフである。 図10のプロセスのさらに別の実施形態を図示したブロック図である。 極浅接合部を形成するプロセスのブロック図である。 図20のプロセスの代替実施形態のブロック図である。 図21のプロセスによって形成された薄膜構造の断面図である。 図21のプロセスによって形成された薄膜構造の断面図である。 図23Aの薄膜構造における深さの関数としての、イオン注入した種の濃度のグラフである。 図20のプロセスの代替実施形態のブロック図である。 図24のプロセスにおける時間の関数としての添加ガス流量のグラフである。 図24のプロセスにおける時間の関数としてのRFウェーハバイアス電圧のグラフである。 プロセス24のプロセスによって形成された薄膜構造の断面図である。 図20のプロセスの別の代替実施形態のブロック図である。 2つの異なる添加ガスの比率を図24のプロセスにおける時間の関数として図示したグラフである。 図24の別バージョンのプロセスにおける単一の添加ガスの比率を図示したグラフである。 ウェーハRFバイアス電力を、図24のさらに別バージョンのプロセスにおける時間関数として図示している。 図24のプロセスによって形成した多層堆積型コーティングを有する薄膜構造を描いている。 半導体ウェーハ内の極浅接合部をアニーリングする動作を図示している。 本発明に従ってウェーハを処置するための統合型システム ウェーハ表面に極浅接合部を形成するために必要な全てのステップを実行する統合型システムである。
符号の説明
10…円筒形の側壁、12…シーリング、14…ウェーハ接触型の冷却静電チャック、16…ポンプアニュール、18…ガス分配板、20…側部注入ノズル、22…外部再入管、24…RF電力アプリケータ、26…磁気透過性トロイダルコア、28…伝導コイル、30…RFプラズマソース電力生成器、32…光インピーダンス整合回路、34…プロセスガス供給部、40…シリコンウェーハ、42…プロセス領域、44…RFバイアス電力生成器、46…インピーダンス整合回路、48…チャッキング電圧源、50…隔離キャパシタ、60…半導体性頂層またはパック、70…内部冷却剤通路、110…ガントリ構造、112、114…固定された平行レール、116、118…平行なガントリビーム、120…ビームソース、124…ファン型ビーム、126…ラインビーム、132…レーザバースタック、134…平行バー、136…エミッタ、142…従来の光学部品、158…ソースビーム、163…検出器、162、164、166…円筒形レンズ、165…光フィルタ、170…1次元ライトパイプ、172…スラブ、178…出力面、180、182…アナモルフィック光学部品、251…ウェーハ、252…薄膜構造、253…アモルファスカーボンOAL、310…共通のウェーハハンドリングロボット、315a、315b…入力/出力ウェーハポート、320a、320b…トロイダルプラズマソース低温CVDリアクタチャンバ、325a、325b…DSAチャンバ、330a、330b…光吸収体層ストリップチャンバ、350…ウェーハハンドラ、360…事前イオン注入ウェーハ洗浄チャンバ、370…トロイダルプラズマソースリアクタ、380…DSAチャンバ。

Claims (20)

  1. ワークピースの半導体材料内に半導体接合部を形成する方法であって、
    前記半導体材料の選択された領域内にドーパント不純物をイオン注入するステップと、
    前記ワークピースを含有したチャンバ内に光吸収体材料前駆物質ガスを導入するステップと、
    RFソース電流を印加することで、前記ワークピースの上にあるプロセスゾーンを含む再入経路内にRF発振トロイダルプラズマ電流を生成して、前記ワークピース上に光吸収体材料の層を堆積させるステップと、
    前記ワークピースを光学的にアニーリングして、前記半導体材料内でドーパント不純物を活性化するステップと、
    を備える方法。
  2. 前記RFソース電流の印加するステップが、RFソース電流を前記再入経路の外部に結合させる工程を備える、請求項1に記載の方法。
  3. 前記ワークピースを200℃未満に維持するステップをさらに備える、請求項1に記載の方法。
  4. 前記ワークピースの温度が、100℃未満である、請求項3に記載の方法。
  5. 前記ワークピースにバイアス電力または電圧を印加するステップをさらに備える、請求項1に記載の方法。
  6. 前記光吸収体材料がアモルファスカーボンを備え、前記前駆物質ガスがカーボン含有ガスを備える、請求項5に記載の方法。
  7. 光吸収層内に吸収性拡張種を含むステップをさらに備える、請求項6に記載の方法。
  8. 前記光吸収層内に前記吸収性拡張種を含む前記ステップが、前記光吸収体材料の堆積中に前記光吸収体材料前駆物質ガスに光吸収拡張種前駆物質ガスを添加する工程を備える、請求項7に記載の方法。
  9. 前記光吸収層内に前記吸収性拡張種を含む前記ステップが、前記光吸収体層の堆積後に前記光吸収層内に前記光吸収性拡張種をイオン注入する工程を備える、請求項7に記載の方法。
  10. 前記ワークピースを光学的にアニーリングする前記ステップが、レーザのアレイからの光を前記ワークピースの頂面上のラインビームに集束させ、前記ラインビームを、前記頂面にかけて、前記ラインビームを横切る方向に走査させる工程を備える、請求項7に記載の方法。
  11. 前記レーザのアレイが所定の波長の放射線を放出し、
    前記吸収性拡張種が、所定の波長にある前記光吸収体材料の不透明性を増加させる、請求項10に記載の方法。
  12. 光吸収層内で所定の応力レベルを実現するために、前記バイアス電力または電圧を調整するステップをさらに備える、請求項5に記載の方法。
  13. 前記所定の応力レベルが圧縮応力に対応する、請求項12に記載の方法。
  14. 前記光吸収層内で所望の度数の正角性(conformality)を実現するために、前記RFソース電力のレベルを調整するステップをさらに備える、請求項1に記載の方法。
  15. 前記調整するステップが、前記光吸収層の段状被覆を得るために、前記RFソース電力を十分に高いレベル、高度の正角性に設定する工程を備える、請求項14に記載の方法。
  16. 前記光吸収性拡張種がボロン、窒素、水素のうち1つを備える、請求項11に記載の方法。
  17. 前記光吸収体層内の応力レベルを等級付けできるようにするために、前記バイアス電力または電圧を、時間を追うごとに開始値から最大値にまで増加させるステップをさらに備える、請求項5に記載の方法。
  18. 前記光吸収体層の深さにかけて前記吸収特徴を変化させるために、前記チャンバ内における前記光吸収性拡張前駆物質ガスの比率を時間を追うごとに変更するステップをさらに備える、請求項8に記載の方法。
  19. 前記光吸収性拡張前駆物質ガスの比率を時間を追うごとに変更するステップが、
    (a)前記吸収体層の深さにかけて前記吸収特徴を等級付けできるようにするために、前記比率を勾配させる工程と、
    (b)高い不透明性と低い不透明性の副層を交互に有する吸収体層内に抗反射コーティングを形成するために前記比率を切り替える工程と、
    のうちいずれか一方を備える、請求項18に記載の方法。
  20. 光吸収体層の不透明性を深さにかけて変化させるために、深さにかけて変化するイオン注入深さプロフィールを提供するステップをさらに備える、請求項9に記載の方法。
JP2008512458A 2005-05-17 2006-05-16 光吸収層の低温プラズマ堆積と高速光学アニーリングを含む半導体接合部形成プロセス Withdrawn JP2008546178A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/131,904 US7109098B1 (en) 2005-05-17 2005-05-17 Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
PCT/US2006/019027 WO2006124965A1 (en) 2005-05-17 2006-05-16 A semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing

Publications (1)

Publication Number Publication Date
JP2008546178A true JP2008546178A (ja) 2008-12-18

Family

ID=36974440

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008512458A Withdrawn JP2008546178A (ja) 2005-05-17 2006-05-16 光吸収層の低温プラズマ堆積と高速光学アニーリングを含む半導体接合部形成プロセス

Country Status (5)

Country Link
US (1) US7109098B1 (ja)
JP (1) JP2008546178A (ja)
KR (1) KR20080011421A (ja)
TW (1) TWI352381B (ja)
WO (1) WO2006124965A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012212706A (ja) * 2011-03-30 2012-11-01 Tohoku Univ 半導体装置及びその製法において用いられるアモルファスカーボン膜の製造法

Families Citing this family (343)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US20040149219A1 (en) * 2002-10-02 2004-08-05 Tomohiro Okumura Plasma doping method and plasma doping apparatus
JP4853857B2 (ja) * 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
US7968473B2 (en) * 2006-11-03 2011-06-28 Applied Materials, Inc. Low temperature process for depositing a high extinction coefficient non-peeling optical absorber for a scanning laser surface anneal of implanted dopants
US7901776B2 (en) * 2006-12-29 2011-03-08 3M Innovative Properties Company Plasma deposited microporous carbon material
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8377209B2 (en) * 2008-03-12 2013-02-19 Applied Materials, Inc. Linear plasma source for dynamic (moving substrate) plasma processing
US7947584B2 (en) * 2008-05-02 2011-05-24 Applied Materials, Inc. Suitably short wavelength light for laser annealing of silicon in DSA type systems
US7906817B1 (en) * 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI421954B (zh) * 2008-12-31 2014-01-01 Taiwan Tft Lcd Ass 接合檢視結構
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8362596B2 (en) * 2009-07-14 2013-01-29 International Business Machines Corporation Engineered interconnect dielectric caps having compressive stress and interconnect structures containing same
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110070724A1 (en) * 2009-09-21 2011-03-24 Applied Materials, Inc. Defect-free junction formation using octadecaborane self-amorphizing implants
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5922352B2 (ja) * 2011-08-11 2016-05-24 Sppテクノロジーズ株式会社 窒化膜の製造装置及びその製造方法、並びにその製造プログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8679987B2 (en) 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10312137B2 (en) * 2016-06-07 2019-06-04 Applied Materials, Inc. Hardmask layer for 3D NAND staircase structure in semiconductor applications
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
TW202111147A (zh) * 2019-08-12 2021-03-16 美商應用材料股份有限公司 低k介電膜
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2344138A (en) 1940-05-20 1944-03-14 Chemical Developments Corp Coating method
US3109100A (en) 1960-05-19 1963-10-29 Automatic Canteen Co Photosensitive currency testing device
US3576685A (en) 1968-03-15 1971-04-27 Itt Doping semiconductors with elemental dopant impurity
US3907616A (en) 1972-11-15 1975-09-23 Texas Instruments Inc Method of forming doped dielectric layers utilizing reactive plasma deposition
CH611938A5 (ja) 1976-05-19 1979-06-29 Battelle Memorial Institute
DE3118785A1 (de) 1981-05-12 1982-12-02 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zum dotieren von halbleitermaterial
DE3221180A1 (de) 1981-06-05 1983-01-05 Mitsubishi Denki K.K., Tokyo Verfahren und vorrichtung zur herstellung einer halbleitervorrichtung
US4385946A (en) 1981-06-19 1983-05-31 Bell Telephone Laboratories, Incorporated Rapid alteration of ion implant dopant species to create regions of opposite conductivity
US4382099A (en) 1981-10-26 1983-05-03 Motorola, Inc. Dopant predeposition from high pressure plasma source
JPH0635323B2 (ja) 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4521441A (en) 1983-12-19 1985-06-04 Motorola, Inc. Plasma enhanced diffusion process
JPS60153119A (ja) 1984-01-20 1985-08-12 Fuji Electric Corp Res & Dev Ltd 不純物拡散方法
US4539217A (en) 1984-06-27 1985-09-03 Eaton Corporation Dose control method
US4584026A (en) 1984-07-25 1986-04-22 Rca Corporation Ion-implantation of phosphorus, arsenic or boron by pre-amorphizing with fluorine ions
US4698104A (en) 1984-12-06 1987-10-06 Xerox Corporation Controlled isotropic doping of semiconductor materials
JPH0763056B2 (ja) 1986-08-06 1995-07-05 三菱電機株式会社 薄膜形成装置
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4912065A (en) 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
KR930003857B1 (ko) 1987-08-05 1993-05-14 마쯔시다덴기산교 가부시기가이샤 플라즈마 도우핑방법
US4778561A (en) 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
US5643838A (en) 1988-03-31 1997-07-01 Lucent Technologies Inc. Low temperature deposition of silicon oxides for device fabrication
US4871421A (en) 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5106827A (en) 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
US5312778A (en) 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5040046A (en) 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5107201A (en) 1990-12-11 1992-04-21 Ogle John S High voltage oscilloscope probe with wide frequency response
US5288650A (en) 1991-01-25 1994-02-22 Ibis Technology Corporation Prenucleation process for simox device fabrication
JP3119693B2 (ja) 1991-10-08 2000-12-25 エム・セテック株式会社 半導体基板の製造方法及びその装置
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5505780A (en) 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
WO1994006263A1 (en) 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
US5510011A (en) 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5572038A (en) 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
JP3430552B2 (ja) 1993-05-07 2003-07-28 ソニー株式会社 ダイヤモンド半導体の製造方法
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
IT1263372B (it) 1993-05-26 1996-08-05 Deregibus A & A Spa Macchina perfezionata per la produzione di tubi in gomma vulcanizzata.
CA2126731A1 (en) 1993-07-12 1995-01-13 Frank Jansen Hollow cathode array and method of cleaning sheet stock therewith
JP2919254B2 (ja) 1993-11-22 1999-07-12 日本電気株式会社 半導体装置の製造方法および形成装置
US5520209A (en) 1993-12-03 1996-05-28 The Dow Chemical Company Fluid relief device
US5435881A (en) 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
DE19581590T1 (de) * 1994-03-25 1997-04-17 Amoco Enron Solar Erhöhung eines Stabilitätsverhaltens von Vorrichtungen auf der Grundlage von amorphem Silizium, die durch Plasmaablagerung unter hochgradiger Wasserstoffverdünnung bei niedrigerer Temperatur hergestellt werden
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5569363A (en) 1994-10-25 1996-10-29 Sony Corporation Inductively coupled plasma sputter chamber with conductive material sputtering capabilities
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5888413A (en) 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5702530A (en) 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US6000360A (en) 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5770982A (en) 1996-10-29 1998-06-23 Sematech, Inc. Self isolating high frequency saturable reactor
SE510984C2 (sv) 1996-10-31 1999-07-19 Assa Ab Cylinderlås
JP4013271B2 (ja) 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
US6139697A (en) 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
JPH10270428A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6013563A (en) 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US6153524A (en) 1997-07-29 2000-11-28 Silicon Genesis Corporation Cluster tool method using plasma immersion ion implantation
US5935077A (en) 1997-08-14 1999-08-10 Ogle; John Seldon Noninvasive blood flow sensor using magnetic field parallel to skin
US6041735A (en) 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
US5994236A (en) 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6265328B1 (en) 1998-01-30 2001-07-24 Silicon Genesis Corporation Wafer edge engineering method and device
US6132552A (en) 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US5944942A (en) 1998-03-04 1999-08-31 Ogle; John Seldon Varying multipole plasma source
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6101971A (en) 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
JP3906579B2 (ja) 1998-08-26 2007-04-18 三菱電機株式会社 イオン源装置
US6050218A (en) 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6174743B1 (en) 1998-12-08 2001-01-16 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
US6096661A (en) 1998-12-15 2000-08-01 Advanced Micro Devices, Inc. Method for depositing silicon dioxide using low temperatures
US6239553B1 (en) 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6248642B1 (en) 1999-06-24 2001-06-19 Ibis Technology Corporation SIMOX using controlled water vapor for oxygen implants
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6335536B1 (en) 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
US6182604B1 (en) 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6303519B1 (en) 2000-07-20 2001-10-16 United Microelectronics Corp. Method of making low K fluorinated silicon oxide
US6305316B1 (en) 2000-07-20 2001-10-23 Axcelis Technologies, Inc. Integrated power oscillator RF source of plasma immersion ion implantation system
KR100365414B1 (en) * 2001-04-30 2002-12-18 Hynix Semiconductor Inc Method for forming ultra-shallow junction using laser annealing process
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012212706A (ja) * 2011-03-30 2012-11-01 Tohoku Univ 半導体装置及びその製法において用いられるアモルファスカーボン膜の製造法

Also Published As

Publication number Publication date
TWI352381B (en) 2011-11-11
TW200717613A (en) 2007-05-01
WO2006124965A1 (en) 2006-11-23
US7109098B1 (en) 2006-09-19
KR20080011421A (ko) 2008-02-04

Similar Documents

Publication Publication Date Title
JP2008546178A (ja) 光吸収層の低温プラズマ堆積と高速光学アニーリングを含む半導体接合部形成プロセス
JP2008540849A (ja) カーボン層堆積のための低温プラズマ堆積プロセス
JP2008546179A (ja) 低温吸収層の堆積と高速光アニーリングシステム
JP2008541485A (ja) 光吸収層を低温プラズマ堆積させるプロセスおよび高速光アニーリング
JP2009505402A (ja) 低温で堆積された炭素含有ハードマスクを使用する半導体基板プロセス
US7335611B2 (en) Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7312148B2 (en) Copper barrier reflow process employing high speed optical annealing
US7429532B2 (en) Semiconductor substrate process using an optically writable carbon-containing mask
KR101292314B1 (ko) 다이나믹 표면 어닐링 프로세싱을 위한 흡수층
US7968473B2 (en) Low temperature process for depositing a high extinction coefficient non-peeling optical absorber for a scanning laser surface anneal of implanted dopants
US7588990B2 (en) Dynamic surface annealing of implanted dopants with low temperature HDPCVD process for depositing a high extinction coefficient optical absorber layer
US20070243721A1 (en) Absorber layer for dsa processing

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20090804

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20110517