TWI345287B - - Google Patents

Download PDF

Info

Publication number
TWI345287B
TWI345287B TW094119874A TW94119874A TWI345287B TW I345287 B TWI345287 B TW I345287B TW 094119874 A TW094119874 A TW 094119874A TW 94119874 A TW94119874 A TW 94119874A TW I345287 B TWI345287 B TW I345287B
Authority
TW
Taiwan
Prior art keywords
film
etching
treatment
etched
groove
Prior art date
Application number
TW094119874A
Other languages
English (en)
Other versions
TW200605266A (en
Inventor
Satoru Shimura
Kazuhiro Kubota
Ryuichi Asako
Seiichi Takayama
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200605266A publication Critical patent/TW200605266A/zh
Application granted granted Critical
Publication of TWI345287B publication Critical patent/TWI345287B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

1345287 (1) 九、發明說明 【發明所屬之技術領域】 • 本發明係關於例如:藉由單鑲嵌結構(single * . damaSCene)法或雙鑲嵌結構(dual damascene)法而形成, 、 具有溝配線或連接孔之半導體裝置的製造方法v 【先前技術】 φ 於半導體裝置的製造程序,於溝配線或連接孔的形成 多用雙鑲嵌結構(dual damascene)法(例如:參照專利文獻 1)。於第20圖表示模式的圖示由先前的雙鑲嵌結構法的 Cu配線的形成方法的一例之說明圖。 首先’於基板上’例如:配線層500、層間絕緣膜 501'反射防止膜502爲由下依序形成,於該多層膜構造 的表面形成第1光阻膜503(第20(a)圖)。接著第〗光阻膜 503藉由光飽刻技術(photolithography)而圖形化至特定的 φ 圖形(第20(b)圖)。在此圖形化製程,第1光阻膜503爲 以特定的圖形曝光,該曝光部爲藉由顯像而選擇性的被除 去》接著,將此第1光阻膜5 03作爲遮罩,藉由蝕刻處 _ V 理,蝕刻反射防止膜502和層間絕緣膜501。由此從多層 膜構造的表面形成通至配線層500的連接孔504(第20(c) 圖)。 接著,例如:藉由灰化(ashing)處理而剝離除去成爲 不必要的第1光阻膜503(第20(d)圖),替換而形成爲了形 成配線溝之新的第2光阻膜505(第20(e)圖)。第2光阻膜 ⑧ -5- (2) 1345287 505係藉由光蝕刻技術(photolithography)而被圖形化(第 20(f)圖),之後,藉由已將第2光阻膜505作爲遮罩的蝕 刻處理,蝕刻反射防止膜5 02和層間絕緣膜501的一部 . 分。如此,連通連接孔5〇4,形成比連接孔504的寬度大 秦 • 的配線溝5 06(第20(g)圖)。剝離除去成爲不必要的第2光
V 阻膜505 (第20(h)圖),於連接孔504與配線溝506之中埋 入Cu材料,形成Cu配線5 07(第20(i)圖)。 φ 於如此的具有溝配線或連接孔之半導體裝置的製造方 法,將已圖形化的第1光阻膜503及第2光阻膜505作爲 蝕刻遮罩,鈾刻以甲基等的烷基作爲末端基而具有的低介 電常數材料(Low-k)所構成之層間絕緣膜501,則於已形 成的連接孔5 04或配線溝5 06的溝側面部係殘留由蝕刻而 來的損傷。另外,於蝕刻處理後,在除去第1光阻膜503 及第2光阻膜505時,連接孔504或配線溝506的溝側面 部亦受到損傷。如此的損傷係,最近,在於半導體裝置的 φ 電路圖形的細微化和高集積化進步中,成爲招致:起因於 由介電常數的上昇的配線間的寄生電容(Parasitic -^ capacitance)增大之訊號延遲或絕緣阻抗的下降等的電氣 .V 上的特性下降 '及伴隨這些的半導體裝置之信賴性下降的 原因。 [專利文獻1]日本特開2002-83869號公報 【發明內容】 [發明欲解決的課題] -6- ⑧ (3) 1345287 本發明係以如此的事情爲鑑,其目的爲提供:具有電 氣的特性及信賴性優良的具有溝配線或連接孔之半導體裝 置的製造方法。 v [用以解決課題之手段] 如依本發明的第1觀點,提供:一種具有溝配線或連 ί妾孔之半導體裝置的製造方法,其特徵爲具有:於被蝕刻 φ 胃的表面形成具有特定的電路圖形的蝕刻遮罩的製程、和 蝕刻處理前述被蝕刻膜,於前述被蝕刻膜形成溝或孔 的製程、和 將藉由前述蝕刻處理而形成於前述被蝕刻膜的溝或孔 的側面部矽基(silyl)化處理,使前述溝或孔的側面部從由 前述蝕刻處理之損傷恢復的製程。 如依本發明的第2觀點,提供:一種具有溝配線或連 接孔之半導體裝置的製造方法,其特徵爲具有:於被蝕刻 φ 膜的表面形成具有特定的電路圖形的蝕刻遮罩的製程、和 蝕刻處理前述被蝕刻膜,於前述被蝕刻膜形成溝或孔 V 的製程、和 * 除去前述蝕刻遮罩的製程、和 砂院基化處理前述溝或孔的側面部,使前述溝或孔的 側面部從由前述蝕刻遮罩的除去處理之損傷恢復的製程。 如依本發明的第3觀點,提供··一種具有溝配線或連 接孔之半導體裝置的製造方法,其特徵爲具有:於被触刻 膜的表面形成具有特定的電路圖形的蝕刻遮罩的製程、和 (4) 1345287 鈾刻處理前述被蝕刻膜,於前述被蝕刻膜形成溝或孔 的製程、和 . 除去前述蝕刻遮罩的製程、和 , 除去前述蝕刻遮罩除去處理後的殘渣的製程、和 矽烷基化處理前述溝或孔的側面部,使前述溝或孔的 v 側面部從由前述殘渣處理之損傷恢復的製程。 如依本發明的第4觀點,提供:一種具有溝配線或連 φ 接孔之半導體裝置的製造方法,其特徵爲具有:於蝕刻阻 止(etching stopper)膜上形成被餓刻膜的製程、和 於前述被蝕刻膜的表面形成具有特定的電路圖形的蝕 刻遮罩的製程、和 蝕刻處理前述被蝕刻膜,於前述被蝕刻膜形成溝或孔 的製程、和 除去前述蝕刻遮罩的製程、和 藉由形成前述溝或孔而除去於前述蝕刻阻止膜露出的 φ 部分的製程、和 矽烷基化處理前述溝或孔的側面部,使前述溝或孔的 一側面部從由前述蝕刻阻止膜的除去處理之損傷恢復的製 .程。 如依本發明的第5觀點,提供:一種基板處理系統, 其特徵爲具備:對於基板,進行成膜處理的成膜裝置、和 進行光阻塗佈處理及顯像處理的光阻塗佈·顯像裝置、和 進行曝光處理的曝光裝置、和進行洗淨處理的洗淨處理裝 置、和進行光阻的除去的灰化裝置、和進行蝕刻的蝕刻裝 -8 - (5) 1345287 置、和進行硏磨處理的硏磨裝置、和使用這些裝置,控制 如進行由上述第1至第4任一的觀點的半導體裝置的製造 . 方法之控制部。 -.β 如依本發明的第6觀點,提供:一種控制程式,其特 - 徵爲:在電腦上動作、實行時,如進行由上述第1至第4
V 任一的觀點的半導體裝置的製造方法的,控制複數的半導 體製造裝置。 φ 如依本發明的第7觀點,提供:一種電腦記憶媒體, 係記憶在電腦上動作的控制程式的電腦記憶媒體,其特徵 爲:前述控制程式係於實行時,控制被使用於由上述第1 至第4任一的觀點的半導體裝置的製造方法之複數的半導 體製造裝置。 [發明的效果] 如藉由本發明,在形成溝配線或連接孔的過程,於被 φ 蝕刻膜受到了損傷的部分,因爲可由該損傷恢傷,所以電 氣上的特性被改善。由此可製造信賴性優良的半導體裝 * 置。 【實施方式】 以下,一邊參照添附的圖面、同時詳細的說明關於本 發明的實施形態。在此,採納藉由單鑲嵌結構(single damascene)法或雙鑲嵌結構(dual damascene)法而製造半 導體裝置的晶圓處理系統,藉由此晶圓處理系統說明製造
-9- (6) 1345287 關於具有溝配線或連接孔(以下稱爲「溝配線等」)之半導 體裝置的方法。 於第1圖表示圖示晶圓處理系統的槪略構成的說明 圖。此晶圓處理系統係具備:具備了 SOD (Spin On Dielectric )裝置101、和光阻塗佈/顯像裝置102、曝光裝 置103、和洗淨處理裝置104、和灰化裝置105、蝕刻裝 置106、爲PVD裝置之一的濺鍍裝置107、和電解電鍍裝 g 置108、和作爲硏磨裝置的CMP裝置109之處理部110、 與包含程序控制器1 1 1、使用者介面1 1 2、記憶部1 1 3之 主控制部120。在此,處理部110的SOD裝置101與濺鍍 裝置107與電解電鍍裝置108爲成膜裝置。而且,在處理 部1 1 0的裝置間,作爲搬運晶圓W的方法係使用由操作 者的搬運方法、或由無圖示的搬運裝置的搬運方法。 處理部110的各裝置係,連接具備了 CPU的程序控 制器1 1 1而成爲被控制的構成》於程序控制器1 1 1,連 φ 接:由製程管理者爲了管理處理部110的各裝置而進行指 令的輸入操作等的鍵盤、或可見化處理部110的各裝置的 ‘ 運轉狀況而顯示的顯示器等所構成的使用者介面112、收 k 納將在處理部110實行的各種處理,爲了在程序控制器 1 1 1的控制而實現的控制程式或記錄處理條件資料等的記 錄表(recipe)的記憶部113。 然後,按照必要,接受從使用者介面112的指示,將 任意的記錄表由記憶部1 1 3叫出,於程序控制器1 1 1實 行,在程序控制器1 1 1的控制下,於處理部1 1 0進行所希 ⑧ -10- (7) 1345287 望的各種處理。另外,前述記錄表,亦可能例如:利用收 納於CD-ROM、硬碟、軟碟、非揮發性記憶體等的可讀出 的記憶媒體的狀態之物等 '或於處理部1 1 〇的各裝置間、 或由外部的裝置,例如:經由專用線路而隨時傳送,以連 ·. 線利用。 而且,亦可採用:不進行由主控制部120之全體的 控制、或者,與由主控制部120的全體的控制重疊,每處 _ 理部110的各裝置,個別配備包含程序控制器、使用者介 面及記憶部的控制部而進行控制的構成。 SOD裝置101係爲了於晶圓W塗佈藥液而藉由旋轉 塗佈法而形成l〇w-k(低介電常數材料)膜等的層間絕緣膜 或蝕刻停止膜等而使用。SOD裝置101的詳細的構成係無 圖示,而SOD裝置101係具備:旋轉塗佈單元、和熱處 理已形成塗佈膜的晶圓W的熱處理單元。在晶圓處理系 統,取代SOD裝置101,使用藉由化學氣相沈積法(CVD ^ chemical vapor deposition)法而於晶圓W形成絕緣膜等的 CVD裝置亦佳。 — 光阻塗佈/顯像裝置102係爲了形成作爲蝕刻遮罩而 t 使用的光阻膜或反射防止膜等而使用。光阻塗佈/顯像裝 置102的詳細的構成係無圖示,而光阻塗佈/顯像裝置1〇2 係具有:於晶圓W塗佈光阻液等而旋轉塗佈成膜光阻膜 等的光阻塗佈處理單元、和顯像處理於曝光裝置103以特 定的圖形曝光的光阻膜之顯像處理單元、和各個熱的處理 已成膜光阻膜的晶圓W、或已曝光處理的晶圓W、施以顯 -11 - (8) (8)1345287 像處理的晶圓W之熱的處理單元。 曝光裝置103係爲了於形成了光阻膜的晶圓W,曝光 特定的電路圖形而使用。在洗淨處理裝置104,如之後詳 細說明的,進行藉由純水或藥液的洗淨處理、蝕刻處理後 的聚合物殘渣等的變性處理、由層間絕緣膜的蝕刻的損傷 之恢復處理。在灰化裝置1 〇5,例如:由電漿而灰化處理 光阻膜。 在蝕刻裝置1 06,於形成於晶圓W上的層間絕緣膜等 施加蝕刻處理,另外,進行由層間絕緣膜的餽刻之損傷的 恢復處理。蝕刻處理後,利用電漿亦佳、使用藥液亦佳。 之後,一面參照第6圖同時說明關於利用電漿。在濺鍍裝 置107,例如:形成擴散防止膜或Cu薄片。在電解電鍍 裝置108係於已形成Cu薄片的溝配線等埋入Cu,在 CMP裝置109係進行埋入了 Cu的溝配線等的表面之平坦 化處理。 接著,關於洗淨處理裝置104詳細的說明。第2圖爲 洗淨處理裝置104的槪略平面圖,第3圖爲其槪略正面 圖,·第4圖爲其槪略背面圖。洗淨處理裝置104係具備: 爲了已收容晶圓W的載子(carrier)從其他的處理裝置依序 搬入,反之將收容了於洗淨處理裝置104的處理結束的晶 圓W之載子,向進行下個處理的處理裝置等搬出的載子 (carrier)站 4、和設置了各個進行洗淨處理、變性處理或 恢復處理的複數的處理單元的處理站 2、和在處理站 2 與載子站 4之間進行晶圓W的搬運的搬運站 3、進行在 -12- ⑧ 1345287
處理站 2使用的藥液或純水、氣體等的製造、調製、貯 存的化學站 5。 於載子C的內部,晶圓W係在略水平姿勢於鉛直方 向(Z方向)以一定的間隔被收容。對如此的載子C之晶圓 W的搬入出係通過載子C的一側面而進行,此側面係藉 ·· 由蓋體l〇a(於第2圖係無圖示。於第3圖及第4圖表示拿 下了蓋體l〇a的狀態)而成爲自由開閉。 | 如第2圖所示的,載子站 4係沿著圖中Y方向,於 3處所具有可載置載子C的載置台6。載子C係設置了蓋 體1 0 a的側面儘量作到朝向載子站 4與搬運站 3之間的 邊界壁8a側而載置於載置台6。於邊界壁8a對應於載子 C的載置場所的位置係形成窗部9a。於各窗部9a的搬運 站 3側係設置著開閉窗部9a的撞板(shutter)lO。此撞板 10係具有把持蓋體10a的把持手段(無圖示),如第3圖及 第4圖所示的,能於在已把持蓋體10a的狀態,於搬運站 φ 3側,可使蓋體10a退避。 設置於搬運站3的晶圓搬運裝置7係具有能保持晶圓 ' W的晶圓搬運拾取器7a。晶圓搬運裝置7爲沿著設置如 -於搬運站 3的床,延伸於Y方向的導軌(參照第3圖及第 4圖)7b而能移動於Y方向。另外,晶圓搬運拾取器7a爲 於X方向自由滑動(slide),而且,於Z方向自由昇降,而 且,在X-Y平面內自由旋轉(Θ旋轉)。 藉由如此的構造,在如載子C的內部和搬運站3經 由窗部9a而連通的,使擋板10退避的狀態,晶圓搬運拾 -13- (10) 1345287 取器7a,能接近至被載置於載置台6的全部的載子C,能 將在載子C內的任意的高度的位置的晶圓W從載子C搬 , 出,反之可於載子C的任意的位置搬入晶圓W。 、 處理站2,於搬運站 3側具有2台晶圓載置單元 (TRS)13a、13b。例如:晶圓載置單元(TRS)13b係在從搬 運站 3接受晶圓W時,爲了載置晶圓W而使用,晶圓載 置單元(TRS)13a係在將於處理站2結束特定的處理的晶 φ 圓W放回搬運站3時,爲了載置晶圓W而使用* 於處理站2係從風扇過濾單元(FFU)25的清淨的空氣 變爲如垂直層流式(down flow),藉由將於處理站2處理 結束的晶圓W載置於上段的晶圓載置單元(TRS)13a,抑 制於處理站2的處理後的晶圓W的污染。 於搬運站 3與處理站2之間的邊界壁8b,於對應於 晶圓載置單元(TRS)13a、13b的位置的部分係設置窗部 9b。晶圓搬運拾取器7a係經由此窗部9b而能接近晶圓載 φ 置單元(TRS)13a、13b,在載子 C與晶圓載置單元 (TRS)13a、13b之間搬運晶圓W。 " 於處理站2的背面側,配置:將蝕刻處理或灰化處理 * 後的聚合物殘渣等,藉由臭氧(〇3)和包含水蒸氣的氣體 (以下稱爲「變性處理氣體」)的這些分子而使其變性的變 性處理單元(VOS)15a〜15f。在此,所謂「變性」,稱聚合 物殘渣等殘留在晶圓W上的狀態,變化至溶解於純水或 藥液的性質。另外,非將光阻膜藉由灰化處理而灰化除 去,亦可於此變性處理單元(VOS)l5a〜Bf,以變性處理氣 (11) 1345287 體處理而變性至水溶性。 關於此變性處理單元(V0S)15a〜15f的詳細構造係無 . 圖示’而這些係各個,在上下分割式且密閉式,於其內部 . 具有形成爲了收容晶圓W的圓盤狀的空間的室。於此室 的內部係於其表面設置爲了以水平姿勢支撐晶圓W的近 接銷’於其內部設置埋設了加熱器的晶圓載置台。另外, 將此室的圓盤狀空間內,變性處理氣體爲能流向略水平方 • 向。 於變性處理單元(V0S)15a、15d上,設置:爲了使由 灰化處理或洗淨處理而受到損害,或者成爲了親水性表面 的層間絕緣膜的,該損傷部分由損傷等恢復之矽烷基化處 理的矽烷基化單元(SCH) 11a、lib。 於第5圖表示矽烷基化單元(SCH)lla的槪略構造的 剖面圖。矽烷基化單元(SCH)l la係具備收容晶圓W的室 41,室41係由下部容器41a、和覆蓋下部容器41a的蓋 φ 體41b所構成,蓋體41b爲藉由無圖示的昇降裝置而自由 昇降。於下部容器41a係設置加熱板42,由加熱板42的 '* 周圍供給包含爲矽烷基化劑的一例的DMSDMA二甲基矽 ► 基二甲基胺(dimethylsilyldimethylamine)的蒸氣之氮氣至 室41內。 在第5圖,表示將液體的DMSDMA藉由氣化器43而 使其氣化而使其包含於氮氣的構成,而作爲僅供給使 DMSDMA氣化了的氣體(總之即DMSDMA蒸氣)於室41的 構成亦佳。如後述的,在供給DMSDMA於室41內時,室 -15- (12) 1345287 41內係因爲被保持於特定的真空度,利用氣化器43與室 41的壓力差,所以引入DMSDM A於室41可容易的進 行。 • 加熱板42 ’例如能在50°C〜200t的範圍溫度調節, 於其表面係設置支撐晶圓W的銷44。以不直接載置晶圓 W於加熱板42,防止晶圓W的裏面的污染。於下部容器 41a的外周部的上面係設置第1封環(seai ring)45,於蓋. p 體41b的外周部下面,設置:在壓住蓋體41b於下部容器 41a時與第1封環45接觸的第2封環46。這些第1、第2 封環45、46間的空間成爲可減壓,藉由減壓此空間,確 保室41的氣密性。於蓋體41b的略中心部,設置爲了排 出供給於室41的含有DMSDMA的氮氣的排氣口 47,此 排氣口 47係經由壓力調整裝置48,連接於真空幫浦49。 於處理站2的正面側,在結束了於變性處理單元 (V0S)15a〜15f的處理的晶圓W施加藥液處理或水洗處 φ 理,配置除去已變性的聚合物殘渣的洗淨單元 (CNU)12a〜12d。 k 洗淨單元(CNU)12a~12d的詳細的構造係無圖示,而 .這些係各個具備:將晶圓W以略水平姿勢保持的自由旋 轉的旋轉夾頭(spin chuck)、和圍繞旋轉夾頭的罩、和於 保持在旋轉夾頭的晶圓W表面,供給特定的藥液的藥液 噴嘴、和使氮氣混入至純水,利用該氮氣的氣壓而對保持 在旋轉夾頭的晶圓W吐出純水的霧的洗淨噴嘴、和爲了 水洗處理(清洗[rinse]處理)晶圓W而對晶圓W供給純水 -16- (13) 1345287 的清洗噴嘴、和於水洗處理後的晶圓W噴射乾燥氣體的 氣體噴射噴嘴。 • 於洗淨單元(CNU)12a〜12d,設置:供給爲了除去矽 . 氧化膜或矽氧氮化膜的稀氫氟酸等的藥液於晶圓W的噴 嘴、或供給爲了進行作爲蝕刻遮罩而被使用的光阻膜的剝 % 離處理的剝離液於晶圓W的噴嘴亦佳。 那麼,之前已說明的變性處理單元(VOS)15a~15c與 φ 變性處理單元(V0S)15d〜15f係關於其邊界壁22b具有略 對稱的構造,矽烷基化單元(SCH)lla與矽烷基化單元 (SCH)llb係關於其邊界壁22b具有略對稱的構造。同樣 的,洗淨單元(CNU)12a、12b與洗淨單元(CNU)12c、12d 係關於其邊界壁22a具有略對稱的構造。 於處理站2的略中央部,設置於處理站2內搬運晶圓 W的主晶圓搬運裝置14。主晶圓搬運裝置14係具有搬運 晶圓W的晶圓搬運臂14a。主晶圓搬運裝置14爲於Ζ軸 φ 周圍自由旋轉。另外,晶圓搬運臂14a爲在水平方向自由 進退,而且於Z方向自由昇降。藉由如此的構造,主晶圓 • 搬運裝置14,不使其自體於X方向移動,可接近設置於 • 處理站2的各單元,能夠在這些各單元間搬運晶圓W。 於化學站 5具有:貯存在設置於處理站2的各種處 理單元而被使用的各種藥液的藥液貯存部16、和由將貯 存於藥液貯存部16的各種藥液送液於特定的處理單元之 複數的幫浦或開閉閥所構成的送液部17、和向洗淨單元 (CNU)12a~12d供給純水的純水供給部18、和供給於各種 -17- (14) 1345287 處理單元特定的氣體的氣體供給部19。 接著,說明關於蝕刻裝置106的構成。於第6圖圖示 表示蝕刻裝置106的槪略構造的平面圖。蝕刻裝置106, I 具備爲了進行電漿蝕刻處理的蝕刻單元51、52、和矽烷 基化單元(SCH)53、54,這些的各單元51-54係各個對應 爲六角形的晶圓搬運室55的4個邊而設置。另外,晶圓 搬運室55的其他的2個邊係設置承載器(Loadlock)室 p 56、57。於與這些承載器(Loadlock)室56、57的晶圓搬運 室5 5相反側設置晶圓搬入出室5 8,於晶圓搬入出室5 8 之與承載器(Loadlock)室56、57相反側係設置安裝能收容 晶圓W的3個載子C的埠59、60、61。 蝕刻單元51、52及矽烷基化單元(SCH)53、54及承 載器(Loadlock)室56、57,如於同圖所示,於晶圓搬運室 5 5的各邊經由閘閥G而連接,這些係藉由開放各閘閥G 而與晶圓搬運室5 5連通,藉由關閉各閘閥G而從晶圓搬 φ 運室55被遮斷。另外,承載器(Loadlock)室56、57的連 接於晶圓搬入出室 58的部分亦設置閘閥 G,承載器 * (Loadlock)室56、57係藉由開放這些閘閥G而連通晶圓 . 搬入出室58,由關閉這些而遮斷晶圓搬入出室58。 於晶圓搬運室55內,對於蝕刻單元51、52、矽烷基 化單兀(SCH)53 ' 54、承載器(Loadlock)室56 ' 57,設置 進行晶圓W的搬入出的晶圓搬運裝置62。此晶圓搬運裝 置62’配設於晶圓搬運室55的略中央,於能旋轉及伸縮 的旋轉·伸縮部63的先端具有保持晶圓W的二個托板 -18- ⑧ (15) 1345287 (blade)64a ' 6 4b,這些二個托板64a、64b係被安裝於如 相互朝向相對方向的旋轉.伸縮部63»而且此晶圓搬運 室55內係能保持特定的真空度。 ^ 於晶圓搬入出室58的天井部設置無圖示的HEPA過 濾器,通過了此HEPA過濾器的清淨空氣爲於晶圓搬入出 室58內以垂直層流(down flow)狀態供給,能在大氣壓的 清淨空氣氣氛進行晶圓W的搬入出。於晶圓搬入出室58 P 的載子C安裝用的3個埠59、60、61係各個被設置無圖 示的擋板’於這些埠59、60、61直接安裝收容了晶圓或 空的載子C,在已被安裝時,落下擋板,能一面防止外氣 的侵入、同時與晶圓搬入出室58連通。另外,於晶圓搬 入出室 58的側面設置對準室(alignment chamber)65,在 此進行晶圓W的對準。 於晶圓搬入出室5 8內,設置進行對載子C的晶圓W 的搬入出及對承載器(Loadlock)室56、57的晶圓W的搬 φ 入出之晶圓搬運裝置66。此晶圓搬運裝置66係具有多關 節臂構造,爲能沿著載子C的配列方向而可行走於導軌 • 68上,於其先端的手部(hand)67使晶圓W搭載而進行該 . 搬運》晶圓搬運裝置62、66的動作等、系統全體的控制 係藉由控制部69而進行。 若比較矽烷基化單元(SCH)5 3、54與之前說明的矽烷 基化單元(SCH)lla、lib,則在矽烷基化單元(SCH)53、 54,於室41內能夠供給包含特定濃度的水蒸氣的氮氣(或 僅水蒸氣)之點上與矽烷基化單元(SCH)lla、lib相異, (16) 1345287 那些以外的構成爲與矽烷基化單元(SCH)lla、lib相同。 因此,矽烷基化單元(SCH)5 3、54的詳細的構造係不另行 圖示。 < 若由蝕刻處理或灰化處理而受到損傷,或者將成爲親 水性表面的層間絕緣膜於大氣中取出,則吸附水分而介電 ( 常數上昇。因而,在蝕刻裝置106內蝕刻處理晶圓 W 後,不曝露於大氣中,接著以在蝕刻裝置106內進行矽烷 p 基化處理,可防止由吸附水份的介電常數上昇。 然而,在蝕刻裝置1 〇 6,蝕刻處理後的晶圓W係由蝕 刻單元5 1、52向矽烷基化單元(SCH)53、54搬運間係在 真空氣氛下,因爲由蝕刻而受到損傷的部分係全部不產生 吸濕,所以有變爲難產生矽烷基化反應之虞。 因而,在矽烷基化單元(SCH) 53、54,於室41內作爲 能供給水蒸氣的構造,有意圖的於損傷部分使適度的吸濕 反應產生,能夠使矽烷基化反應容易的進行。而且,如前 φ 述的,因爲若使吸濕反應過剩的進行,則有反而抑制矽烷 基化反應的進行之虞,所以有如不產生如此的反應抑制之 ^ 控制水蒸氣的供給的必要。 .接著,說明關於使用晶圓處理系統而在已形成於晶圓 W的層間絕緣膜形成溝配線的方法。於第7圖圖示表示形 成單鑲嵌結構(single d amascene)構造的溝配線的製程的流 程圖,於第8圖圖示模式的表示於第7圖所示的流程因而 形成的溝配線的形態變化。 最初,經由障蔽金屬(barrier metal)膜71而形成下部 (17) 1345287 配線(銅配線)72的絕緣膜70,於絕緣膜70的表面,例 如:準備形成著SiN膜或SiC膜等的阻止膜73的晶圓 w(晶圓 W本身無圖示)。將此晶圓W搬入SOD裝置 . 101,因此於阻止膜73上形成low-k膜等的層間絕緣膜 74(步驟1、第8(a)圖)。 接著將形成了層間絕緣膜74的晶圓W,搬入光阻塗 佈/顯像裝置102,因而於層間絕緣膜74上逐次形成反射 _ 防止膜75a和光阻膜75b。接著,搬運晶圓W至曝光裝置 103,於是以特定的圖形曝光處理。將晶圓W放回光阻塗 佈/顯像裝置1 〇 2,藉由於顯像處理單元顯像處理光阻膜 75b,於光阻膜75b形成特定的電路圖形(步驟2、第8(b) 圖)。 接著,將晶圓W搬運至蝕刻裝置1 06,於是進行蝕刻 處理(步驟3)。由此達到阻止膜73的導孔78a爲形成於層 間絕緣膜74(第8(c)圖)。於第8(c)圖所示的符號79a爲之 φ 後詳細的說明的損傷部。將如此作用而結束蝕刻處理的晶 圓W搬運往灰化裝置105,於是進行使反射防止膜75a和 _ 光阻膜75b灰化的灰化處理(步驟4)。 -結束了灰化處理的晶圓W係向洗淨處理裝置104搬 運,於變性處理單元(VOS) 15a〜15f的任一個,進行使由 蝕刻處理或灰化處理而殘存於晶圓W的聚合物殘渣等變 性爲水溶性的處理(步驟5)而且,在可使反射防止膜75a 和光阻膜75b在由變性處理單元(VOS) 15a~15f的處理變 性的情況,取代灰化處理而使用此變性處理亦佳。結束了 -21 - ⑧ (18) 1345287 變性處理的晶圓W,被搬運向洗淨單元(CNU) I2a~12d的 任一個’於是除去變性了的聚合物殘渣。(步驟6、第8(d) 圖)β .如此作用,藉由蝕刻處理或灰化處理、之後的水洗處 理等,形成於層間絕緣膜74的導孔78a的側壁受到損 傷。具體而言,如此的損傷部分係與水分反應,於導孔 7 8 a的側壁近邊的甲基減少,成爲氫氧基增加的狀態而介 B 電常數上昇。在於導孔78a的側壁形成如此的損傷部的狀 態,若之後以金屬材料埋入導孔而形成溝配線,則因爲導 線間的寄生電容增大,所以產生訊號遲延或溝配線相互間 的絕緣性下降等的問題。在第8(c)、(d)圖係模式的明示 如此的損傷部79a,而損傷部79a與未受損傷的部分的邊 界,非如表示於第8(c)、(d)圖所示的明確之物。 因而,爲了使層間絕緣膜74的損傷部79a由該損傷 恢復,所以將晶圓W搬運至矽烷基化單元(SCH)l la、1 lb φ 的一方,於是,進行損傷部的矽烷基化處理(步驟7、第 8(e)圖)。矽烷基化處理的條件,如按照矽烷基化劑的種類 '而選擇爲佳,例如:由氣化器43的溫度係室溫~50°C、 * 矽烷基化劑流量爲〇.l~l.〇g/min、N2氣體(沖洗(purge)氣 體)流量爲 1〜l〇L/min、處理壓力 666~95 976 Pa(5〜720 Torr)、加熱板42的溫度爲室溫〜200°C等的範圍可適宜設 定。在作爲矽烷基化劑而使用DMSDMA的情況,例如可 舉出:將加熱板42成爲100。(:,室41內壓力減壓至5 T〇rr( = 666Pa),之後供給包含了 DMSDMA的蒸氣的氮氣
-22- (19)1345287 至室41內壓力成爲55 Torr,—面維持其壓力,例如保持 3分鐘’一面處理的方法。使用了 DMSDMA的矽化反 應’以下述化1式表示
CH3 I / CH3-Si-N I \ Η CH3 CH3
+ HO
CH3 I |==I> CH3 —Si~0 I H
/CH3 + H-N 、CH3 結束如此的矽烷基化處理的晶圓W,被搬運至蝕刻裝 置106,於是進行爲了除去阻止膜73的蝕刻處理(步驟 8、第8(f)圖)。接著,晶圓w係被搬運向洗淨處理裝置 1 04 ’於洗淨單元(CNU) 1 2a〜1 2d任1個,被洗淨處理(步 驟9)。如此的蝕刻處理或洗淨處理,形成於層間絕緣膜 74的導孔78a的側壁受到損傷,形成損傷部79b。於是爲 了使如此的損傷部79b由該損傷恢復,將晶圓W搬運至 砂院基化單兀(SCH)lla、lib的一方,於是進行砂院基化 處理(步驟10、第8(g)圖)。 之後,將晶圓W搬運向濺鍍裝置107,於是於導孔 78a的內壁形成障蔽金屬膜及Cu薄片層(總之,電鍍薄片 層)(步驟1 1)。接著,將晶圓 W搬運至電解電鍍裝置 108,於是藉由電解電鍍而於導孔78a埋入銅等的金屬 76(步驟12)。之後,藉由熱處理晶圓W而進行埋入於導 孔78a的金屬76的退火處理(退火裝置係於第1圖無圖 示),再加上將晶圓W搬運向CMP裝置109,於是進行藉 由CMP法的平坦化處理(步驟13、第8(h)圖)。 如藉由如此的溝配線的形成方法,在由蝕刻或灰化、 -23- ⑧ (20) 1345287 洗淨而形成於層間絕緣膜7 4的導孔7 8 a的側壁爲 傷的情況,亦可將該損傷部藉由矽烷基化處理而使 傷恢復。由此,因爲可形成電氣的特性優良的溝配 以可使半導體裝置的信賴性提高。 » 於上述說明,表示關於在洗淨單元(CNU) 12a〜 » 處理結束後,進行矽烷基化處理的情況,而矽烷基 係由特定的處理於層間絕緣膜74產生了損傷的情 p 產生之虞的情況,每該處理後進行亦佳。例如:於 單元(CNU) 12a〜12d的處理後取代或者加於此,於 或步驟8的蝕刻處理之後,使用被設置於蝕刻裝置 矽烷基化單元(SCH)53、54而進行矽烷基化處理亦 另外,於步驟4的灰化處理之後,以設置於洗淨處 104的矽烷基化單元(SCH)lla、lib進行矽烷基化 理想。 於第9圖,圖示於在洗淨單元(CNU) 12a~12d φ 後進行了矽烷基化處理的情況與不進行的情況之, 漏電流與累積機率的關係的說明圖及電壓與表示洩 ,的關係的說明圖。施加了表示於第9圖的結果的試 ,成係與第8 (h)圖相同,作爲層間絕緣膜74係使用 司製的LKD(商品名)系列的l〇w-k膜。由第9圖了 進行矽烷基化處理而減少洩漏電流,提高耐電壓。 明嘹層間絕緣膜的絕緣特性,與不進行矽烷基化處 況比較則提高。又,另項,測定了層間絕緣膜的介 的結果,於進行了矽烷基化處理的情況’比起不進 受到損 其由損 線,所 12d的 化處理 況或有 在洗淨 步驟3 106的 理想, 理裝置 處理亦 的處理 表不浅 漏電流 料之構 JSR公 解藉由 總之, 理的情 電常數 行矽烷 (S) -24- (21) 1345287 基化處理的情況,確認1 0%〜20%的改善效果。 接著’說明關於形成於晶圓W的層間絕緣膜形成溝 配線的別的方法。於第10圖表示形成雙鑲嵌結構(dual . damascene)構造的溝配線的製程的流程圖,於第 n圖表 示模式的表示於第1 0圖的流程因而形成的溝配線的形態 to 變化的說明圖。在此,在各製程被使用的裝置係因爲在之 前的說明爲明暸,所以關於裝置係爲不論及。 B 最初’具備經由障蔽金屬膜71而形成下部配線(銅配 線)72的絕緣膜70,於絕緣膜70的表面,準備形成例 如:SiN膜或SiC膜等的阻止膜73的晶圓W(晶圓W本身 無圖示)。於此晶圓W的阻止膜73上形成low-k膜等的層 間絕緣膜74 (步驟101、第1 l(a)圖)。 接著,於被形成的層間絕緣膜74上逐次形成反射防 止膜75a和光阻膜75b。接著,將光阻膜75b以特定的圖 形曝光、顯像,形成蝕刻圖形(步驟102、第1 1(b)圖)。接 φ 著,將光阻膜75b作爲蝕刻遮罩而進行蝕刻處理,形成達 到阻止膜73的導孔78a(步驟103、第11(c)圖)。表示於 •第11(c)圖的符號79a爲由蝕刻處理而產生的損傷部。接 ,著,藉由灰化處理而除去光阻膜75b和反射防止膜75 a(步 驟1 04),接著進行除去在先前的蝕刻處理和灰化處理產 生的聚合物殘渣等的洗淨處理(步驟105),更進行矽烷基 化處理,使層間絕緣膜74的損傷部79a由其損傷恢復(步 驟106、第11(d)圖)。而且,矽烷基化處理係在步驟103 的蝕刻後及/或步驟1 04的灰化後進行亦佳。 -25- (22) 1345287 接著於層間絕緣膜74的表面形成保護膜81(步驟 107),於此保護膜81上逐次形成反射防止膜82a和光阻 膜8 2b,將光阻膜82b以特定的圖形曝光、顯像,於光阻 ,膜82b形成電路圖形(步驟1〇8、第11(e)圖)。而且,保護 膜81係於SOD裝置1〇1,能以旋轉塗佈特定的藥液形 _ 成。另外’保護膜8 1係非一定必要,於層間絕緣膜74上 直接的形成反射防止膜82a及光阻膜82b亦佳。 p 接著,藉由將光阻膜82b作爲蝕刻遮罩而進行蝕刻處 理,於層間絕緣膜74形成槽溝(trench)78b(步驟109、第 11(f)圖),之後藉由灰化處理而除去光阻膜82b及反射防 止膜82a(步驟110)。步驟11〇的處理係使用變性處理單 元(VOS) 15a〜15f而進行亦佳。表示於第11(f)圖的符號 79b爲由步驟109的蝕刻處理而產生的損傷部。 接著進行除去在先前的蝕刻處理和灰化處理產生的聚 合物殘渣及保護膜81等的洗淨處理(步驟111),而且進行 φ 矽烷基化處理,使層間絕緣膜74的損傷部79b由其損傷 恢復(步驟1 12、第1 1(g)圖)。在此,矽烷基化處理亦爲於 * 步驟109的蝕刻處理及/或步驟110的灰化處理後進行亦 佳。 接著,進行爲了除去阻止膜73的蝕刻處理和其殘渣 除去處理(步驟113),之後,進行爲了使在蝕刻處理等形 成於導孔78a及槽溝78b的損傷部由其損傷恢復的矽烷基 化處理(步驟114、第11(h)圖)。於此第11(h)圖係表示矽 烷基化處理後的狀態。
-26- (D (23) 1345287 之後’於導孔78a及槽溝78b的內壁形成障蔽金屬膜 及Cu薄片層,之後藉由電解電鍍而形成埋入銅等的金屬 76於導孔78a及槽溝78b而形成銷(plug),藉由熱處理晶 圓W而進行被埋入導孔78a及槽溝78b的金屬76的退火 t 處理,而且進行藉由CMP法的平坦化處理(步驟Π5、第 9 1 1⑴圖)。 接著,說明關於在被形成於晶圓W的層間絕緣膜形 B 成溝配線之更其他的方法。於第12圖表示形成雙鑲嵌結 構(dual damascene)構造的溝配線的其他製程的流程圖, 於第13圖表示模式的表示於第12圖的流程因而形成的溝 配線的形態變化的說明圖。在此,在各製程被使用的裝置 係因爲在之前的說明爲明暸,所以關於裝置係爲不論及。 最初,具備經由障蔽金屬膜7 1而形成下部配線(銅配 線)72的絕緣膜70,於絕緣膜70的表面,準備形成例 如:SiN膜或SiC膜等的阻止膜73的晶圓W(晶圓W本身 φ 無圖示)。於此晶圓W的阻止膜73上逐次形成low-k膜等 的層間絕緣膜74、硬遮罩86、反射防止膜87a、光阻膜 k 8 7b,將光阻膜87b以特定圖形曝光、顯像,形成蝕刻圖 形(步驟201、第13(a)圖)。 接著’將光阻膜8 7b作爲蝕刻遮罩而進行蝕刻處理 (步驟2〇2),圖形化硬遮罩86,之後,除去光阻膜87b及 反射防止膜87a(步驟2 03、第13(b)圖)。接著於硬遮罩86 上逐次形成反射防止膜88a和光阻膜88b,將光阻膜88b 以特定圖形曝光、顯像’形成蝕刻圖形(步驟2 0 4、第 ⑧ -27- (24) 1345287 13(c)圖)。 接下來,將光阻膜88b作爲蝕刻遮罩而使用,形成到 - 達阻止膜73的導孔78a(步驟205、第13(d)圖p接著, ; 將光阻膜88b和反射防止膜88a藉由灰化處理等而除去, ^ 而且進行聚合物殘渣等的除去處理(步驟206、第13(e) 圖)。於此步驟205的蝕刻處理後於層間絕緣膜74產生損 傷部的情況,於灰化處理前進行矽烷基化處理亦佳。另 % 外’於步驟206的灰化處理及殘渣除去處理後,於層間絕 緣膜74產生損傷部的情況,於其之後進行矽烷基化處理 亦佳。 於步驟20 6結束後,因爲成爲露出已形成特定圖形的 硬遮罩86的狀態,將硬遮罩86作爲蝕刻遮罩而使用而進 行蝕刻處理(步驟207),形成槽溝78b。在此時點於層間 絕緣膜74產生了損傷部的情況,之後進行矽烷基化處理 亦佳。接下來,藉由灰化處理或藥液處理而除去硬遮罩 φ 86(步驟208、第13(f)圖)。例如,於此硬遮罩86的除去 處理後進行矽烷基化處理(步驟209),由此,可使於步驟 ' 208之前產生於層間絕緣膜74的損傷部由該損傷恢復。 - 而且,於第13(f)圖表示損傷恢復後的狀態。 接著,在進行爲了除去阻止膜73的蝕刻處理和殘渣 除去處理後(步驟210、第13(g)圖),爲了使在此蝕刻處理 等,形成於導孔78a及槽溝78b的損傷部(無圖示)由其損 傷恢復,再度,進行矽烷基化處理(步驟211)。接下來, 於導孔78a及槽溝78b的內壁形成障蔽金屬膜及Cu薄片 (S) -28- (25) 1345287 層,之後藉由電解電鍍而形成埋入銅等的金屬76於導孔 78a及槽溝78b而形成銷(plug),而且藉由熱處理晶圓W 而進行被埋入導孔78a及槽溝78b的金屬76的退火處 理,而且進行藉由CMP法的平坦化處理(步驟212、第
I 13(h)圖)。 於表1表示,作爲低介電常數絕緣膜(l〇w-k膜),使 用多孔性 MSQ(Methyl-Silses-Quioxane)膜,作爲鈾刻氣 B 體作用C4F8/Ar/N2,在蝕刻裝置106的蝕刻單元51、52 蝕刻處理,作爲灰化氣體使用〇2單一氣體而在灰化裝置 105 進行灰化處理,作爲矽烷基化劑,使用 HMDS(Hexamethyldisilazane 六甲基二砍氮院)而在洗淨 處理裝置1〇4的矽烷基化單元(SCH)lla、llb進行矽烷基 化處理的情況之,關於k値的變化硏究的結果。而且多孔 性MSQ爲以旋轉塗佈形成的絕緣膜(SOD膜),爲具有Si-Ο-Si結合的矽氧烷(sil〇xane)系膜之一。另外,矽烷基化 φ 處理係在2_5 Torr、200°C進行15分鐘。 [表1] 試料的處理狀態 k値 蝕刻處理前(膜形成後) 2.36 蝕刻處理/灰化處理後 2.80 矽烷基化處理後 2.63 ⑧ -29- (26)1345287 如表示於表1的,明暸在蝕刻前的狀態,k値爲 2-36,而於蝕刻處理和灰化處理後,k値上昇至2.80。但 是’在之後進行矽烷基化處理,k値係下降至2.63。
作爲矽烷基化劑,如爲產生矽烷基化反應的物質則不 特別限制而可使用,而於分子內具有矽氮鍵結(Si-N鍵結) 的化合物群之中,具有比較小的分子構造者,例如:分子 量爲260以下者爲理想,分子量170以下者爲較理想。具 體而言,例如:前述的DMSDMA、HMDS以外,可使用 TMSDMA(Dimethylaminotrimethylsilane 二甲基胺基三 甲基砂院)' TMDS(1,1,3,3-Tetramethyldisilazane 1,1,3,3-四甲基二砂氮院)、TMSPyrole(l-Tri.methylsilylpyrole 1 — 三甲 基矽烷 基吡咯 )、 BSTFA(N,0-
Bis(trimethylsilyl)trifluoroacetamide N,0-雙(三甲基砂基) 三氟乙醯胺)、BDMADMS(Bis( dimethyl amino) dimethylsilane)雙(二甲基胺基)二甲基矽烷等。於以下表 (27)1345287 ch3 ch3 CHa-Si-NH-Si- CHa
I I CH3 ch3
HMDS
CHa CH3 r I H-Si-N I I CHa CHa
DM S DMA / 0 — S i (CH3) 3 3 —
^N-Si (CHa)3 B S T FA
CH3 CH3 CH3 I I I N S i— N I I I CHa CHa CHa
BDMADMS
,CH=:CH I CH3 CH3
CHa -Si-N
I I
Cm3 Cm3
TMS DMA
CH3 I
CHs-Si-N UH3 TMSpyrole CHa CHa
H-Si—NH-Si-H \ I CH3 CHa
TMDS 即使在上述化合物中,作爲介電常數的恢復效果或洩 漏電流的降低效果,使用TMSDMA及TMDS爲理想。另 外,由矽烷基化後的安定性的觀點’構成较氮鍵結的Si (28) 1345287
Si爲與3個烷基(例如:甲基)結合的構造者(例如: TMSDMA、HMDS 等)爲理想。 上述的晶圓處理系統係成爲將灰化裝置105和蝕刻裝 置106在別體具有的構成,而在構成蝕刻裝置106的蝕刻 單元51、52能藉由變更處理氣體而進行灰化處理,而且 如作到能供給DMS DMA等的矽烷基化劑,亦能進行矽烷 基化處理。 • 於第14圖表示能進行蝕刻處理、灰化處理、矽烷基 化處理的蝕刻單元90的槪略構造的剖面圖。此蝕刻單元 90係可取代表示於第6圖的構成蝕刻裝置1 06之蝕刻單 元51、52及矽烷基化單元(SCH) 53' 54,配備於蝕刻裝置 1 06 〇 蝕刻單元90,具備形成至略圓筒狀的電漿處理室(電 漿處理chamber)302。此電漿處理室3 02係例如:表面爲 由被陽極氧化處理(耐酸鋁(alumite)處理)的鋁構成,被作 ^ 爲接地電位。 於電漿處理室302的底部,經由以陶瓷所構成的絕緣 '板303,配置承受器(susceptor)支撐台304,於此承受器 • 支撐台304上配置承受器(susceptor)305。承受器305係 兼爲下部電極,於其上面能載置晶圓W。於此承受器305 係連接高通濾波器(HPF)3 06。 於承受器支撐台3 04的內部設置溫度調節媒體室 307。於此溫度調節媒體室307,連接導入管308和排出 管309。然後由導入管308導入溫度調節媒體於溫度調節 -32- ⑧ (29) 1345287 媒體室307內,此溫度調節媒體爲藉由循環於溫度調節媒 體室3 07內而由排出管309被排出,能調整承受器3 05至 . 所希望的溫度。 . 承受器3 0 5係其上側中央部被形成至凸狀的圓板狀, • 於其上設置靜電吸盤310。靜電吸盤310係成爲於絕緣材 料311之間配置電極312的構造,於電極312連接直流電 源3 1 3。由此直流電源3 1 3對電極3 1 2,例如:藉由施加 φ 1.5kV範圍的直流電壓,晶圓W被靜電吸附於靜電吸盤 310 上。 於絕緣板303、承受器支撐台3 04、承受器305及靜 電吸盤310,於晶圓W的裏面形成爲了供給傳熱媒體(例 如:He氣)的氣體通路314。經由由此氣體通路314供給 的傳熱媒體,爲承受器3 05和晶圓W之間的熱傳達,晶 圓W被溫度調節至特定溫度。 ^ 爲了於灰化處理或矽烷基化處理將晶圓W設定至高 φ 溫,如提高傳熱媒體的溫度設定爲佳。但是,於蝕刻單元 90,在實際上進行蝕刻處理和灰化處理及/或矽烷基化處
• 理的情況,因爲若每個各處理變更設定溫度,則於晶圓W • 的溫度安定化需要時間,所以於承受器3 05埋設冷熱元 件,作爲可進行溫度控制的構成爲理想。 於承受器3 05的上端周緣部,如包圍載置於靜電吸盤 31〇上的晶圓 W的周圍的,配置環狀的調焦環(focus ring)3 15。此調焦環315係藉由陶瓷或石英等的絕緣性材 料、或導電性材料而構成。 -33- (30) 1345287 於承受器305的上方,設置與承受器305相對,而且 平行的上部電極321。此上部電極321係經由絕緣材料 322而被支撐於電漿處理室3 02的內部。上部電極321係 ,由構成與承受器305的相對面,具有多數的吐出口 323的 電極板3 24、和支撐此電極板324的電極支撐體325構 ψ 成。電極板324係由絕緣性材料或導電性材料而構成。在 本實施的形態,電極板324係由矽而構成。電極支撐體 | 325係例如:由表面被陽極氧化處理(耐酸銘(alumite)處理) 的鋁等的導電性材料構成。而且,承受器3 0 5與上部電極 3 2 1的間隔係作爲能調整。 於電極支撐體325的中央,設置氣體導入口 326。於 此氣體導入口 3 26,連接氣體供給管327。氣體供給管 327 係經由閥 328 及質流控制器(Mass Flow Con-tr〇ller)3 29,連接於處理氣體供給源3 3 0。 由處理氣體供給源330,能夠供給爲了電漿處理的特 φ 定的處理氣體。而且,於第14圖,僅表示著一個由氣體 供給管327、閥328、質流控制器329、處理氣體供給源 _ 330等所構成的處理氣體供給系,但實際上係設置複數的 處理氣體供給系。由這些處理氣體供給系,各個獨立的流 量控制例如:〇2氣體、NH3氣體、C02氣體、Ar氣體、 N2氣體、CF4氣體、C4F8氣體、水蒸氣、DMSDMA等的 矽烷基化劑的氣體等,供給於電漿處理室302內。 於電漿處理室302的底部,連接排氣管331,於此排 氣管3 3 1係連接排氣裝置3 3 5。排氣裝置3 3 5係具備渦輪 -34- (S: (31) 1345287 分子幫浦等的真空幫浦,成爲能設定電漿處理室302內至 特定的減壓氣氛(例如:0.67Pa)以下。 _ 於電漿處理室302的側壁部分,設置閘閥332,打開 k 此閘閥3 32,能夠進行向晶圓W的電漿處理室302內的搬 入及搬出。 於上部電極321係連接第1高頻電源340,於該供電 線係安插第1整合器341。另外,於上部電極321係連接 • 低通濾波器Low-Pass Filter (LPF)342。第1高頻電源340 係能供給電漿產生用的頻率的高之高頻電力,例如:能供 給頻率爲50〜150MHZ的高頻電力。藉由將如此的高的頻 率的高頻電力施加至上部電極321,於電漿處理室3 02的 內部能以理想的解離狀態而且形成高密度的電漿,成爲能 在低壓條件下的電漿處理。第1高頻電源340的頻率數理 想爲50〜150MHz,典型的係使用已圖示的60MHz或其近 邊的頻率。 φ 於作爲下部電極的承受器3 05,連接第2高頻電源 350’於其供電線安插第2整合器351。此第2高頻電源 " 350至係使自偏壓電壓(Self-bias voltage)產生,能供給比 第1高頻電源340低的頻率,例如:數百Hz〜十數MHz 的頻率電力。藉由施加如此的範圍的頻率的電力於承受器 305,可對於晶圓W不施加損傷而施加適當的離子作用。 第2高頻電源350的頻率係典型上的使用已圖示的2MHz 或 3·2ΜΗζ、13.56MHz 等。 接著,說明關於使用了如此的構成的蝕刻單元90的 ⑧ -35- (32) 1345287 晶圓W之處理製程。第15圖爲模式的圖示處理的晶圓w 的表面的構造的剖面圖。如表示於第15圖(a)的,於晶圓 W(無圖示)’有機系低介電常數膜(例如多孔性 • (P〇rous)MSQ)60 1、SiCN 膜 602、反射防止膜(BARC)603; „ 光阻膜604,由下側以此順序形成。而且,光阻膜604係 被圖形化。 於最初’打開閘閥3 3 2,藉由晶圓搬運裝置62的托 φ 板64a(或64b),將晶圓W搬入於電漿處理室302內,載 置於承受器305上。接著,藉由從直流電源313,於靜電 吸盤3 1 0的電極3 12,施加例如:1 . 5kV範圍的直流電 壓’將晶圓W靜電吸附靜電吸盤310上。另外,使晶圓 搬運裝置62的托板64a,由電漿處理室302內避讓》 關閉閘閥3 3 2後,藉由排氣裝置3 3 5進行排氣,將電 漿處理室3 02內設定於特定的真空度(例如:4Pa以下)。 與此同時,將光阻膜604作爲蝕刻遮罩,爲了進行反射防 φ 止膜603的蝕刻處理,由處理氣體供給源3 30經由質流控 制器329等,而將特定的處理氣體(例如:CF4單一氣體) 、 以特定流量導入電漿處理室302內,藉由從第1高頻電源 ^ 340將電漿產生用的頻率的高(例如:60MHz)之高頻電 力,·以特定電力施加於上部電極321,使處理氣體的電漿 產生。而且,將由第2高頻電源350爲了使自己偏壓電壓 產生的頻率的低(例如:2MHz)之高頻電力,以特定電力 施加於作爲下部電極的承受器3〇5,將電漿中的離子引進 晶圓W,將反射防止膜603蝕刻處理。 -36-
(S (33) 1345287 與如此的反射防止膜603的蝕刻處理相同的程序,將 SiCN膜6 02、有機系低介電常數膜601,改變處理氣體而 . 逐次蝕刻處理,作爲表示於第15(b)圖的形態。SiCN膜 . 602係’例如:藉由c4F8/Ar/N2的混合氣體的電漿而進行 _ 蝕刻。另外,有機系低介電常數膜601係藉由CF4/Ar的 混合氣體的電漿而進行蝕刻。接著,以與上述蝕刻處理相 同的程序,作爲處理氣體,例如:以使用了 02氣體、 φ NH3氣體' C02氣體等的電漿而灰化處理,除去光阻膜 604及反射防止膜603。由此而成爲表示於第15(c)圖的形 態。在第15(c)圖’模式的以符號605表示藉由蝕刻處理 或灰化處理而受到了損傷的部分。 而且,於如上述的連續進行蝕刻處理和灰化處理的情 況,所謂的,進行2步驟灰化爲理想。亦即,第1步驟係 以無施加由第2高頻電源350的偏壓電壓,進行電漿處理 室302內的清除(cleaning),於第2步驟由第2高頻電源 φ 350施加偏壓電壓,進行晶圓W的灰化處理爲理想。 接著,將電漿處理室3 02內作爲特定的真空度,通過 - 設置於上部電極321的吐出口 323而於電漿處理室3 02內 ' 供給特定量的水蒸氣,在有機系低介電常數膜601於藉由 蝕刻處理及灰化處理而受到了損傷的部分,使適量的水份 吸附。 接著,排氣電漿處理室3 02內,一將電漿處理室302 內到達特定的真空度,則中止排氣,將電漿處理室302內 保持特定的真空度、同時加熱至使晶圓W產生矽烷基化 -37- (34) 1345287 反應的溫度,例如:50°C〜200°C。之後,通過設置於上部 電極321的吐出口 323而於電漿處理室302內供給特定量 的DMSDMA氣體等的矽烷基化劑的氣體,藉由此矽烷基 . 化劑的氣體而在電漿處理室302內的壓力上昇的狀態保持 ^ 特定時間。由此,如表示於第15(d)圖的,有機系低介電 常數膜601的損傷部605係藉由矽烷基化而從損傷恢復。 已被矽烷基化處理的晶圓W,之後即使被曝露於大氣,有 φ 機系低介電常數膜6 0 1亦難以吸濕,能維持特性。 而且,第15(d)圖係爲了模式的表示損傷部605的恢 復,所以表示損傷部605爲恢復於與原來的有機系低介電 常數膜601相同的構造的狀態,但損傷部605恢復後的該 部分的化學構造係與原來的有機系低介電常數膜601的化 學構造不完全一致。 另外,藉由有機系低介電常數膜601的矽烷基化處理 的損傷恢復,藉由氫氟酸浸漬晶圓W,可定量的評估。因 φ 爲,例如在藉由氧電漿的灰化處理,因爲於有機系低介電 常數膜601的溝圖形的側壁部係Si02化,所以如不由損 * 傷恢復,則因爲此Si02溶解於氫氟酸,所以有機系低介 • 電常數膜601被側蝕刻。 於第16(c)圖表示模式的圖示,由表示於第15(c)圖所 示的狀態不進行矽烷基化處理的進行氫氟酸(氟化氫酸水 溶液)浸漬處理的情況(第16(a)圖)、與藉由矽烷基化處理 而成爲第15(d)圖的狀態進行了氫氟酸浸漬處理的情況(第 16(b)圖)的溝圖形的形狀之剖面圖。如表示於第16(a)圖 -38- (35) 1345287 的,若進行不進行矽烷基化處理的氫氟酸處理,則因爲由 灰化處理而產生的Si02溶解於氫氟酸,所以有機系低介 電常數膜601被側蝕刻,而線寬變細。對於此,如表示於 . 第16(b)圖的,於進行了矽烷基化處理的情況,因爲溝圖 形的側壁部係成爲Si02爲不露出的狀態,所以提高對於 氫氟酸的耐蝕性,抑制由有機系低介電常數膜601的由氫 氟酸之側蝕刻。 p 接著,說明關於確認本發明的效果之試驗結果。 (1 )介電常數、洩漏電流密度及含水量的測定 如第17圖所示的,製作於Si基板上成膜作爲SOD 膜的多孔性M S Q膜之測試樣本,依序實施蝕刻處理、灰 化處理,於多孔性MS Q膜置入損傷後,關於使用表示於 下述表2的矽烷基化劑而進行了矽烷基化處理的情況、和 不進行矽烷基化處理的情況,進行介電常數及洩漏電流密 度的測定。 φ 蝕刻處理、灰化處理都於第14圖所示的蝕刻單元90 實施,作爲蝕刻氣體使用CF4,作爲灰化氣體,使用〇2、 ' NH3或C02。矽烷基化處理係使用與表示於第5圖的矽烷 基化單元(SCH)l la的相同的構成的裝置而進行。矽烷基 化的條件係按照矽烷基化劑的種類,D M S D Μ A係處理溫 度100°C、處理時間1 80秒、TMSDMA係處理溫度150 °C、處理時間150秒、TMDS係處理溫度180°C、處理時 間 900 秒 ' BSTFA 和 BDMADMS 和 TMSpyrole 係各個設 定於處理溫度1 80°C、處理時間3 00秒,將N2氣體(沖洗 (36) 1345287 (purge)氣體)流量作爲5.OL/min、按照矽烷基化種類,氣 化器 43的溫度係在室溫~50 t 、矽烷基化劑流量係 0.1~1 .Og/min ' 處理壓力係在 666〜95976Pa(5~720 Torr)之 ^ 間適宜設定。 介電常數及洩漏電流密度的測試,如第17(b)圖所示 ▲ 的,於測試樣本的多孔性MSQ膜上安裝Α1襯墊(pad), 於Si基板與A1襯墊之間施加電壓,藉由測定k値及洩漏 φ 電流而實施。合倂這些試驗的結果而表示於表2。而且, 洩漏電流密度係將於1 M V/cm的測定値作爲代表値而記 載0
-40 ⑧ (37)1345287
[表2] 灰化氣體 矽烷基化劑 介電常數 浅漏電流密度 (A/cm2 ) (SUMV/cm k値 灰化後的恢復率 未處理 2.47 — 3.28xlO'10 僅蝕刻處理 3.25 _ 1.13x10-5 〇2 無矽烷基化 4.12 一 6.15xl〇·5 DMSDMA 3.16 58.1 5.47x1ο·6 TMSDMA 2.94 71.6 5_52χ10-7 TMDS 2.89 74.8 1.80x1 Ο·6 BSTFA 3.14 59.6 8.90x1 Ο·7 BDMADMS 3.80 19.0 1.49x10-5 TMSpyrole 3.59 31.7 3.28x10'5 nh3 無矽烷基化 3.88 一 6.50χ1〇-5 DMSDMA 3.43 31.8 1.40χ1〇·5 TMSDMA 3.16 50.8 2.04x10-6 TMDS 3.22 47.0 1.04χ1〇·6 BSTFA 3.61 19.1 5.29x10'5 BDMADMS 4.48 -43.1 1.69Χ10·4 TMSpyrole 3.63 17.5 3.10χ1〇-5 C〇2 無矽烷基化 4.25 一 3·62χ1〇-5 DMSDMA 3.39 48.2 1.19χ10'5 TMSDMA 3.07 66.6 1.13χ1〇·6 TMDS 3.22 57.6 5.31Χ10"6 BSTFA 3.42 46.6 2.92x10'6 BDMADMS 4.13 6.7 1.26x10'5 TMSpyrole 3.49 42.8 4.17x1 Ο·5 -41 - ⑧ (38) 1345287 由表2,藉由於灰化後進行矽烷基化處理,與不實施 矽烷基化的情況比較,確認可抑制k値上昇與洩漏電流密 度的增加。特別是,於k値的恢復效果及洩漏電流密度的 減低效果,明暸於TMSDMA及TMDS優良。另外,在與 灰化氣體種類的關係,表示了於藉由〇2氣體而進行了灰 化的情況,特別是矽烷基化效果高。 另外,對於與第17(a)圖同樣的樣本,使用各種矽烷 φ 基化劑進行了矽烷基化處理後,以每秒1 °C昇溫,將由昇 溫的水份的脫離量(亦即,膜中的含水量),藉由質量分析 而測定。膜中的含水量成爲使介電常數或洩漏電流惡化的 主要原因。表示該結果於第18圖。而且,第18圖的縱軸 係將100 °C〜5 00 °C的水份的脫離量(脫離氣體量),以溫度 單位積分而以樣本的質量規格化的値。 藉由第18圖,於〇2灰化的情況,了解不依藥液而減 低效果大。一方面,於NH3灰化、co2灰化的情況,以 # TMSDMA或TMDS進行了矽烷基化處理的情況,可得到 含水率的減低效果。 • (2)對於稀氫氟酸的耐蝕性試驗: ' 在於Si基板上積疊作爲SOD膜的多孔性MQS膜, 成膜遮罩膜,以光蝕刻技術曝光、顯像槽溝(trench)圖 形。將此遮罩圖形作爲蝕刻遮罩而蝕刻處理多孔性MS Q @ ’之後’爲了蝕刻遮罩的殘渣處理而使用作爲灰化氣體 的〇2、NH3或C02而實施灰化處理,於多孔性MQS膜形 成了如表示於第19 (a)圖的圖形的槽溝構造。 -42- 1345287 (39) 對於如此的具有槽溝構造的測試樣本,在前述矽烷基 化劑進行了矽烷基化處理後,使用0.5 %稀氫氟酸而進行 30秒鐘浸漬處理’測定如於第19(b)圖所示的槽溝的上部 • 和下部的槽溝寬(以下,記爲「頂部CD」、「底部 , CD」)°關於頂部CD和底部CD的長度的增加量,比較 了稀氮氣酸處理前已進行矽烷基化處理的情況與不進行的 情況的結果於表3表示。而且,蝕刻、灰化及矽烷基化的 φ 條件係與前述(1)的試驗作爲相同。 (40) 1345287 (40)
[表3] 灰化氣體 灰化後的CD (nm) 頂部/底部 矽烷基化劑 稀氫氟酸處理後的 CD增加量(nm) 頂部/底部 無矽烷基化 67/53 DMSDMA 6/7 TMSDMA 4/3 〇2 220/197 TMDS 23/13 BSTFA 7/4 BDMADMS 4/0 TMSpyrole 3/3 無矽烷基化 73/53 DMSDMA 70/44 TMSDMA ±50 nh3 217/197 TMDS 77/37 BSTFA 76/60 BDMADMS 23/0 TMSpyrole 27/27 無矽烷基化 57/50 DMSDMA 7/3 TMSDMA 0/3 C〇2 223/197 TMDS 17/17 BSTFA 13/17 BDMADMS 4/3 TMSpyrole 30/17
-44 - (41) 1345287 由表3,在稀氫氟酸處理前進行了矽烷基化處理的情 況,比起不進行矽烷基化的情況,大略抑制CD的增加, . 確認可謀求損傷的恢復。特別是,於〇2灰化後的矽烷基 ,化顯著的抑制了 CD的增加。 另外,即使在矽烷基化劑中TMSDMA係即使在02、 k. C 02任一的灰化氣體的情況,亦表示出優良的損傷恢復 效果。 P 以上,說明了關於本發明的實施形態,但本發明係不 被限定於如此的形態。例如:可藉由矽烷基化處理而謀求 損傷恢復的膜係不被限定於上述的多孔性MSQ,例如: 亦可將以CVD形成的無機絕緣膜之1的SiOC膜作爲對 象。此係於先前的Si〇2膜的Si-Ο結合導入甲基(-ch3), 因爲使 Si- CH3 結合混合,Black Diamond(Applied Materials 公司)、Coral(Novellus 公司)、Aurora(ASM 公 司)等爲該當於此。SiOC膜爲多孔性(porous)亦佳。另 φ 外,MSQ系的絕緣膜係不限定於多孔性之物,爲緻密性 亦佳。 * 而且,於形成了的導孔或槽溝逐次形成障蔽金屬膜和
Cu薄片,將銅藉由電解電鍍等而埋入而形成,進行退火 處理、進行CMP處理,於形成銅配線後,藉由氨電漿處 理而進行銅配線表面的還原處理,之後形成阻止膜。於此 情況爲了使由氨電漿處理而受到了損傷的部分,由該損傷 而恢復,所以進行矽烷基化處理亦佳。 -45- (42)1345287 [產業上的可利用性] 本發明係適於作爲半導體裝置的製造方法β 【圖式簡單說明】 [第1圖]表示晶圓處理系統的槪略構成的說明圖。 [第2圖]表示洗淨處理裝置的槪略構造的平面圖。 [第3圖]表示洗淨處理裝置的槪略構造的正面圖。 [第4圖]表示洗淨處理裝置的槪略構造的背面圖。 [第5圖]表示矽烷基化單元(SCH)的槪略構造的剖面 圖。 [第6圖]表示蝕刻裝置的槪略構造的平面圖。 [第7圖]表示形成單鑲嵌結構構造的溝配線的製程之 說明圖。 [第8圖]模式的表示於第7圖圖示的流程因而被形成 的溝配線的形態變化之說明圖。 [第9圖]表示依矽烷基化處理的有無的電氣特性之不 同的說明圖。 [第10圖]表示形成雙鑲嵌結構構造的溝配線的製程 之說明圖。 [第11圖]模式的表示於第10圖圖示的流程因而被形 成的溝配線的形態變化之說明圖。 [第12圖]表示形成雙鑲嵌結構構造的溝配線的別的 製程之說明圖。 [第13圖]模式的表示於第12圖圖示的流程因而被形 -46 - (S) (43) (43)1345287 成的溝配線的形態變化之說明圖。 [第14圖]表示蝕刻單元的槪略構造的剖面圖。 [第15圖]模式的表示使用了第14圖的蝕刻單元的晶 圓的處理製程之晶圓的表面構造之剖面圖。 [第16圖]模式的表示由氫氟酸浸漬處理的矽烷基化 處理的有無與溝圖形的形狀的關係。 [第1 7圖]表示爲了測定介電常數、洩漏電流密度及 水分脫離量的測試樣本的槪略構成的圖面。 [第18圖]表示由矽烷基化的有無及矽烷基化劑的種 類的水分脫離量的變化的線圖。 [第19圖]模式的表示由稀氫氟酸的耐蝕性試驗的測 試樣本構造的圖面》 [第20圖]模式的表示藉由先前的雙鑲嵌結構法的溝 配線的形成製程之說明圖。 【主要元件符號說明】 2 處理站 11a、lib矽烷基化單元(SCH) 12a〜12d 洗淨單元(CNU) 15a〜15f 變性處理單元(VOS) 7〇 絕緣膜 72 下部配線 73 阻止膜 74 層間絕緣膜 -47- 1345287
(44) 75a 反射防止膜 75b 光阻膜 78a 導孔 78b 槽溝 79a、 79b損傷部 8 1 保護膜 86 硬遮罩層 90 軸刻單元 10 1 SOD裝置 1,0 2 光阻塗佈/顯像裝置 103 曝光裝置 104 洗淨處理裝置 105 灰化裝置 106 蝕刻裝置 107 濺鍍裝置 108 電解電鍍裝置 109 CMP裝置 110 處理部 111 程序控制器 112 使用者介面 113 記憶部 120 主控制部 W 晶圓(基板) -48- ⑧

Claims (1)

1345287
第0941 19874號專利申請案中文申請專利範圍修正本 民國100年1月31日修正 十、申請專利範圍 Γ 1.一種半導體裝置的製造方法,其特徵爲具備: 'ί' 在配設於被處理體上的被蝕刻膜上,形成具有特定的 開口圖形的蝕刻遮罩的製程、和 於第1處理室內,藉由通過前述蝕刻遮罩的前述開口 Φ 圖形而對前述被蝕刻膜施以蝕刻處理,於前述被蝕刻膜形 成溝或孔的製程、和 將前述蝕刻處理後的前述被處理體,在真空氣氛下從 前述第1處理室搬送至第2處理室的製程、和 於前述第2處理室內,於前述被蝕刻膜的露出部的前 述溝或孔的側面部施以矽烷基化處理的製程, 前述矽烷基化處理工程係具備:爲了使吸溼反應產生 於前述被鈾刻膜的露出部之前述溝或前述孔的内面,而對 ^ 前述砂院基化室内供給水蒸氣,使能夠促進砂院基化反應 之工程。 2.如申請專利範圍第1項所記載的方法,其中,更 具備: 於前述矽院基化處理之前,於前述第2處理室內供給 水蒸氣,於前述溝或孔的側面部使水分吸附的製程。 3 .如申請專利範圍第2項所記載的方法,其中,更 .具備: 搬運前述被處理體至前述第2處理室前,從前述被處 1345287 理體上除去前述蝕刻遮罩的製程。 4·如申請專利範圍第1項所記載的方法,其中,前 述矽烷基化處理係具備: 供給包含於分子內具有矽氮鍵結(Si— N鍵結)的化合 物之矽烷基化劑於前述第2處理室內的製程。 5 ·如申請專利範圍第4項所記載的方法,其中,前 述化合物係具備TMDS(1,1,3,3 — 四甲基二矽氮院)或 TMS DM A (二甲基胺基三甲基矽氮烷 6·—種半導體裝置的製造方法,其特徵爲具備: 在配設於被處理體上的被蝕刻膜上,形成具有特定的 開口圖形的蝕刻遮罩的製程、和 於處理室內,藉由通過前述蝕刻遮罩的前述開口圖形 而對前述被蝕刻膜施以蝕刻處理,於前述被蝕刻膜形成溝 或孔的製程、和 於前述處理室內,於前述被蝕刻膜的露出部的前述溝 或孔的側面部施以矽烷基化處理的製程, _ 前述矽烷基化處理工程係具備:爲了使吸溼反應產生 於前述被蝕刻膜的露出部之前述溝或前述孔的内面,而對 前述矽烷基化室内供給水蒸氣,使能夠促進矽烷基化反應 之工程。 7.如申請專利範圍第6項所記載的方法,其中,更 具備: 於前述矽烷基化處理之前,於前述處理室內供給水蒸 氣,於前述溝或孔的側面部使水分吸附的製程。 [S] -2 - 1345287 8.如申請專利範圍第7項所記載的方法,其中,更 具備: ' 於前述處理室內供給前,從前述被處理體上除去前述 " 蝕刻遮罩的製程。 ' 9.如申請專利範圍第6項所記載的方法,其中,前 述矽烷基化處理係具備: 供給包含於分子內具有矽氮鍵結(Si— N鍵結)的化合 ^ 物之矽烷基化劑於前述處理室內的製程。 # 10·如申請專利範圍第9項所記載的方法,其中,前 述化合物係具備TMDS(1,1,3,3 — 四甲基二矽氮院)或 TMSDMA(二甲基胺基三甲基矽氮烷)。 11· 一種半導體裝置的製造方法,其特徵爲具備: 在配設於被處理體上的被蝕刻膜上,形成具有特定的 開口圖形的蝕刻遮罩的製程、和 通過前述蝕刻遮罩的前述開口圖形而於前述被餓刻膜 φ 施以蝕刻處理,於前述被蝕刻膜形‘成溝或孔的製程、和 於前述蝕刻處理後,藉由於前述蝕刻遮罩施以灰化處 理,從前述被處理體上除去前述蝕刻遮罩的製程 於前述灰化處理後,於前述被蝕刻膜的露出部的前述 溝或孔的側面部施以矽烷基化處理的製程, 前述矽烷基化處理工程係具備:爲了使吸溼反應產生 於前述被蝕刻膜的露出部之前述溝或前述孔的内面,而對 前述矽烷基化室内供給水蒸氣,使能夠促進矽烷基化反應 之工程。 -3- 1345287 12.如申請專利範圍第11項所記載的方法,其中, 前述蝕刻處理、前述灰化處理、及前述矽烷基化處理係於 一個處理系統內,前述被處理體不曝露於大氣而連續的進 行。 1 3 ·如申請專利範圍第1 2項所記載的方法,其中, 前述蝕刻處理及前述灰化處理係在1個處理室內進行》
1 4.如申請專利範圍第1 2項所記載的方法,其中, 前述蝕刻處理、前述灰化處理、及前述砂院基化處理係在 1個處理室內進行。 1 5 ·如申請專利範圍第1 1項所記載的方法,其中, 在述灰化處理後且在目U述砍院基化處理前,更具備對前 述被處理體施以洗淨處理的製程。 1 6.如申請專利範圍第1 5項所記載的方法,其中, 前述洗淨處理係具備: 爲了除去前述蝕刻遮罩的殘渣,對前述被處理體供給 藥液的製程。 胃 1 7.如申請專利範圍第1 1項所記載的方法,其中, 在前述灰化處理後且在前述矽烷基化處理前,更具備對前 述被處理體供給水蒸氣’於前述溝或孔的側面部使水分吸 附的製程。 1 8 ·如申請專利範圍第1 1項所記載的方法,其中, 前述矽烷基化處理係具備: 供給包含於分子內具有矽氮鍵結(Si— N鍵結)的化合 物之矽烷基化劑於前述被處理體的製程。 [S1 -4- 1345287 j 中, ®院) 中, 述被 定的 刻膜 和 施以 前述 產生 而對 反應 中, 內進 19.如申請專利範圍第1 8項所記載的方法,宜 前述化合物係具備:TMDS(1,1,3,3 — 四甲基二 或TMSDMA (二甲基胺基三甲基矽氮烷 2 0 ·如申請專利範圍第1 9項所記載的方法,其 前述灰化處理係具備:供給包含〇2的灰化氣體於前 處理體的製程。
21_ —種半導體裝置的製造方法,其特徵爲具備 在配設於被處理體上的被蝕刻膜上,形成具有特 開口圖形的蝕刻遮罩的製程、和 通過前述蝕刻遮罩的前述開口圖形而於前述被貪虫 施以蝕刻處理,於前述被蝕刻膜形成溝或孔的製程、 於前述蝕刻處理後,使用藥液而於前述被處理體 洗淨處理的製程、和 於前述洗淨處理後,於前述被蝕刻膜的露出部的 溝或孔的側面部施以矽烷基化處理的製程, 前述矽烷基化處理工程係具備:爲了使吸溼反應 於前述被蝕刻膜的露出部之前述溝或前述孔的内面, 前述砂院基化室内供給水蒸氣,使能夠促進砂院基化 之工程。 22.如申請專利範圍第2 1項所記載的方法,其 前述洗淨處理及前述矽烷基化處理係在1個處理室 行。 23_ —種半導體裝置的製造方法,其特徵爲具備: 於配設於被處理體上的餓刻阻止(etching stopper)膜 1345287 上形成層間絕緣膜的製程、和 如到達前述蝕刻阻止膜的,於前述層間絕緣膜形成溝 或孔的製程、和 藉由通過前述層間絕緣膜的前述溝或孔而於前述蝕刻 阻止膜施以蝕刻處理,除去位於前述溝或孔的底部的前述 蝕刻阻止膜的部分的製程、和
於前述蝕刻處理後,於前述層間絕緣膜的露出部的前 述溝或孔的側面部施以矽烷基化處理的製程, 前述矽烷基化處理工程係具備:爲了使吸溼反應產生 於前述被蝕刻膜的露出部之前述溝或前述孔的内面,而對 前述矽烷基化室内供給水蒸氣,使能夠促進矽烷基化反應 之工程。 24·如申請專利範圍第23項所記載的方法,其中, 於前述層間絕緣膜形成前述溝或孔的製程係具備: 於前述層間絕緣膜上形成具有特定的開口圖形的刻 遮罩的製程、和 藉由通過前述鈾刻遮罩的開口圖形而於前述層間絕緣 膜施以第1蝕刻處理,於前述層間絕緣膜形成溝或孔的製 程、和 於前述第1蝕刻處理後,由前述被處理體上除去前述 蝕刻遮罩的製程; 前述方法係在除去前述蝕刻遮罩的製程、與除去前述 蝕刻阻止膜的部分的製程之間, 更具備於前述層間絕緣膜的露出部的前述溝或孔的側 [S] -6-
1345287 面部,施以第1矽烷基化處理。 25.—種半導體裝置的製造系統,其特徵爲具備 收容具有被蝕刻膜、與具有形成於其上的特定 圖形的蝕刻遮罩的被處理體的第1處理室、和 於前述第1處理室內,對於前述被触刻膜,藉 蝕刻遮罩的開口圖形而施以蝕刻處理,於前述形成 膜形成溝或孔的蝕刻機構、和 收容於前述第1處理室內處理後的前述被處理 2處理室、和 於前述第2處理室內,於前述被蝕刻膜的露出 述溝或孔的側面部,施以矽烷基化處理的矽烷基化 和 連接前述第1及第2處理室的真空搬送路徑、 配設於前述真空搬送路徑內,爲了由前述第1 向第2處理室,搬送前述被處理體的搬送機構, 前述矽烷基化處理機構係具備:爲了使吸溼反 於前述被蝕刻膜的露出部之前述溝或前述孔的内面 前述矽烷基化室内供給水蒸氣,使能夠促進矽烷基 之矽烷基化處理機構。 26. —種半導體裝置的製造系統,其特徵爲具 收容具有被蝕刻膜、與具有形成於其上的特定 圖形的蝕刻遮罩的被處理體的處理室、和 於前述處理室內,對於前述被蝕刻膜,藉由通 遮罩的開口圖形而施以蝕刻處理,於前述形成被蝕 的開口 由通過 被蝕刻 體的第 部的前 機構、 和 處理室 應產生 ,而對 化反應 備. 的開口 過蝕刻 刻膜形 1345287 成溝或孔的蝕刻機構、和 於前述處理室內,於前述被蝕刻膜的露出部的前述溝 或孔的側面部,施以矽烷基化處理的矽烷基化機構, 前述矽烷基化處理機構係具備:爲了使吸溼反應產生 於前述被蝕刻膜的露出部之前述溝或前述孔的内面,而對 前述矽烷基化室内供給水蒸氣,使能夠促進矽烷基化反應 之矽烷基化處理機構。
2 7.—種電腦可讀取的媒體,係包含爲了在處理器 (processor)上執行的程式指令,其特徵爲:前述程式指 令,係藉由處理器而執行時,如執行於申請專利範圍1、 6、11、21、23的任一項所記載的製造方法的,控制半導 體裝置的製造系統。
[S] -8 - 1345S8?u9874號專利申請案 r中文圖式修正頁民國99年7月28日修正第9圖 (0/0)掩蠢蛛 99.99 99.9 99i§ 80 70 50 30 20 10 5 10.1 0.01 10' C /
1〇-13 10·11 10·9 10·7 10·5 漏電流(A)
< Mi— 1Ε-7ί 1E-8 1E-9 1Ε-10Ί 1E-11 1E-12J 1E-13 1E-14-] 1E-15 15 (a) 矽烷蔚破理:無 矽院基化處理:有
0 2 4 6 8 10電壓 (b) 12 14 16 (V) 18
TW094119874A 2004-07-02 2005-06-15 Manufacturing method of semiconductor device having groove wiring or connecting hole TW200605266A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004196698 2004-07-02
JP2004358609A JP5057647B2 (ja) 2004-07-02 2004-12-10 半導体装置の製造方法および半導体装置の製造装置

Publications (2)

Publication Number Publication Date
TW200605266A TW200605266A (en) 2006-02-01
TWI345287B true TWI345287B (zh) 2011-07-11

Family

ID=35782755

Family Applications (2)

Application Number Title Priority Date Filing Date
TW094119874A TW200605266A (en) 2004-07-02 2005-06-15 Manufacturing method of semiconductor device having groove wiring or connecting hole
TW098109988A TWI430397B (zh) 2004-07-02 2005-06-15 A manufacturing method of a semiconductor device, a manufacturing apparatus for a semiconductor device, and a substrate processing device

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW098109988A TWI430397B (zh) 2004-07-02 2005-06-15 A manufacturing method of a semiconductor device, a manufacturing apparatus for a semiconductor device, and a substrate processing device

Country Status (4)

Country Link
US (2) US8075730B2 (zh)
JP (1) JP5057647B2 (zh)
TW (2) TW200605266A (zh)
WO (1) WO2006003948A1 (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200721311A (en) * 2005-10-11 2007-06-01 Toshiba Kk Semiconductor device manufacturing method and chemical fluid used for manufacturing semiconductor device
US8088686B2 (en) 2006-04-19 2012-01-03 Taiyo Nippon Sanso Corporation Method of remedying deterioration of insulating film
JP5100057B2 (ja) * 2006-08-18 2012-12-19 東京エレクトロン株式会社 半導体装置の製造方法
WO2008029800A1 (fr) 2006-09-07 2008-03-13 Tokyo Electron Limited Procédé de traitement de substrat et support de stockage
JP4999419B2 (ja) * 2006-10-12 2012-08-15 東京エレクトロン株式会社 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
JP4800235B2 (ja) 2007-02-14 2011-10-26 東京エレクトロン株式会社 処理方法
JP4555320B2 (ja) * 2007-06-15 2010-09-29 東京エレクトロン株式会社 低誘電率絶縁膜のダメージ回復方法及び半導体装置の製造方法
US7998873B2 (en) 2007-06-15 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating low-k dielectric and Cu interconnect
JP2009010043A (ja) * 2007-06-26 2009-01-15 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
JP4924245B2 (ja) 2007-07-02 2012-04-25 東京エレクトロン株式会社 半導体製造装置、半導体装置の製造方法及び記憶媒体
US20090061633A1 (en) * 2007-08-31 2009-03-05 Fujitsu Limited Method of manufacturing semiconductor device
US8282984B2 (en) 2007-12-03 2012-10-09 Tokyo Electron Limited Processing condition inspection and optimization method of damage recovery process, damage recovering system and storage medium
JP2009164198A (ja) * 2007-12-28 2009-07-23 Panasonic Corp 半導体装置の製造方法
JP2009170547A (ja) 2008-01-11 2009-07-30 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
JP5425404B2 (ja) * 2008-01-18 2014-02-26 東京エレクトロン株式会社 アモルファスカーボン膜の処理方法およびそれを用いた半導体装置の製造方法
JP4965478B2 (ja) * 2008-02-13 2012-07-04 大日本スクリーン製造株式会社 ポリマー除去方法
JP5342811B2 (ja) * 2008-06-09 2013-11-13 東京エレクトロン株式会社 半導体装置の製造方法
FR2934051B1 (fr) * 2008-07-16 2011-12-09 Commissariat Energie Atomique Detecteur d'humidite capacitif a dielectrique hydrophile nanoporeux
JP4934117B2 (ja) 2008-09-03 2012-05-16 東京エレクトロン株式会社 ガス処理装置、ガス処理方法、および記憶媒体
JP4708465B2 (ja) * 2008-10-21 2011-06-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
KR101350020B1 (ko) * 2008-12-08 2014-01-13 후지쯔 가부시끼가이샤 반도체 장치의 제조방법
KR20110125651A (ko) * 2009-03-10 2011-11-21 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 저 유전상수 실릴화를 위한 시클릭 아미노 화합물
US20100285667A1 (en) * 2009-05-06 2010-11-11 International Business Machines Corporation Method to preserve the critical dimension (cd) of an interconnect structure
JP5261291B2 (ja) 2009-06-01 2013-08-14 東京エレクトロン株式会社 処理方法および記憶媒体
CN102237296A (zh) * 2010-04-29 2011-11-09 中芯国际集成电路制造(上海)有限公司 通孔刻蚀方法
JP2012015197A (ja) * 2010-06-29 2012-01-19 Tokyo Electron Ltd 半導体装置の配線形成方法、半導体装置の製造方法および半導体装置の配線形成システム
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
JP5538128B2 (ja) 2010-08-09 2014-07-02 東京エレクトロン株式会社 排気方法およびガス処理装置
JP5782279B2 (ja) 2011-01-20 2015-09-24 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP5941623B2 (ja) 2011-03-25 2016-06-29 東京エレクトロン株式会社 処理方法および記憶媒体
JP6003011B2 (ja) 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
TWI473192B (zh) * 2011-10-07 2015-02-11 Macronix Int Co Ltd 具有側面保護之半導體晶圓製程方法及系統
JP5898549B2 (ja) * 2012-03-29 2016-04-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN103377996B (zh) * 2012-04-28 2016-04-20 中芯国际集成电路制造(上海)有限公司 形成双镶嵌结构的方法
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
TWI449802B (zh) * 2012-06-06 2014-08-21 Univ Nat Chiao Tung 掺碳氮化矽薄膜及其製造方法與裝置
TWI581331B (zh) * 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
CN104360691B (zh) * 2014-11-17 2017-03-01 上海华力微电子有限公司 一种接触孔关键尺寸的控制方法
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
JP6986317B2 (ja) * 2017-12-05 2021-12-22 株式会社アドテックエンジニアリング マスクユニット及び露光装置
JP6806721B2 (ja) * 2018-02-20 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システムおよびプログラム
JP2019192892A (ja) 2018-04-18 2019-10-31 東京エレクトロン株式会社 処理システムおよび処理方法
US11004710B2 (en) * 2019-06-04 2021-05-11 Applied Materials, Inc. Wafer placement error detection based on measuring a current through an electrostatic chuck and solution for intervention
CN111063616A (zh) * 2019-12-30 2020-04-24 广州粤芯半导体技术有限公司 沟槽的形成方法及刻蚀设备
US20230112873A1 (en) * 2021-10-08 2023-04-13 Applied Materials, Inc. Integrated preclean-deposition system for optical films

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04302424A (ja) * 1991-03-29 1992-10-26 Casio Comput Co Ltd パターン形成方法
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
TW353775B (en) * 1996-11-27 1999-03-01 Tokyo Electron Ltd Production of semiconductor device
JPH10265975A (ja) * 1997-03-25 1998-10-06 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
TWI222426B (en) * 1998-04-01 2004-10-21 Asahi Kasei Corp Method for producing a circuit structure
JP2000031081A (ja) * 1998-07-09 2000-01-28 Toshiba Corp 半導体装置の製造方法
JP3585384B2 (ja) * 1998-12-22 2004-11-04 松下電器産業株式会社 半導体装置の製造方法
JP2000347406A (ja) * 1999-06-02 2000-12-15 Semiconductor Leading Edge Technologies Inc レジストパターン形成方法及び半導体装置の製造方法
JP2001118842A (ja) * 1999-10-15 2001-04-27 Nec Corp 半導体装置とその製造方法
EP1094506A3 (en) * 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP4002704B2 (ja) * 1999-12-14 2007-11-07 松下電器産業株式会社 半導体装置の製造方法
JP3803523B2 (ja) * 1999-12-28 2006-08-02 株式会社東芝 ドライエッチング方法及び半導体装置の製造方法
JP2002093683A (ja) * 2000-09-14 2002-03-29 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造方法
US6576562B2 (en) * 2000-12-15 2003-06-10 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device using mask pattern having high etching resistance
JP2003092287A (ja) * 2001-09-19 2003-03-28 Nec Corp アッシング方法
US7541200B1 (en) * 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
US7083991B2 (en) * 2002-01-24 2006-08-01 Novellus Systems, Inc. Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
JP2003282698A (ja) * 2002-03-22 2003-10-03 Sony Corp 半導体装置の製造方法および半導体装置
JP3516446B2 (ja) 2002-04-26 2004-04-05 東京応化工業株式会社 ホトレジスト剥離方法
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
JP4312630B2 (ja) * 2004-03-02 2009-08-12 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7396769B2 (en) * 2004-08-02 2008-07-08 Lam Research Corporation Method for stripping photoresist from etched wafer
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
JP5019741B2 (ja) * 2005-11-30 2012-09-05 東京エレクトロン株式会社 半導体装置の製造方法および基板処理システム
WO2008029800A1 (fr) * 2006-09-07 2008-03-13 Tokyo Electron Limited Procédé de traitement de substrat et support de stockage
JP4999419B2 (ja) * 2006-10-12 2012-08-15 東京エレクトロン株式会社 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
US8481423B2 (en) * 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics

Also Published As

Publication number Publication date
TWI430397B (zh) 2014-03-11
JP5057647B2 (ja) 2012-10-24
WO2006003948A1 (ja) 2006-01-12
TW200945494A (en) 2009-11-01
JP2006049798A (ja) 2006-02-16
US20120034779A1 (en) 2012-02-09
US20080057728A1 (en) 2008-03-06
TW200605266A (en) 2006-02-01
US8075730B2 (en) 2011-12-13

Similar Documents

Publication Publication Date Title
TWI345287B (zh)
TWI375271B (zh)
JP4708465B2 (ja) 半導体装置の製造方法及び半導体装置の製造装置
TWI317160B (zh)
JP5100057B2 (ja) 半導体装置の製造方法
US8026150B2 (en) Semiconductor device manufacturing method and storage medium
US8187981B2 (en) Substrate processing method, substrate processing system, and computer-readable storage medium
US20090001046A1 (en) Substrate processing method, substrate processing apparatus and recording medium
JP4800235B2 (ja) 処理方法
US8058153B2 (en) Method for recovering damage of low dielectric insulating film for manufacturing semiconductor device
JP2010027786A (ja) 基板処理方法、基板処理装置および記憶媒体
US8870164B2 (en) Substrate processing method and storage medium
JP2012195613A (ja) 基板処理方法および記憶媒体
JP2010287655A (ja) 半導体装置の製造方法
JP5247999B2 (ja) 基板処理方法およびコンピュータ読取可能な記憶媒体
KR100870806B1 (ko) 반도체 디바이스의 제조 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees