TWI331790B - High performance strained cmos devices adn the method thereof - Google Patents

High performance strained cmos devices adn the method thereof Download PDF

Info

Publication number
TWI331790B
TWI331790B TW093130000A TW93130000A TWI331790B TW I331790 B TWI331790 B TW I331790B TW 093130000 A TW093130000 A TW 093130000A TW 93130000 A TW93130000 A TW 93130000A TW I331790 B TWI331790 B TW I331790B
Authority
TW
Taiwan
Prior art keywords
layer
shallow trench
trench isolation
isolation layer
effect transistor
Prior art date
Application number
TW093130000A
Other languages
English (en)
Other versions
TW200520160A (en
Inventor
Bruce B Doris
Oleg G Gluschenkov
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200520160A publication Critical patent/TW200520160A/zh
Application granted granted Critical
Publication of TWI331790B publication Critical patent/TWI331790B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Description

1331790 九、發明說明: 【發明所屬之技術領域】 本發明大體上係關於一種半導體裝置及製造方法,且更 特疋s之’係關於一種互補金屬氧化物半導體(CMOS)裝 置’該裝置包含懸垂於界面上之淺溝隔離層(STI),從而防 止裝置中之氧化致壓縮應力。 【先前技術】
可使用各種製程技術製造CMOS裝置。一種方法需要於 鬆他矽鍺(SiGe)層上製造應變矽(Si)層。當鍺(Ge)濃度增加 時’ Si晶格中之應變(strain)增加。此較明顯,因為該應變 影響性能(例如’電子及電洞移動性)。儘管應變可改良η通 道場效電晶體(nFET)中的電子移動性,但ρ通道場效電晶 體(p-FET)中之性能改良(意即,電洞移動性之增強)較困 難。pFET中的電洞移動性最初於少量拉伸應變下表現出輕 微降級’但隨更高應變而線性增加。 在相對於電流之縱向上施加的壓縮應力可導致電洞移動 性顯著增加,但亦可降級電子移動性。通常用於CM〇s製 造中的用以隔離離散組件以避免干擾之淺溝隔離(STI)製程 易受到由氧化造成之體積膨脹致應力(v〇lume eXpansi〇n induced stress)之影響。此應力可極大地影響性能,諸如 不利地降低nFET電子移動性。 詳言之’鄰接於STI之垂直部分之si易受到氧化致應力 (oxidation induced stress)。Si可在閘極氧化或對閘極堆疊 之再氧化期間被氧化。由於使用了多次閘極氧化(此在製 96247-990601.doc 造咼性能邏輯電路中係常見的),該氧化部分可展現顯著 增加之厚度。此增加之厚度於矽活性區域中誘發應力,其 可影響性能’諸如不利地降低nFET電子移動性。 當應力源靠近電晶體閘極時,該氧化致壓縮應力對性能 之景> 響被放大。現代CMOS晶片在一通常石夕基板中具有數 百萬緊鄰著的主動裝置。隨著小型化及於單一基板上併入 更多主動裝置之努力繼續’該等應力源越來越可能足夠靠 近而對性能造成可觀的影響。 本發明係針對克服如上所述的該等一或多個問題。 【發明内容】 在本發明之第一態樣中,於一基板上形成一半導體結 構,其包括至少一淺溝隔離層,該淺溝隔離層具有至少一 懸垂體,該懸垂體經選擇性地組態以防止基板之確定部分 中的氧化致應力》 在本發明之另一態樣中,於一基板上形成一半導體結 構❶該結構包括一第一場效電晶體,其具有一源極、—汲 極、一閘極及自源極至汲極之電流流向。該結構亦包括— 用於該第一場效電晶體之第一淺溝隔離層。該第—淺溝隔 離層具有至少一懸垂體,該懸垂體經組態以於平行於第— 場效電晶體之電流流向之方向中防止氧化致應力。 在本發明之另一態樣中,提供一形成半導體結構之製 耘。該製程需要形成一第一淺溝隔離層。該淺溝隔離層具 有至少一懸垂體’該懸垂體經組態以於一第一確定方向中 防止氧化致應力。在該製程中,形成包括一矽層、一在該 96247-990601.doc 1331790 石夕層上之二氧切層及-在該二氧化㈣上之氮化㈣之 結構。將該氮切層、二氧切層切基板之—部分钱刻 以形成-溝槽。㈣氮切層在該溝槽中之側壁部分以產 生氮化石夕層之相對於該溝槽之凹陷。在該溝槽中形成一淺 溝隔離層’其於實施例中具有—懸垂體。 【實施方式】 本發明使用氮化石夕後撤製程(pull_back p_ssm 一遮罩之組合,該遮罩用於防止在某些或所有pFET裝置之 縱向組件(longitudinal component)令執行Μ#4後撤。所得 之半‘體結構具有懸垂結構(本文稱為懸垂體、Si〇2懸垂 體及STI懸垂體),對於nFET裝置而言,該等懸垂結構存在 於電流流向及橫切電流流向之方向中。為增強歸因於壓縮 應力之性能,對於pFET裝置而言,在平行於電流流向之方 向中不存在二氧化矽(Si〇2)懸垂體。 然而,對於pFET裝置而言,該結構於橫切電流流向之 方向中具有Si〇2懸垂體,以避免來自壓縮應力之性能降 級。該等懸垂結構藉由阻斷對下層Si之接觸而防止氧化。 若無懸垂體,在鄰接於淺溝隔離層之垂直Si_si〇2界面處的 Si將易受氧化及伴隨的體積膨脹之影響,尤其是在閘極氧 化及再氧化加工步驟期間。咸信所得之半導體結構能在很 少或無nFET性能降級之情況下得到pFET性能改良。此 外’可使用標準故障分析技術’諸如掃描式電子顯微術 (SEM)來偵測懸垂結構》 參看圖1,提供了矽基板110、襯墊二氧化矽(Si〇2)層12〇 96247-990601.doc 1331790 及襯堅氣化碎(Si: ,八J ,。 峨π丞板可為々 晶圓、形成於石夕晶圓上之蟲θ展+ 姑日日層或絕緣物上矽(S〇 板。二氧化矽層120厚度可A約9 了 又』馮力2 11„1至7〇 nm ’且可 竹 積或生長於晶圓上。舉例而言, 八礼 J稭由熱氧化或低壓化學 氣相沉積(LPCVD)來形成該二氧化矽層接著,可藉 由LPCVD來沉積在約10⑽至彻⑽範圍内之氮化心 130。
現在參看圖2’隨後可執行—光微影製程以在氮化石夕岸 13〇之上ffi案化光阻影像21"著可如此項技術中熟知 的,使用乾式或濕式蝕刻製程(例如,藉由將經圖案化光 阻用作遮罩之反應性離子蝕刻)來蝕刻出相反圖案以形成 溝槽結構。
現在參看圖3,可執行乾式或濕式蝕刻製程以蝕刻未被 光阻衫像210覆盖之氮化妙層13〇、二氧化碎層i2〇及一定 量的矽基板110。以此方式’穿過矽基板11()、二氧化矽層 120及氮化矽層130形成溝槽310及320。 接著,參看圖4,可用Si02將溝槽310及320填滿,諸如 藉由使用(例如)化學氣相沉積(CVD)或電漿CVD製程將 Si〇2沉積於基板表面之上。接著可使用(例如)反應性離子 蝕刻(RIE)、化學機械研磨或其組合將所沉積的Si02平坦 化。以此方式,形成淺溝隔離層4 10及420。該等淺溝隔離 層410及420不展現懸垂體。 為形成具有懸垂體之淺溝隔離層,在沉積Si〇2以填滿溝 槽前,蝕刻氮化矽層130之部分,以使其相對溝槽側壁後 96247-990601.doc -9- 1331790 退或後撤,如圖5中所示。舉例而言,可藉由在氧化物沉 積及化學機械研磨之前將諸如經甘油酸鹽緩衝之氫氟酸之 触刻劑引入溝槽310及320中,來蝕刻(意即,“後撤,,)氮化 矽層130。在此步驟中,待蝕刻的氮化矽之量將視併入本 發明之教示的整體製造過程而定。一般而言,該量應足以 允許形成可充分防止該裝置之確定部分中的氧化之懸垂 體。然而,該懸垂體不應干擾裝置上的其它結構。舉例而 言(但不限於此),延伸超過STI-基板之界面〇 〇1 4瓜至〇 5 μηι(微米)之懸垂體應足以防止氧化而不導致干擾。 為控制懸垂體形成之位置,可選擇性地應用一光阻或硬 遮罩以防止蝕刻劑(例如,經甘油酸鹽緩衝之氫氟酸)底切 (undercut)確定區域。由光阻或硬遮罩保護之區域將不展 現形成懸垂體所需之後撤。沉積並圖案化硬遮罩或光阻之 製程在半導體製造技術中為已知的。可接著在隨後加工步 驟中將硬遮罩或光阻移除。
接著,如圖5中所示,在沉積“〇2並平坦化(例如,RIE 或化學機械研磨)後,形成T形結構510及520。來自二氧化 矽層120之Si〇2部分122_128(圖6)在溝槽成型及後撤後可保 留。因為該等部分122-128包含與T形結構510及520相同的 材料,在隨後圖式中將不把該等部分與τ形結構進行區 分。 當將氮化矽層13〇自溝槽側壁後撤時,圖5之淺溝隔離層 結構分別形成τ形或臺階部分5〗〇&及52〇a(例如,嵌入於矽 基板110及一氧化矽層120中之狹窄部分),從而形成懸垂 96247-99060l.doc 1331790 於二氧化矽層120上之懸垂體。因此,此等淺溝隔離丁形結 構510及520之各別頂部水平部分或臺階部分51〇&及52〇&現 在懸垂於垂直Si-Si〇2界面620-626上且因此抑制了該等界 面之Si部分之氧化。從而,在通道區域中可防止氧化致壓 縮。 因此,後撤允許形成所沉積si〇2之懸垂體,藉此保護在
Si-Si〇2界面620-626處的受到覆蓋之si垂直部分使之不被 氧化。可藉由該懸垂體來抑制可使性能降級之氧化致應 力。包含nFET之CMOS電路可在平行於電流流向及橫切電 流流向之方向中具有具懸垂體之STI結構,以防止沿si_ s^2界面之si的氧化。相反,根據圖4,pFET裝置可在橫 切方向中具有懸垂體,但不在平行於電流流向之方向中具 有懸垂體。 以下在圖6中,藉由(例如)熱磷酸將氮化矽層13〇(圖y移 除。在此時,執行用於習知加工流程中的用以形成源極及 汲極區域之陳人。接著可使用定時之氫II酸㈣來準備 石夕表面以供問極氧化。藉由該㈣將二氧化碎層120、丁形 結構510及520厚度降低。 儘管已敍述例示性材料及STI懸垂體形成與半導體製造 過程’但本發明不限於此㈣ϋ不偏離本發明之範脅 前提下,可應用額外及不同之材料及製造步驟,包括不同 之蝕刻劑及後撤與懸垂體形成技術。 半導體中之每—主動裝置均可具有-包圍該裝置之淺溝 隔離層。通常該淺溝隔離層包括四個側邊。舉例而言(但 96247-990601.doc 1331790 不限於此),圖7提供一包圍一主動裝置之淺溝隔離層· 的俯視平面圖,該主動裝置包括—閘電極72g及—活性石夕 區域.該淺溝隔離層包括平行於電流流向的兩個側邊 740與750及橫切電流流向之兩個側邊76〇與77〇。此外該 等側邊可具有STI懸垂體或可無懸垂體。平行於電流流向 之側邊740及/或750上的懸垂體係平行於電流流向之懸垂
體。橫切電流流向之側邊760及/或77〇上的懸垂體係橫切 電流流向之懸垂體。 現在參看圖8,其中展示一例示性pFET裝置。該例示性 裝置包括一淺溝隔離層,該淺溝隔離層具有在平行於電流 流向之方向中無懸垂體之側邊。對於pFET,可在橫切方向 上提供STI懸垂體。該主動裝置可包括習知閘極介電質 845、一閘電極830及側壁間隔片82〇與835,其皆以習知方 式形成°亦可提供用於源極與汲極接觸之矽化物(例如,
TiSi2、TaSi-2或 MoSi2)810與 840。 現在參看圖9,其中展示一例示性nFET裝置。該裝置包 括一淺溝隔離層,該淺溝隔離層具有在平行於電流流向之 方向上有懸垂體之側邊T形結構51 〇及520。該主動裝置可 包括習知閘極介電質945、一閘電極93〇及側壁間隔片920 與940。亦可提供用於源極與汲極接觸之矽化物(例如, TiSi2、TaSi2或MoSi2)910與950。該等懸垂體在接近垂直 Si-Si〇2界面處防止氧化致鳥喙構造(bird’s beak formation)。因此避免了會降級nFET之電子移動性之氧化 致壓縮應力。 •12· 96247-990601.doc 1331790 現在參看圖10,根據本發明一例示性實施例之PFET裝 置的平行於閘電極930所見之橫截面圖展示了在橫切電流 流向之方向上的STI懸垂體1〇1〇與1〇2〇。STI懸垂體1〇1〇與 1020在橫切方向上防止氧化致壓縮應力構造(例如,鳥缘 構造)’從而防止電洞移動性之降級。可使用與用以形成 在平行於電流流向之方向上的STI懸垂體之技術相同的技 術(例如’氮化矽後撤製程)形成在橫切方向上的STI懸垂 體。 類似地,圖Π提供一例示性nFET裝置之平行於閘電極 830所見之橫截面圖,其展示在橫切電流流向之方向上的 STI懸垂體111〇與1120。STI懸垂體111〇與112〇在橫切方向 上防止氧化致壓縮應力構造,從而防止電子移動性之降 級。再一次地,可使用與用以形成在平行於電流流向之方 向上的STI懸垂體之技術相同的技術(例如,氮化矽後撤製 程)形成在橫切方向上的STI懸垂體。 應力效應與距主動裝置之距離呈相反關係。氧化致壓縮 應力之源距主動裝置越近,對性能之影響越大。反之,場 效電晶體閘極與氧化致壓縮應力之源(例如,一鳥喙構造) 之間的距離越大,對性能之影響越難察覺。此外,與一確 定的主動結構(例如,一電晶體閘極之最近邊緣)之距離較 -確定距離更大之氧化致壓縮應力源(例如,鳥f構造)不 會對該結構之性能(例如,電子或電洞移動性)產生可觀的 影響。因&,在本發明一實施例中,若STI與確定的結構 之間的距離小於或等於該碟定的距離,則可實施STI懸垂 96247-990601.doc 13 1331790 體。該確定的距離可視可影響所誘發應力之量值的因素而 定變化。舉例而言,該等因素可包括:隔離層、介電質及 矽基板之間的熱失配;氮化物遮罩之本質應力;以及製造 步驟及條件。因為超出該距離之應力源不會對性能產生可 觀之影響,所以在該種情況下對於降低應力目的而言不一 定需要形成STI懸垂體。舉例而言(但不限於此),自nFET 或pFET閘極之最近邊緣相距5 · Ο μιη(微米)或更大之距離可 足以避免性能降級。
因此可選擇性地組態根據一例示性實施之STI懸垂結構 以防止鳥喙構造,其中由該等構造導致之壓縮應力會使性 能降級。諸如對裝置類型(例如,nFET或pFET)、距主動裝 置之距離及電流流向之考量可影響是否應形成懸垂體及該 懸垂體之配置。儘管對於nFET而言,可在平行於電流流向 及橫切電流流向之兩方向上均提供STI懸垂體;pFET可在 橫切方向中具有STI懸垂體,但在實施例中不應在平行方 向上具有懸垂體。該選擇性的組態可進一步考慮到STI與 主動裝置間之距離(例如,STI與附近閘極間之距離)。若該 距離防止鄰接於STI之鳥喙構造對性能產生任何可觀的影 響,則可將懸垂體省去。 儘管已根據例示性實施例描述本發明,熟習此項技術者 將瞭解本發明可在經修改後實行且在附加之申請專利範圍 之精神及範疇中。 【圖式簡單說明】 圖1展示用於根據本發明之一例示性實施來使用之矽基 96247-990601.doc •14- 1331790 板,其具有氧化物層及氮化物層; 圖2展示用於根據本發明之一例示性實施來使用之矽基 板,其於氮化物表面上具有圖案化之光阻影像; 圖3展示用於根據本發明之一例示性實施來使用之具有 溝槽之經蝕刻半導體結構; 圖4展示用於根據本發明之一例示性實施來使用之具有 淺溝隔離層之半導體結構;
圖5展示用於根據本發明之一例示性實施來使用之半導 體結構,其具有具懸垂體之淺溝隔離層; 圖6展示一在移除氮化物層並減小氧化物厚度後之半導 體結構,其具有具懸垂體之淺溝隔離層; 圖7展示由淺溝隔離層包圍之主動裝置(例如,一 ηρΈΤ或 pFET)的俯視圖; 圖8展示根據本發明之一例示性實施例之pFET裝置; 圖9展示根據本發明之一例示性實施例之nFET裝置;
圖1 〇展示於橫切電流流向之方向中具有STI懸垂體的例 示丨生pFET裝置的平行於閘極(paraiiei_t〇_gate)所見之視 圖;及 圖11展示於橫切電流流向之方向中具有STI懸垂體的 nFET裝置的平行於閘極所見之視圖。 【主要元件符號說明】 矽基板 二氧化>5夕層 二氧化矽部分 110 120 122, 124, 126, 128 96247-990601.doc 1331790
130 氮化矽層 210 光阻影像 310, 320 溝槽 410, 420 淺溝隔離層 510, 520 T形結構 510a ,520a 臺階部分 620, 622, 624, 626 Si-Si02 界面 710 淺溝隔離層 720 閘電極 730 活性矽區域 740, 750, 760, 770 側邊 810, 840 矽化物 820, 835 側壁間隔片 830 閘電極 845 閘極介電質 910, 950 矽化物 920, 940 側壁間隔片 930 閘電極 945 閘極介電質 1010, 1020 STI懸垂體 1110 ,1120 STI懸垂體 96247-990601.doc -16-

Claims (1)

1331790 十、申請專利範圍: 1. 一種形成於一基板上之半導體結構,其包含: 一 η通道場效電晶體’其具有一源極、一汲極、一閘 極及自該源極至該汲極之一電流流向; 一用於該η通道場效電晶體之第一淺溝隔離層,其包 .含一第一淺溝隔離層側邊,該第一淺溝隔離層側邊具有 至少一懸垂體,其經組態成在一平行該η通道場效電晶 體之該電流流向的方向上防止氧化致應力,
該用於該η通道場效電晶體之第一淺溝隔離層進一步 包含一第二淺溝隔離層測邊,其橫切該第一淺溝隔離層 側邊並具有至少一懸垂體,其經組態成在一橫切該η通 道場效電晶體之該電流流向之方向上防止氧化致應力; 一 ρ通道場效電晶體,其具有一源極、一汲極、一閘 極及自該源極至該汲極之一電流流向;
一用於該ρ通道場效電晶體之第二淺溝隔離層,其具 有一第三淺溝隔離層側邊,該第三淺溝隔離層側邊不具 有一垂懸體;及 該用於該ρ通道場效電晶體之第二淺溝隔離層進一步 具有一第四淺溝隔離層側邊,該第四淺溝隔離層側邊橫 切該第三淺溝隔離層側邊並具有至少一懸垂體,其經組 態成橫切該ρ通道場效電晶體之該電流流向之方向上防 止氧化致應力。 2.如請求項1之半導體結構,其中組態成在一橫切該電流 流向之方向上防止氧化致應力的該懸垂體防止了電洞移 96247-990601.doc 動性之—降級。 3. 如請求項1之半導體結構,其中: 自該η通道場效電晶體之該閘極至用於該n通道場效電 晶體之該第一淺溝隔離層之該第一淺溝隔離層側邊的距 離係小於或等於一距離,當在該距離内時鄰接於該第一 淺溝隔離層側邊之氧化致應力會影響該η通道場效電晶 體之性能,及 自該η通道場效電晶體之該閘極至用於該η通道場效電 晶體之該第一淺溝隔離層之該第二淺溝隔離層側邊的距 離係小於或等於一距離,當在該距離内時鄰接於該第二 淺溝隔離層側邊之氧化致應力會影響該η通道場效電晶 體之性能。 4. 如請求項1之半導體結構,其中自該ρ通道場效電晶體之 該閘極至用於該ρ通道場效電晶體之該第二淺溝隔離層 之該第四淺溝隔離層側邊的距離係小於或等於一距離, 當在該距離内時鄰接於該第四淺溝隔離層側邊之氧化致 應力會影響該ρ通道場效電晶體之性能。 5. 如請求項4之半導體結構,其中自該ρ通道場效電晶體之 該閘極至該第四淺溝隔離層側邊的距離係小於或等於約 5·0微米d 6. 如請求項3之半導體結構,其中自該^通道場效電晶體之 該閘極至該第一淺溝隔離層側邊的距離係小於或等於約 5 · 0微米。 7· 一種形成一半導體結構之製程,其包括: 96247-990601.doc 1331790 形成一結構,該結構包括一矽層、一在該矽層上之二 氧化矽層及一在該二氧化矽層上之氮化矽層; 基於該淺溝隔離層與一主動裝置之間之一決定距離在 2結構上形成1溝隔離層,該淺溝隔離層經形成以具有 -第-淺溝隔離層側邊,其具有至少一懸垂體,於一第一 =向,選擇性地經組態成防止鳥琢構造,其中該鳥琢構造 造成之壓縮應力會基於該決定距離降低裝置性能,及當該 決定距離防止該鳥琢構造時,一第二淺溝隔離層侧邊橫= 該第淺溝隔離層側邊且不具有一懸垂體。 8.如。月求項7之製程,纟中形成該淺溝隔離層之該步驟包 括: 蝕刻該氮化矽層、該二氧化矽層及該矽層之一部分以 形成一溝槽; 蝕刻該氡化矽層在該溝槽中之側壁部分以產生該氮化 矽層之一相對於該溝槽之凹陷,用於該第一淺溝隔離層 側邊;及 將二氧化矽沉積入該溝槽及凹陷中以形成具有一第一 淺溝隔離層側邊之該淺溝隔離層,該第一淺溝隔離層側 邊具有一懸垂體。 9. 10.
如請求項8之製程’其進一步包括一形成一場效電晶體 之步驟,該場效電晶體具有一源極、一汲極、一閘極及 一自該源極至該汲極之電流流向。 如明求項9之製程,其中自該場效電晶體之該閘極至該
側邊之距離係小於或等於一距離 當在 96247-99060l.doc 11. 11. 之氧化致應力 該距離内時鄰接於該第— ^ 戈溝隔離層側邊 會影響該場效電晶體之性能。 化石夕層於該石 夕層 一種形成一 pFET結構之製程,其包含: 形成一結構,其包含—矽層、一二氧 上及一氮化矽層於該二氧化矽層上;及 形成一淺溝隔離層,其具有-第-淺溝隔離層側邊且 有-懸垂體於财層之—部分及—橫切方向中之該二氧 匕夕層之上以防止一鳥0豕構造,及一第二淺溝隔離層 侧邊在平行於-電流流向之方向不具有一懸垂體。 12.如睛求項11之製程’進—步包含於該淺溝隔離層形成後 使用熱磷酸移除該氮化矽層。 13. —種形成一裝置之方法,其包含: 於一矽層上形成一二氧化矽層; 藉由下列步驟形成具有—懸垂體之至少一淺溝隔離 層: 使用一乾或濕蝕刻製程蝕刻該氮化矽層、該二氧化 矽層與該矽層之一部分以形成該至少一淺溝隔離層; 餘刻該氮化矽層之部分進一步後引發該氮化矽層相 對該至少一淺溝隔離層之侧邊牆足夠縮減以防止該裝 置之決定部分氧化; 以二氧化矽填充該至少一淺溝隔離層及縮減區域; 平坦化該二氧化矽之一表面;及 蝕刻氮化矽層之選擇部分, 其中該懸垂體之該形成係藉由選擇性地實施一光阻或 96247-990601.doc -4 - 1331790 硬遮罩來控制以在該蝕刻部分步驟期間防止蝕刻劑破壞 決定區域,以致於由該光阻或該硬遮罩所保護之區域不 會發生該懸垂體之形成所需之後撤,及 其中該懸垂體,於一第一方向,係選擇性地經組態以 防止鳥啄構造,其中藉由該鳥啄構造之壓縮應力會降低 裝置性能。 14. 15. 16. 17. 18. 19. 20. 21. 22. 如请求項13之方法,其中該懸垂體不影響該裝置之其他 構造。 如凊求項13之方法,其中該懸垂體延伸超過一 sti基板 φ 介面0.01至0.5微米。 如凊求項13之方法,其中該二氧化碎層係由化學氣相沉 積(CVD)或電漿CVD製程沈積。 如请求項16之方法,其中該二氧化石夕層係使用反應性離 子蝕刻(RIE)、化學機械研磨或其組合平坦化。 如:求項13之方法,其中該二氧化矽層係約2微米至7〇 微米及該氮化矽層係約丨〇至400微米。 如。月求項13之方法,其中該至少__淺溝隔離層結構係—籲 T形狀或一臺階部分。 如明求項19之方法,其中該臺階部分懸垂一垂直矽-二氧 化矽介面,藉由其抑制該介面之該矽之氧化。 月长項13之方法,其中該懸垂體藉由阻斷該石夕層之接 觸以防止氧化。 一種形成一裝置之方法,其包含: 於一矽層上形成一二氧化矽層; 96247-99060l.doc 1331790 於該二氧化發層上形成一氮化矽層; 藉由下列步驟形成具有-懸垂體之至少-淺溝隔離 層: 使用一乾或濕蝕刻製程蝕刻該氳化矽層、該二氧化 石夕層及該石夕層之一部分以形成該至少-淺溝隔離層; 银刻該氮化石夕層之部分進一步後引發㉟氮化石夕層以 相對該至j 一淺溝隔離層之侧邊牆足夠縮減以防止該 裝置之一決定部分之氧化; 以二氧化矽填充該至少一淺溝隔離層及縮減區域; 平坦化該二氧化矽之一表面;及 触刻該氮化矽層之選擇部分, 其中該懸垂體之該形成係由選擇牲地實施一光阻或 硬遮罩控制以在該餘刻部分步驟期間防止蝕刻劑破壞 決定區域’以致於由該光阻或硬遮罩保護之區域不會 發生該懸垂體之形成所需之後撤,及 其中用於nFET裝置之該懸垂體橫切電流流向之方 向。 23.如請求項22之方法’其中在平行於用於pFET裝置之電流 流向之方向沒有懸垂體形成。 96247-990601.doc -6-
TW093130000A 2003-10-16 2004-10-04 High performance strained cmos devices adn the method thereof TWI331790B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/605,672 US7119403B2 (en) 2003-10-16 2003-10-16 High performance strained CMOS devices

Publications (2)

Publication Number Publication Date
TW200520160A TW200520160A (en) 2005-06-16
TWI331790B true TWI331790B (en) 2010-10-11

Family

ID=34465414

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093130000A TWI331790B (en) 2003-10-16 2004-10-04 High performance strained cmos devices adn the method thereof

Country Status (7)

Country Link
US (3) US7119403B2 (zh)
EP (1) EP1678753B1 (zh)
JP (1) JP4953818B2 (zh)
KR (1) KR100843653B1 (zh)
CN (1) CN100530589C (zh)
TW (1) TWI331790B (zh)
WO (1) WO2005038875A2 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7029964B2 (en) 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7381609B2 (en) * 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7202132B2 (en) * 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7118999B2 (en) * 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US7224033B2 (en) 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7691698B2 (en) 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US8035168B2 (en) * 2006-02-27 2011-10-11 Synopsys, Inc. Elevation of transistor channels to reduce impact of shallow trench isolation on transistor performance
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7521307B2 (en) 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US8853746B2 (en) 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) * 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
US20080237733A1 (en) * 2007-03-27 2008-10-02 International Business Machines Corporation Structure and method to enhance channel stress by using optimized sti stress and nitride capping layer stress
US7615435B2 (en) * 2007-07-31 2009-11-10 International Business Machines Corporation Semiconductor device and method of manufacture
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US7858482B2 (en) * 2008-03-31 2010-12-28 Freescale Semiconductor, Inc. Method of forming a semiconductor device using stress memorization
ES2685777T3 (es) 2009-10-14 2018-10-11 Xyleco, Inc. Productos para el marcado de papel
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
US8623713B2 (en) * 2011-09-15 2014-01-07 International Business Machines Corporation Trench isolation structure
US20140264444A1 (en) * 2013-03-13 2014-09-18 International Business Machines Corporation Stress-enhancing selective epitaxial deposition of embedded source and drain regions
US10410453B2 (en) 2014-07-08 2019-09-10 Xyleco, Inc. Marking plastic-based products
US11022886B2 (en) * 2017-05-17 2021-06-01 Taiwan Semiconductor Manufacturing Co,, Ltd. Bottom-up material formation for planarization

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
JPS5780733A (en) 1980-11-07 1982-05-20 Toshiba Corp Manufacture of semiconductor device
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
JPH07120703B2 (ja) * 1987-01-27 1995-12-20 松下電器産業株式会社 半導体装置の製造方法
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
JPH0387045A (ja) * 1989-06-14 1991-04-11 Fujitsu Ltd 半導体装置及びその製造方法
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JPH0637178A (ja) * 1992-07-17 1994-02-10 Toshiba Corp 半導体装置の製造方法
JPH07193121A (ja) * 1993-12-27 1995-07-28 Toshiba Corp 半導体装置の製造方法
US5395790A (en) * 1994-05-11 1995-03-07 United Microelectronics Corp. Stress-free isolation layer
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
JPH08172124A (ja) * 1994-12-20 1996-07-02 Mitsubishi Electric Corp 半導体装置とその製造方法
US5539229A (en) * 1994-12-28 1996-07-23 International Business Machines Corporation MOSFET with raised STI isolation self-aligned to the gate stack
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) * 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US6501048B1 (en) * 1996-06-12 2002-12-31 Seagate Technology Llc Slider having thermally applied tensile stress for curvature control and method of applying tensile stress
US5834358A (en) * 1996-11-12 1998-11-10 Micron Technology, Inc. Isolation regions and methods of forming isolation regions
US6114741A (en) * 1996-12-13 2000-09-05 Texas Instruments Incorporated Trench isolation of a CMOS structure
US6322634B1 (en) * 1997-01-27 2001-11-27 Micron Technology, Inc. Shallow trench isolation structure without corner exposure
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6097076A (en) * 1997-03-25 2000-08-01 Micron Technology, Inc. Self-aligned isolation trench
JPH10303291A (ja) * 1997-04-25 1998-11-13 Nippon Steel Corp 半導体装置及びその製造方法
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US5981356A (en) * 1997-07-28 1999-11-09 Integrated Device Technology, Inc. Isolation trenches with protected corners
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
TW389982B (en) * 1998-01-26 2000-05-11 United Microelectronics Corp Method of manufacturing shallow trench isolation
US6153478A (en) * 1998-01-28 2000-11-28 United Microelectronics Corp. STI process for eliminating kink effect
US5976948A (en) * 1998-02-19 1999-11-02 Advanced Micro Devices Process for forming an isolation region with trench cap
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6080637A (en) * 1998-12-07 2000-06-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation technology to eliminate a kink effect
TW406350B (en) * 1998-12-07 2000-09-21 United Microelectronics Corp Method for manufacturing the shallow trench isolation area
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
JP4270633B2 (ja) 1999-03-15 2009-06-03 株式会社東芝 半導体装置及び不揮発性半導体記憶装置の製造方法
US6316815B1 (en) * 1999-03-26 2001-11-13 Vanguard International Semiconductor Corporation Structure for isolating integrated circuits in semiconductor substrate and method for making it
US6093621A (en) * 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6271143B1 (en) * 1999-05-06 2001-08-07 Motorola, Inc. Method for preventing trench fill erosion
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) * 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
JP2001189379A (ja) * 1999-12-27 2001-07-10 Sanyo Electric Co Ltd 半導体装置及びその製造方法
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6417072B2 (en) * 2000-02-10 2002-07-09 International Business Machines Corporation Method of forming STI oxide regions and alignment marks in a semiconductor structure with one masking step
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6271147B1 (en) * 2000-08-18 2001-08-07 Vanguard International Semiconductor Corporation Methods of forming trench isolation regions using spin-on material
US6368973B1 (en) * 2000-09-25 2002-04-09 Vanguard International Semiconductor Corp. Method of manufacturing a shallow trench isolation structure
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
CN1367526A (zh) 2001-01-23 2002-09-04 联华电子股份有限公司 一种于一硅覆绝缘层上形成掺杂区的方法
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6583060B2 (en) * 2001-07-13 2003-06-24 Micron Technology, Inc. Dual depth trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
KR100387531B1 (ko) * 2001-07-30 2003-06-18 삼성전자주식회사 반도체소자 제조방법
US6566225B2 (en) * 2001-08-06 2003-05-20 Macronix International Co., Ltd. Formation method of shallow trench isolation
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
JP4173658B2 (ja) * 2001-11-26 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP2003179157A (ja) * 2001-12-10 2003-06-27 Nec Corp Mos型半導体装置
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
JP3997089B2 (ja) * 2002-01-10 2007-10-24 株式会社ルネサステクノロジ 半導体装置
JP2003229496A (ja) * 2002-02-05 2003-08-15 Denso Corp 半導体装置の製造方法
US6885080B2 (en) * 2002-02-22 2005-04-26 International Business Machines Corporation Deep trench isolation of embedded DRAM for improved latch-up immunity
JP2003273207A (ja) * 2002-03-19 2003-09-26 Fujitsu Ltd 半導体装置の製造方法
US6621392B1 (en) * 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6974981B2 (en) * 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
JP2004281964A (ja) * 2003-03-19 2004-10-07 Toshiba Corp 半導体集積回路装置とその製造方法
US6887798B2 (en) * 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) * 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US6977194B2 (en) * 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US6955955B2 (en) * 2003-12-29 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. STI liner for SOI structure
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
KR100559553B1 (ko) * 2004-07-07 2006-03-10 동부아남반도체 주식회사 반도체 소자의 셸로우 트렌치 소자분리막 제조 방법
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods
US6972478B1 (en) 2005-03-07 2005-12-06 Advanced Micro Devices, Inc. Integrated circuit and method for its manufacture

Also Published As

Publication number Publication date
US20060270136A1 (en) 2006-11-30
EP1678753A2 (en) 2006-07-12
KR20060093712A (ko) 2006-08-25
US7119403B2 (en) 2006-10-10
US20050082634A1 (en) 2005-04-21
CN100530589C (zh) 2009-08-19
US7205207B2 (en) 2007-04-17
TW200520160A (en) 2005-06-16
EP1678753B1 (en) 2013-02-27
CN1860602A (zh) 2006-11-08
KR100843653B1 (ko) 2008-07-04
US20050148146A1 (en) 2005-07-07
US7847358B2 (en) 2010-12-07
WO2005038875A2 (en) 2005-04-28
JP4953818B2 (ja) 2012-06-13
EP1678753A4 (en) 2008-08-20
JP2007509492A (ja) 2007-04-12
WO2005038875A3 (en) 2005-08-25

Similar Documents

Publication Publication Date Title
TWI331790B (en) High performance strained cmos devices adn the method thereof
TWI438867B (zh) 具不同型式與厚度的閘極絕緣層之cmos裝置及其形成方法
JP5204645B2 (ja) 強化した応力伝送効率でコンタクト絶縁層を形成する技術
TWI287867B (en) Independently accessed double-gate and tri-gate transistors in same process flow
TWI417992B (zh) 用於形成具有不同特性之接觸絕緣層及矽化物區域之技術
JP5003515B2 (ja) 半導体装置
TWI446455B (zh) 在半導體裝置中藉由使用在雙應力襯層上方之額外層而獲得之n通道電晶體之增進的電晶體效能
TWI424566B (zh) 具有增加之臨限穩定性而沒有驅動電流降級之電晶體裝置及其製造方法
KR20020010508A (ko) 장치의 분리 영역 형성 이후에 규소의 선택적 에피택셜증착을 사용하는, 변형 규소 씨엠오에스 구조물의 제조 방법
TWI433264B (zh) 具有雙溝渠以最佳化應力效應之電晶體結構及其方法
US20070032037A1 (en) Method of forming SOI-like structure in a bulk semiconductor substrate using self-organized atomic migration
JP5781944B2 (ja) スレッショルド調節半導体合金を堆積させるのに先立ちパターニング不均一性を低減することによる前記半導体合金の厚みばらつきの低減
JP4629781B2 (ja) 電荷キャリア移動度修正のための回転剪断応力
TW201806075A (zh) 用於製作應變式絕緣體上半導體底材之方法
TW201246449A (en) Superior integrity of high-k metal gate stacks by capping STI regions
JP2008091536A (ja) 半導体装置及びその製造方法
TW201806074A (zh) 用於製作應變式絕緣體上半導體底材之方法
US8664057B2 (en) High-K metal gate electrode structures formed by early cap layer adaptation
FR3034254A1 (fr) Procede de realisation d'un substrat de type soi, en particulier fdsoi, adapte a des transistors ayant des dielectriques de grilles d'epaisseurs differentes, substrat et circuit integre correspondants
TWI506731B (zh) 對接觸等級之緊密間隔電晶體中的介電材料加以圖案化之具有縮減厚度的蝕刻終止層
US8269307B2 (en) Shallow trench isolation structure and method for forming the same
US7956417B2 (en) Method of reducing stacking faults through annealing
TW201428829A (zh) 半導體裝置之製造方法
TW201403702A (zh) 藉由在應力襯墊中實施額外清洗程序增加電晶體效能
WO2006080056A1 (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees