TWI292595B - Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same - Google Patents

Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same Download PDF

Info

Publication number
TWI292595B
TWI292595B TW092105989A TW92105989A TWI292595B TW I292595 B TWI292595 B TW I292595B TW 092105989 A TW092105989 A TW 092105989A TW 92105989 A TW92105989 A TW 92105989A TW I292595 B TWI292595 B TW I292595B
Authority
TW
Taiwan
Prior art keywords
layer
well structure
channel
transistor
forming
Prior art date
Application number
TW092105989A
Other languages
English (en)
Other versions
TW200400569A (en
Inventor
Wieczorek Karsten
Horstmann Manfred
Stephan Rolf
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of TW200400569A publication Critical patent/TW200400569A/zh
Application granted granted Critical
Publication of TWI292595B publication Critical patent/TWI292595B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

1292595 五、發明說明(1) 【發明所屬之技術領域】 本發明一般係關於製造積體電路之領域,並且尤其係 關於在該電晶體元件之通道區域中具有改良的退化摻雜分 佈之半導體元件,諸如場效電晶體,以及製造此類半導體 元件之方法。 【先前技術】 場效電晶體,諸如金氧半導體電晶體,代表其中一種 在現代積體電路中最常使用的電路元件。通常,大量的場 效電晶體同時形成於適當的基板上並且相互連接以提供該 電路所需的功能。通常,場效電晶體包括兩個高度摻雜的 半導體區域5 —般為碎材區域’該區域亦稱為〉及極及源極 區域,並且該區域為内嵌於輕微及反向摻雜的半導體區域 中,即該所謂的N井或P井,視欲形成之電晶體類型而定。 該汲極及源極區域以介入之通道區域而間隔分離,其中當 施加適當的電壓至閘極電極時傳導通道在該通道區域内形 成於該汲極及源極區域之間,該閘極電極通常形成於該通 道區域之上方並且藉由閘極絕緣層,通常為閘極氧化層, 與該通道區域分隔。 當個別的半導體元件之結構尺寸(f e a t u r e s i z e )持續 地減少時,例如,在該源極及汲極區域之間之距離(亦稱 為通道長度)在此方面表示關鍵尺寸,元件效能將增加。 然而,這些改變表示由製程工程師所待克服之新的挑戰以 便發展與該減少的結構尺寸相容之新的製程及技術,該結 構尺寸不會部分抵銷藉由減少該結構尺寸所獲得之改善。
92311. ptd 第 6 頁 1292595 五、發明說明(2) 例如,減少該 少以便該導電 地控制。形成 金氧半導體電 閘極絕緣層下 許南品質閘極 元件在整個操 對未受損的半 導體材料相對 〇 在現代元 然而,在某些 度下藉由增強 善該導電性。 分佈將受到考 摻雜原子可以 響下移動之散 化通道摻雜分 緣層至位在向 接近該閘極絕 低的散射中心 強。然而,退 中藉由參考第 第la圖顯 通道長度 通道之形 數奈米厚 晶體,因 方之該半 絕緣層之 作生命上 導體區域 光滑的介 件中該通 例子中, 在該通道 因此,在 量。如同 以電荷載 射中心而 佈可以使 下較深的 緣層處形 之濃度以 化通道摻 1 a至1 c圖 示半導體 通常 成仍 度之 此需 導體 形成 之南 允許 面接 道長 可能 區域 現代 眾所 子在 表不 用, 該通 成該 便在 雜分 及第 元件 需要該 然可由 閘極絕 要先進 區域中 ,諸如 程度的 閘極絕 合以便 閘極絕緣 該施加的 緣層,如 的製程技 之任何晶 氧化層, 可靠度。 緣層之形 電荷載子 層之厚 閘極電 同典型 術以減 格損害 而用於 再者, 成具有 之散射 度之減 壓充分 複雜的 少在該 以便允 確保該 只有相 與該半 事件減 度之減少導致改善的導電性。 需要在不過度地減少該通道長 中之載子移動率以更進一步改 元件中,所謂的退化通道摻雜 周知的,在該半導體晶格中之 該半導體區域中有效電場之影 。因此,在現代元件中,該退 亦即,摻雜之濃度從該閘極絕 道區域而增加,以便實質上在 傳導通道之電荷載子遭遇相對 該通道中之整體的導電性將增 佈非常難以獲得,這將於下文 2 a至2 b圖而詳細說明。 1 0 0在早期製造行程中之示意
UU 923il.ptd 第7頁 1292595 五、發明說明(3) 橫截面圖式。該半導體元件1 0 0在此例子中以互補式金氧 半導體電晶體對而作說明,其中半導體區域1 〇 1,諸如石夕 材區域,淺溝槽隔離1 〇 2,例如包括二氧化秒,經由形成 以分隔N井結構1 〇 2及P井結構11 0。在該N井結構1 2 0中,植 入,亦即摻雜,部分由1 2 1、1 2 2、1 2 3及1 2 4所標示,並且 -相對地,在該p井結構11 〇中,植入部分111、11 2、11 3及 11 4附有圖解。位在該N井結構1 2 0及該P井結構11 〇内之最 底處之植入部分111、1 2 1亦稱為埋藏植入。該植入部分 1 1 2、1 2 2—般已知為填覆植入,而植入部分1 1 3、1 2 3通常 稱之為穿透植入,該植入部分1 1 4、1 2 4亦稱為v τ植入,其 中V τ意指該欲形成之電晶體元件之臨限電壓。 顯示於第1 a圖中之用於形成半導體元件〇之曲型的 製程流程可以包括該下列的步驟。首先,該淺溝清隔離 1 0 2藉由在該技藝中之眾所周知之光學微影、姓刻及沉積 技術而形成。之後,該P井結構1 1 0及N井結構1 2 〇藉由依序 執行的離子植入製程而定義,其中,在該實際植^製程之 前’犧牲層’諸如氧化層(未顯示),可以沉積在該$導體 區域1 0 1之上方以更精確地控制該植入製程。對於—亥^ 井結構1 2 0,通常使用磷或砷離子,而斟— 、疋 ^ 110,通常使用硼離子。在植入期間而義該p井結構 劑量及能量經由控制以便定位出在該相亥相對植入製程之 124及111至114中之該對應離子類型之十植入部分121至 意的是由於該植入製程之自然效應 值,度。應該= Π0及該N井結構12〇之該植入部分之於疋義該P井結構 "並非如同於第1 a
1292595 五、發明說明(4) 圖中所顯示之明確的邊界,而相反地是具有逐漸變化。 第2 a圖為一種圖式,其中該N井結構1 2 0及該P井結構 1 1 0之摻雜濃度依據在該相對的井結構中之深度而描繪。 尤其,從第2a圖中明顯看出由該相同的圖式標號依據該相 對的植入部分所標示之V τ植入(1 1 4、1 2 4 )造成摻雜濃度在 該半導體元件1 0 0之表面之鄰近處為顯著地減少。亦即, 在該植入製程之後該滲雜濃度在該Ν井結構1 2 0及該Ρ井結 構1 1 0中之該半導體元件1 0 0之表面附近立即呈現所需要的 退化摻雜分佈,其中在該元件完成之後,通道將在該元件 之操作期間而形成。 在藉由離子植入定義該Ρ井結構1 1 0及該Ν井結構1 2 0之 後,該半導體元件1 0 0必須經過熱處理以便活化該植入的 離子,亦即,為了定位該多數離子位在晶格位置,以及固 化由該離子轟擊所造成之任何晶格損害。遺憾的是在此項 熱處理期間,不可避免的擴散將會產生並且在該相對的植 入部分之間之邊界將會更強烈地模糊掉以便在該Ρ井結構 1 1 0及該Ν井結構1 2 0内部之該垂直掺雜分佈將變得更不明 確。 第2b圖顯示具有相對於該個別井結構之深度之典型的 摻雜分佈之對應的圖式。由於在該熱處理期間該摻雜原子 之向上擴散,在該半導體元件1 0 0之表面附近處之初始退 化分佈,如同由圖示標號2 0 0所標示,可能已經變成實質 上不均勻地分佈。 第1 b圖示意地顯示在先進製造行程中之半導體元件
92311.ptd 第9頁 1292595 五、發明說明(5) 1 0 0。在第1 b圖中,該半導體元件1 0 〇包括在該P井結構1 1 0 内部之重N塑摻雜源極及汲極區域1 3 1,包含輕摻雜延伸 1 3 2。在該N井結構1 2 0中,同樣地,提供重p型摻雜源極及 汲極區域1 4 1,包含輕摻雜延伸1 4 2。閘極絕緣層1 3 5,例 如閘極氧化層,提供於該半導體元件1 0 0之整個表面上以 ^分隔閘極電極1 3換對應的通道區域1 3 6及分隔閘極電極 1 4 4與對應的通道區域1 4 6。間隔元件1 3 3提供於該閘極電 極1 3 4之側壁處並且個別的間隔元件1 4 3位在該閘極電極 144之側壁處。因此,該半導體元件1 00包含N道道電晶體 1 3 0及P通道電晶體1 4 0。 通常,該N通道電晶體1 3 0及該P通道電晶體1 4 0藉由下 列製程而形成。在該熱處理之後,該閘極絕緣層1 3 5將形 成,其中該閘極絕緣層可以藉由化學氣相沉積(chemical vapor deposition,CVD)而沉積,或者,若使用氧化層 可以使用快速熱處理爐管製程或習知的爐管氧化製程。由 於通常高溫包含於在製造該閘極絕層1 3 5之内,此製程亦 造成該摻雜在該P井結構1 1 〇及該N井結構1 2 0内部之更進一 步擴散。之後,複晶矽將藉由複雜的光學微影技術而沉積 及摹製以形成該閘極電極134及144。藉由第一次植入,該 延伸132及142將定義出來並且接著,該間隔元件133、143 將形成並且在後續的植入製程期間作為植入遮罩而用於^ 義該源極及沒極區域1 3 1、1 4 1。由於必須更進一步的熱声 理以活化在該區域1 3 1、1 3 2及1 4 1、1 4 2内部之摻雜並…、處 化由先前植入步驟所造成之任何的晶格損害,該初 &固
92311. ptd
1292595 五、發明說明(6) 濃度,如同於第2 a圖中所顯示,甚至將更強烈地受到影 響,因此在複數個熱處理之後,該實際的摻雜濃度將藉由 顯示於第2b圖中之圖式所表示。尤其,因此非常難以獲得 或維持欲在其内部達到改良的載子移動率之在該通道區域 1 3 6及1 4 6内的退化摻雜分佈。 依據包含在獲得或維持藉由該習知的製作流程所造成 的在場效電晶體之通道區域中之退化摻雜分佈的困難度, 極為需要用於形成半導體元件呈現退化摻雜分佈之改良的 方法。 【發明内容】 本發明一般係關於用於形成包含退化摻雜分佈之半導 體元件之方法,其中實質單晶通道層在井結構已經藉由離 子植入在半導體區域内定義之後將形成。由於該離子植 入,以及該後續的熱處理製程在該通道層之形成之前將會 執行,該通道層將實質上提供欲形成之半導體元件之通道 區域,來自井結構之摻雜原子之擴散而進入該通道層將顯 著地減少。由於該通道層可以不摻雜或僅輕微摻雜,在此 通道層内之實質退化摻雜分佈可以維持於整個後續的製程 步驟中,藉以因為在該介面處至該下層的通道層之減少的 摻雜濃度而確保關於該閘極絕緣層之加強的載子移動率及 改良的品質之改善的元件特性。 依據本發明之其中一項用作說明的實施例,在場效電 晶體之通道區域中形成退化摻雜分佈之方法包括在基板中 形成井結構及在該井結構之上方蠢晶成長通道層。再者,
92311. ptd 第 11 頁 1292595 五、發明說明(7) 由閘極電極所接續之閘極絕緣層將形成於該通道層之上。 此外,該方法包含在該井結構中形成汲極及源極區域,其 中該通道區域位在該汲極及源極區域之間。 在本發明之另一個實施例中,在形成於基板上之半導 體區域内選擇性地形成退化摻雜分佈之方法包括形成第一 井結構於該半導體區域之第一部分中及形成第二井結構於 該半導體區域之第二部分中。再者,遮罩層形成於該第二 井結構之上方並且通道層選擇性地磊晶成長於該第一井結 構之上方,其中該遮罩層避免該通道層在該第二井結構上 成長。 依據本發明之另一個實施例,半導體元件包括電晶體 元件,該電晶體元件包括形成於基板内之井結構及形成於 該井結構之上之擴散屏障層。再者,通道層形成於擴散屏 障層之上並且閘極絕緣層形成於該通道層之上。該電晶體 元件更包括形成於該閘極絕緣層之上之閘極電極及由通道 區域所分隔之源極及汲極區域。位在該通道層内部之該通 道區域之摻雜濃度由該閘極絕緣層朝向該擴散屏障層而增 加0 【實施方式】 本發明之用作說明的實施例將於下文中描述。為了明 確之目的,並非實際實現之所有特徵將於此說明書中作描 述。當然將會瞭解的是在任何此類實際實施例之發展中, 各種特定實現的決定必須做到以達到該發展者的特定目 標,諸如與系統相關及商業相關的限制之相容性,該限制
923U.ptd 第12頁 1292595 五、發明說明(8) 將隨著其中一項實現至另一項實現而改變。再者,將會瞭 解的是此類發展的努力可能是複雜及耗時的,但是儘管如 此對於熟習此項技藝之人士在具有此揭露之優點後將是例 行性的任務。 參考第3及第4圖,本發明之用作說明的實施例今將做 描述。在這些實施例中,互補式金氧半導體電晶體對將做 為該半導體元件之說明,如同在該’’先前技術π中之例子, 由於互補式金氧半導體電晶體對,包含Ρ型通道金氧半導 體場效電晶體及Ν型通道金氧半導體場效電晶體,時常使 用半導體元件於現代積體電路中。通常,由於在該Ρ型通 道金氧半導體電晶體之Ρ型通道内之正電洞之顯著減少的 移動率,該Ρ型通道金氧半導體電晶體相較於該Ν型通道金 氧半導體電晶體呈現較少的效能。因此,描繪於第3 a至3 e 圖中之用作說明的實施例表示本發明在P型通道金氧半導 體電晶體上之應用,該應用因此允許至少部分地補償該P 型通道金氧半導體電晶體相較於該N型通道金氧半導體電 晶體之減少的效能。該N型通道金氧半導體電晶體之特性 實質上仍然未改變以便在該N型通道電晶體及該P型通道電 晶體之電氣特性上之高程度的對稱性可以獲得。然而,需 要瞭解的是本發明亦適用於N型通道電晶體或P型通道電晶 體及N型通道電晶體兩者。 第3a圖示意性地顯示包括形成於半導體基板301内之P 井結構3 1 0及N井結構3 2 0之半導體元件3 0 0之橫截面圖示。 需要注意的是該結構3 0 1可以是用於在該基板上形成半導
92311. ptd 第13頁 1292595 五、發明說明(9) 體區域之任何適當的基板’其中該P井及N井結構3 1 0、3 2 0 可以形成。因此’該半導體基板301意在涵括適當的半導 體區域形成於其上或内之任何的基板,例如包括絕緣材 料,諸如藍寶石、玻璃及類似的材料,以允許該對應的井 結構3 1 0及3 2 0之形成。再者,該半導體元件3 0 0包括包含 絕緣材料之淺溝槽隔離3 0 2,諸如二氧化矽,以分隔該P井 結構3 1 0及該N井結構3 2 0。該P井結構3 1 0及該N井結構3 2 0 兩者包括安置在該相對的井結構内部之個別的摻雜以便造 成例如在第2 a圖中所顯示之例示性垂直的(相對於該圖式) 摻雜濃度。例如,該P井結構3 1 0可包括硼原子並且該N井 結構3 2 0可包括磷及/或砷原子以提供該所需的導電性。再 者,遮罩層3 6 0形成於該P井結構3 1 0之上方,其中該遮罩 層可以包括絕緣材料,諸如二氧化矽、氮化矽及矽的氮化 物(silicon-reacted nitride)等等。該遮罩層36 0之材料 相對於該淺溝槽隔離3 0 2之半導體材料及絕緣材料應該呈 現良好的蝕刻選擇性。例如,若在該P井結構3 1 0及N井結 構3 2 0中矽為該半導體材料,並且二氧化矽使用作為淺溝 槽隔離材料,則氮化矽及矽的氮化物在後續的蝕刻製程中 呈現充分及適當的選擇性。 在其中一項實施例中,如同於第3 a圖中所顯示,當該 遮罩層3 6 0為實質上包括二氧化矽時,例如由氮化矽所形 成之蝕刻終止層3 6 1將形成於該遮罩層3 6 0之下方以便定義 後續的蝕刻製程之終止點。用於形成該半導體元件3 0 0之 典型的製作流程,諸如於第3 a圖中所顯示,可以包括該下
92311. ptd 第14頁 1292595 五、發明說明(ίο) 列步驟。在該淺溝槽隔離3 0 2形成之後,該P井結構3 1 0及 該N井結構3 2 0藉由離子植入所定義,其中數個植入步驟可 以如同參考第1 a圖所描述而使用。 在其中一項特定的實施例中,該N井結構3 2 0藉由具有 每平方公分2x 10 13至2x 10 14個粒子的劑量之4 0 0至800 k e V (仟電子伏特,k i 1 〇 e 1 e c t r ο n v ο 11 s )之能量的填離子 之第一植入而定義。在第二個植入步驟中,填離子以具有 每平方公分2x 10 13至2x 10 14個粒子的劑量之150至250 keV之能量植入至該N井結構3 2 0内部,接著以具有每平方 公分2x 10 12至5x 10 13個粒子的劑量之50至100 keV之能量 進行更進一步的磷植入步驟。最後,將可以以具有lx 1 〇 12 至lx 10 13的劑量之30至70 keV的能量之砷離子或者以具有 lx 10 12至lx 10 13的劑量之20至50 keV的能量之磷離子執 行植入步驟以粗略地調整欲形成於該N井區域3 2 0之内或之 上的電晶體元件之臨限電壓。 在其中一項實施例中,該最終的臨限植入在該製造程 序之此階段處可以略去並且可以在之後的階段處執行,如 同將於下文中詳細描述。之後,可以執行熱處理,諸如快 速熱退火製程,以活化在該P井結構3 1 0及該N井結構3 2 0内 部之摻雜原子並且固化在該植入步驟期間所產生之晶格損 害。接著,該遮罩層3 6 0及若有需要之該蝕刻終止層3 6 1以 習知的光學微影技術而沉積及摹製。 第3b圖顯示具有磊晶成長通道層3 5 0形成於該N井結構 3 2 0上方之半導體元件3 0 0。該通道層3 5 0可以包括未摻雜
92311.ptd 第15頁 1292595 五、發明說明(11) 的半導體材料’諸如石夕或輕微掺雜的半導體材料,該半導 體材料需要在該半導體元件3 0 0之完成之後獲得該所需的 退化摻雜分佈。再者該通道層3 5 〇之厚度可以經由控制以 獲得該所需的掺雜分佈。在用作說明的實施例中,該通道 層3 5 0之厚度可以位於接近1〇至1〇〇奈米(nan〇meters, 之範圍内。依據其中一項特定的實施例,如同於第3b圖中 所顯示,擴散屏障層3 5 1可以提供於該通道層3 5 0及該井結 構3 2 0之間。該擴散屏障層3 5丨亦為磊晶成長層,其中該材 料成分經由選擇以實質上匹配該下層半導體晶格之晶袼結 構並且亦減少該摻雜原子在該N井結構3 2 0内部之任何擴散 活動性。在其中一項實施例中,該擴散屏障層3 5 1為實質 上包括矽及鍺,其中矽對鍺之比例是變動的以便對於砷及 磷原子產生所需的擴散屏障特性。通常,1 0 %至3 0 %原子之 鍺數量,亦即1 %至3 0 %鍺原子於該矽晶格中,在後續的熱 處理中提供充分程度阻擋砷及填原子進入該上層的通道層 之擴散。 在形成該通道層3 5 0,以及若有需要之該擴散屏障層 3 5 1中,製程參數,諸如周圍的壓力,可以經由選擇使得 該通道層3 5 0及該擴散屏障層3 5 1之晶格生長為實質上受限 在該N井結構3 2 0之表面上。因此,該遮罩層3 6 0及該淺溝 槽隔離3 0 2仍然實質上未受到該通道層3 5 0及該擴散屏障層 351之覆蓋,除了該層膜35 0及351之實質上水平生長所造 成之最小覆蓋。再者,含該擴散屏障層3 5 1之該實施例 中,該層膜3 5 1之厚度可以控制在近似2nm至2 0 nm之範圍
92311.ptd 第16頁 1292595 五、發明說明(12) 内以提供所需的擴散縮減性質而不會過度地增加因為該擴 散屏障層3 5 1對於該上層及下層半導體晶格之晶格常數的 輕微不匹配之晶格缺陷之數目。 第3 c圖顯示本發明之特定的實施例,其中該N井結構 3 2 0在該通道層3 5 0及該屏障擴散層3 5 1之形成之前已經凹 入。凹入該N井結構可以藉由類似於用於形成該溝槽隔離 之已經完全建立的蝕刻技術而達成。依據其中一項實施 例,凹入該N井結構3 2 0已經在植入該摻雜進入該N井結構 3 2 0之前而執行以便實質上該相同的植入參數可以依照參 考第3 a圖所描述而使用。在另一個實施例中,該N井結構 3 2 0在植入該摻雜之後及在槃處理該半導體元件元件3 0 0之 前或之後而凹入。在此例子中,在該N井結構凹入之後, 該植入參數因此已經適合於在該N井結構3 2 0之特定的深度 處產生該所需的摻雜濃度。亦即,該植入參數經由修正以 便定位出該個別的植入步驟在深度上之摻雜濃度峰值,該 深度位在該N井結構3 2 0之向下較深處藉以補償增加該N井 結構3 2 0深度。這可以藉由增加該植入能量在大約2 5 %至 3 0 0%而用於近似1 Onm至1 00 nm之凹入深度而達成。在凹入 該N井結構3 2 0之後,若有需要可以生長該擴散屏障層3 5 1 及該通道層3 5 0,如同參考第3b圖所描述。在形成該磊晶 生長層3 5 0、3 5 1之前凹入該N井結構3 2 0提供實質平坦的表 面,藉以改善該半導體元件之進一步的處理。 第3 d圖顯示具有閘極絕緣層3 3 5形成於該通道層3 5 0及 該P井結構3 1 0之上之半導體元件3 0 0。在該閘極絕緣層3 3 5
92311. ptd 第 17 頁 1292595 五、發明說明(13) 之形成之前,該閘極絕緣層3 3 5可以包括半導體氧化組 合,諸如二氧化矽,該遮罩層3 6 0及若有提供之該蝕刻終 止層3 6 1藉由選擇的蝕刻製程所移除。 依據其中一項實施例,在形成該閘極絕緣層3 3 5之 前,更進一步的植入製程可以執行以調整欲形成於該N井 結構3 2 0之内及之上之該P型金氧半導體電晶體之臨限電 壓。當該閘極絕緣層3 3 5後續藉由化學氣相沉積製程或藉 由快速熱氧化製程而形成時,此用於提供充分的臨限電壓 用於該P型金氧半導體電晶體之額外的植入步驟最好可以 使用,其中,相較於習知的爐管製程由於該減少的溫度及 /或該減少的製程時間,摻雜原子之向上擴散將是最小 的,以便於在該閘極絕緣層3 3 5之形成製程期間之最小向 上擴散之結果,用於達到需要的臨限電壓之所需的摻雜濃 度將無法獲得。因此,該額外的植入製程在該通道層3 5 0 内部提供該適當摻雜濃度以設定該所需的臨限電壓。在包 含該擴散屏障層3 5 1之實施例中,摻雜之向上擴散將是最 小的,即使使用習知的爐管製程以形成該閘極絕緣層 3 3 5。因此,該臨限電壓可以藉由額外的植入製程做調整 以在該通道層3 5 0内部定位摻雜原子,如同參考標號3 7 0所 指示。如同先前所指出的,在該N井結構3 2 0之形成期間, 臨限電壓植入可以執行或可以不執行,以便該臨限植入 3 7 0可以依據在該N井結構3 2 0内部之該摻雜濃度而控制。 亦即,當臨限電壓植入製程已經初始執行時,在該臨限植 入製程導入摻雜原子3 7 0期間,該摻雜劑量將減少。另一
92311. ptd 第 18 頁 1292595 五、發明說明(14) =此行此類初始臨限電壓植入製程時,該摻雜劑 产該Ϊ:電壓可以藉由考量在該_ 32。内之該初 始摻辰度、该擴散屏障層3 5丨之存在及 仞 阻礙特性而調整,亦即材料組成及厚声不身的相對擴散 之特性,亦即本身的摻雜之程度及:,及該通道層35〇 在其中一項特定的實施例中,該 成可以兩個步驟中執行,其中在該第:極絕緣層3 3 5之形 層3 3 5將形成而不移除該遮罩層3^〇,^ _驟中該閘極絕緣 括氮化石夕,以便該通道層3 5 〇接收該4遮罩層3 6 0最好包 不)之第一部分。接著,在該第二步4 、纟巴緣層3 3 5 (未顯 3 3 5之第二部分在移除該遮罩層3 6 〇之 ’該閘極絕緣層 結構3 1 0以相較於提供在該通道層3 $ 而形成以便該ρ井 3 3 5的厚度之減少的厚度接受該閘極浐之叇閘極絕緣層 在第3e圖中,該Ν井結構32〇具有層/35。 極絕緣層3 3 5,該第一厚度3 8 〇高於在^ 厚度3 8 0之該閘 形成之邊閘極絕緣層3 3 5的第二厚度3 9 〇井,結構3 1 〇之上所 1=1體元件存在單一晶片區域上時,。當兩種類型的電 點 %種督# y 。例如,薄的閘極絕緣層3 3 5對於具、她例特別具有優 速場效電晶體是必須的,如同先前所、彳苗通道長度之高 的電晶體元件由該遮罩層36 0所覆蓋以田接3^’以便這些類型 度3 9 0之閘極絕緣層,藉以確保相對於快、又具有該第二厚 電流容量之所需的高效能。另一方面,、具速切^奐時間及高 之閘極絕緣層3 3 5之電晶體元件可能η :、有第一厚度3 8 0 把疋需要呈現極為低的 1292595 五、發明說明(15) 漏 體 加 絕 内 電流之電晶體元件,如 領域中之例子,其中,=取記憶體/唯讀記憶 的閘極氧化層確保最小的漏電:f長度將增加並且增 緣層品質及該增加的载子=稭以,该改良的閘極 之減少的摻雜濃度,提供认由於在該通道層350 良的直流特性。因此,低的、'=命=的電晶體兀件之顯著改 它們是P型通道電晶體或\型通;:?晶體元件,無論是否 晶體元件在未具有退化摻雜=二:體’相較於習知的電 現改良的元件效能,其中二:佈:該通道層襲時將呈 速電晶體元件在未增加製程薄的閘極絕緣層之高 ㈣圖示意性地顯示具可二提 故ΛΑ 、、,兩η Α 、有兀整的道電晶體3 3 0及完 ί返电B日半導體元件3〇0。該n型通道電晶體 330包括重N型摻雜源極及沒極區域331,包含在該p井結構 3Π内部之輕掺雜延伸332。再者,問極電極334位在該p井 結構310之上,藉由該閘極絕緣層3 3 5與該p井結構31〇分 隔。間隔元件3 3 3提供在該閘極電極3 3 4之側壁處。 该P型通迢電晶體34 0包括重p型摻雜源極及沒極區域 3 4卜包含在該N井結構3 2 0内部之輕摻雜延伸3 4 2。閘極電 極344提供在該通道層35 0之上並且藉由該閘極絕緣層335 與該通道層3 5 0分隔。間隔元件343提供在該閘極電極334 之側壁處。 包含於形成該半導體元件3 0 0之内之製程流程,如同 於第3圖所描繪,可以包含如同參考第“至卜圖已經描述 之類似的步驟。因此’詳細的描述將會省略。
1292595 五、發明說明(16) 依據參考第3 a至3 f圖所描述之該用作說明的實施例, 該N通道電晶體3 3 0包括位在該閘極絕緣層3 3 5下方及該延 伸3 3 2之間之通道區域3 3 6,其中該通道區域3 3 6呈現之摻 雜分佈類似於如同於第2 b圖中所顯示之摻雜分佈。亦即, 該通道區域3 3 6並未實質上呈現退化摻雜分佈。相對於該 摻雜分佈,在該通道層3 5 0内部之通道區域3 4 6可以呈現如 同於第4圖中所顯示之摻雜濃度。 在第4圖中,以該”深度’’標示之垂直軸表示在該N井結 構3 2 0中之深度,包含該通道層3 5 0。以π濃度”標示之水平 軸表示在該Ν井結構3 2 0及該通道層3 5 0,並且可能是該擴 散屏障層3 5 1内部之摻雜原子之濃度。該層膜3 5 1及3 5 0之 對應的厚度由在該垂直軸之左手端之括弧所標示。標示為 4 0 0之弧線表示該Ν井結構3 2 0之摻雜濃度,該Ν井結構3 2 0 呈現如同於習知製造的元件中之典型的’’模糊(s m e a r e d ou t)n分佈。依據曲線4 0 2,該摻雜濃度在該擴散屏障層 3 5 1及該通道層3 5 0内部朝向該閘極絕緣層3 3 5而顯著地減 少。在該通道層3 5 0之頂端處之摻雜濃度可以藉由控制該 通道層3 5 0之厚度、該通道層3 5 0之摻雜初始程度、藉由提 供擴散屏障層3 5 1及該層膜3 5 1之組成型式及層膜厚度與藉 由提供及控制額外的臨限電壓植入製程而調整,如同先前 所描述。因此,該濃度曲線4 0 2之斜率,以及在該介面至 該閘極絕緣層3 3 5之最小濃度,可以依據設計需求藉由控 制一個或一個以上之該上述參數而調整。曲線4 0 1表示在 該通道層3 5 0内之其中另一個摻雜濃度。因此,本發明允
9231 Lptd 第21頁 1292595 五、發明說明(17) 許在場效電晶體元件之通道區域中提供退化摻雜分佈,其 中該摻雜分佈可以依據該場效電晶體元件之效能需求而修 正。應該注意的是,雖然該用作說明的實施例參考矽基半 導體元件,本發明之原理可以穩定地適用於包括例如鍺或 任何其它I I ΐ-v或II-VI半導體之其它半導體元件。 上文所揭示之該特定的實施例僅為用作說明,因此於 熟習此項技藝之人士在具有於此所教授之優點之後顯而易 見的是本發明可以以不同但等同的方式做修正及實行。例 如,上文所提出之製程步驟可以以不同的順序執行。再 者,本發明並未意在限定在於此所顯示之架構或設計之細 節,除非於下文之該申請專利中之描述。因此明顯的上文 所揭示之該特定的實施例可以做變更或修正並且所有的改 變皆考量在本發明之範疇及精神内。因此,於此所請求之 保護於下文之申請專利範圍中提出。
92311. ptd 第22頁 1292595 圖式簡單說明 【圖式簡單說明】 本發明可以藉由參考下列所列舉之說明並結合該附加 的圖式而瞭解,其中類似的圖式標號定義類似的元件,其 中: 第1 a及1 b圖顯示例示性習知的半導體元件在不同的製 造階段處之示意橫截面圖示; 第2a及2b圖示意地描繪第1 a及1 b圖在該植入製程之後 及在複數個熱處理步驟之後於該半導體元件之井結構内部 之垂直的摻雜濃度; 第3 a至3 f圖示意地顯示依據本發明之用作說明的實施 例之半導體元件在各種製造階段處之橫截面圖式;以及 第4圖示意地描繪說明在包含具有退化摻雜分佈之通 道域之該井結構内部之垂直濃度之圖式。 雖然本發明易於做各種的修正及替代的形式,本發明 之特定的實施例已經藉由在圖式中之例子而顯示並且於此 詳細描述。然而,應該要瞭解的是特定實施例之於此詳細 描述並非意在限定本發明於所揭露之該特定的形式,而相 反地 ,是意在涵括 落 在 由 附 加的 申請專利範圍 所 發明 之精神及範疇 内 之 所 有 的修 正、等同及替 代 100 半導體元件 101 半導體區域 102 淺溝槽隔離 110 P井結構 111 植入部分 112 植入部分 113 植入部分 114 植入部分 Φ
92311.ptd 第23頁 1292595
圖式簡單說明 120 N井結構 121 植入部分 122 植入部分 123 植入部分 124 植入部分 131 源極及〉及極區 域 132 摻雜延伸 133 間隔元件 134 閘極電極 135 閘極絕緣層 136 通道區域 140 P通道電晶體 141 源極及汲極區域 142 源極及〉及極區 域 143 間隔元件 144 閘極電極 146 通道區域 200 圖示標號 300 半導體元件 301 半導體基板 302 淺溝槽隔離 310 井結構 320 井結構 330 N通道電晶體 331 源極及沒極區域 332 摻雜延伸 333 間隔元件 334 閘極電極 335 閘極絕緣層 336 通道區域 340 P通道電晶體 341 源極及汲極區 域 342 摻雜延伸 343 間隔元件 344 閘極電極 346 通道區域 350 通道層 351 擴散屏障層 360 遮罩層 361 钱刻終止層 370 蒼考標號 380 第一厚度 390 第二厚度 400 濃度曲線 401 濃度曲線 402 濃度曲線 92311. ptd 第24頁

Claims (1)

  1. — ———飞 使)正替換頁 ——一一——·餘年卜月丨K日 修正_ 六、申請專利範圍 1 . 一種在場效電晶體之通道區域中形成退化摻雜分佈之 方法,該方法包括: 於基板内形成井結構; 在該井結構上方蠢晶生長通道層, 在生長該通道層之前磊晶生長擴散屏障層,其中 存在於該井結構内部之摻雜之擴散透過該擴散屏障層 而減少; 在該通道層上方形成閘極絕緣層及閘極電極;以 及 在該井結構内形成汲極及源極區域而具有該通道 區域位在該汲極區域及該源極區域之間。 2. 如申請專利範圍第1項之方法,其中形成井結構包含植 入摻雜原子進入該基板及熱處理該基板以活化該摻雜 原子及固化晶格損害。 、 3. 如申請專利範圍第2項之方法,更包括控制至少其中一 個該通道層及植入參數之厚度以在該通道層内控制該 退化摻雜分佈。 4. 如申請專利範圍第1項之方法,更包括控制用於導入摻 雜進入該井結構、該通道層之厚度及該擴散屏障層之 厚度之至少其中一項植入參數。 5. 如申請專利範圍第1項之方法,更包括在形成該閘極絕 緣層之前植入離子進入該通道層以調整該場效電晶體 之臨限電壓。 6. 如申請專利範圍第1項之方法,其中磊晶生長該擴散屏
    92311(修正版).ptc 第25頁
    7 .如申睛專利範圍第1項之方法, 在近似Η奈米至赚米之範圍^通21層之厚度是 8. m利範圍請之方法’其中該擴散屏障層之厚 度疋在近似2奈米至2〇奈米之範圍内。 9 · ί I °月專利範圍’1項之方法,其中磷及砷原子之至少 二提供在該井結構内部並且該擴散屏障層包括 吵録化合物。 =專利範圍第9項之方法,其中在該擴散屏障層 t錯原子對於石夕原子之比例是近似1%至繼之原子。 11=申請專利範圍第〗項之方法,其中在蟲晶生長該通道 層期間’該通道層之摻雜濃度是受到控制的。 1 2 ,於形成在基板上之半導體區域内選擇性地形 化摻雜分佈之方法,該方法包括: 在第一部分的半導體區域内形成第一井結構; 在第,部分的半導體區域内形成第二井結構; 在該第二井結構上方形成遮罩層;. 在该第一井結構上方選擇性地磊晶生長通道層, /、中該遮罩層避免該通道層在該第二井結構之上生 長;以及 在該第一井結構及該通道層之厚度形成期間藉由 控制至少其中一個植入參數而控制該退化摻雜分佈。
    92311(修正版).ptc 第26頁
    I 一一—T W*«•‘.似‘仙
    衫年(y月、y曰 修正 六、申請專利範圍 1 3 .如申請專利範圍第1 2項之方法,其中該遮罩層包括二 氧化矽、氮化矽及矽的氮化物之其中一種。 1 4 .如申請專利範圍第1 2項之方法,更包括在形成該遮罩 層之前於該第二井結構之上形成蝕刻終止層。 1 5 .如申請專利範圍第1 2項之方法,更包括在生長該通道 層之前選擇性地磊晶生長擴散屏障層。 1 6 .如申請專利範圍第1 5項之方法,更包括在該第一井結 構、該通道層之厚度及該擴散屏障層之厚度之形成期 間控制至少其中一個植入參數。 17.如申請專利範圍第12項之方法,更包括在該第一及第 二井結構之上形成閘極絕緣層。 1 8 .如申請專利範圍第1 7項之方法,其中形成閘極絕緣層 包含移除該遮罩層及在該第一及第二井結構之上形成 該絕緣層。 1 9 .如申請專利範圍第1 7項之方法,其中形成閘極絕緣層 包含: 在該第一井結構之上形成第一部分的閘極絕緣 層; 移除該遮罩層;以及 在該第二井結構之上形成第二部分,同時增加該 第一部分之厚度。 2 0 .如申請專利範圍第1 2項之方法,更包括在生長該通道 層之前於該半導體區域的第一部分内形成凹入。 2 1.如申請專利範圍第2 0項之方法,其中該第一井結構在
    92311(修正版).ptc 第27頁 够92 5#_更)正替換買 …·• 衆號仙4揚^89_年〖V月丨日 修正_ 六、申請專利範圍 形成該凹入之前藉由離子植入而形成,藉以植入參數 在凹入形成之後於該第一井結構内經由控制以獲得所 需的摻雜分佈。 2 2 .如申請專利範圍第2 0項之方法,其中該第一井結構之 形成在形成該凹入之後將藉由離子植入而執行。 2 3 .如申請專利範圍第1 2項之方法,更包括植入離子進入 該通道層以調整臨限電壓。 2 4.如申請專利範圍第1 2項之方法,更包括形成第一及第 二電晶體元件分別於該第一及第二井結構内。 2 5 .如申請專利範圍第2 4項之方法,其中第一及第二電晶 體元件分別為P型通道及N型通道場效電晶體。 2 6.如申請專利範圍第24項之方法,其中該第一電晶體元 件為低漏電流類型電晶體並且該弟二電晶體元件為南 速類型電晶體。 2 7.如申請專利範圍第1 2項之方法,其中該通道層之厚度 是在近似1 0 n m至1 0 0 n m之範圍内。 2 8 .如申請專利範圍第1 5項之方法,其中該擴散屏障層之 厚度是在近似2nm至20 nm之範圍内。 29. —種半導體元件,包括: 第一電晶體元件,該電晶體元件包含: 形成於基板内之井結構; 形成在該井結構之上之擴散屏障層; 形成在該擴散屏障層之上之通道層; 形成在該通道層之上之閘極絕緣層;
    92311(修正版).ptc 第28頁 I 更)正替換 1 一1一一一—"" whk犯11)观9_It年Iv月丨%曰_修正_ 六、申請專利範圍 形成在該閘極絕緣層之上之閘極電極; 形成在該井結構之内及藉由通道區域所分隔之源 極區域及汲極區域, 其中在該通道區域内之摻雜濃度由該閘極絕緣層 朝向該擴散屏障層而減少。 3 0 .如申請專利範圍第2 9項之半導體元件,其中該擴散屏 障層包括矽及鍺。 3 1.如申請專利範圍第3 0項之半導體元件,其中近似1 %至 3 0 %的鍺原子提供於該擴散屏障層内。 3 2 .如申請專利範圍第2 9項之半導體元件,其中該通道層 之厚度是位在近似1 〇奈米至1 〇 〇奈米之範圍内。 3 3.如申請專利範圍第2 9項之半導體元件,其中該擴散屏 障層之厚度是位在近似2奈米至2 0奈米之範圍内。 34.如申請專利範圍第2 9項之半導體元件,更包括第二電 晶體元件。 3 5.如申請專利範圍第34項之半導體元件,其中該第二電 晶體元件之閘極絕緣層具有厚度小於該第一電晶體元 件之閘極絕緣層之厚度。 3 6 .如申請專利範圍第2 9項之半導體元件,其中該第一電 晶體元件為P型通道電晶體、N型通道電晶體、高速短 通道電晶體及低漏電流電晶體之至少其中一個。 3 7.如申請專利範圍第34項之半導體元件,其中該第二電 晶體元件為P型通道電晶體、N型通道電晶體、高速短 通道電晶體及低漏電流電晶體之至少其中一個。
    92311(修正版).ptc 第29頁 if/ Μ匕替: if/ Μ匕替: 修正 年A月日 六、申請專利範圍 曰B 3 8 ..如申請專利範圍第3 4項之半導體元件,更包括第 粒區域及第二晶粒區域,該第一晶粒區域含有複數個 第一電晶體元件而該第二晶粒區域包含有複數個第二 電晶體元件,其中每一個該第二電晶體元件比每一個 該第一電晶體元件具有較薄的閘極絕緣層。 3 9 .如申請專利範圍第34項之半導體元件,其中該第一及 該第二電晶體元件形成互補式金氧半導體對,具有該 第一電晶體元件為該P型通道電晶體。
    9231](修正版).ptc 第30頁
TW092105989A 2002-03-28 2003-03-19 Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same TWI292595B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10214066A DE10214066B4 (de) 2002-03-28 2002-03-28 Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben
US10/282,980 US6881641B2 (en) 2002-03-28 2002-10-29 Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same

Publications (2)

Publication Number Publication Date
TW200400569A TW200400569A (en) 2004-01-01
TWI292595B true TWI292595B (en) 2008-01-11

Family

ID=28050963

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092105989A TWI292595B (en) 2002-03-28 2003-03-19 Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same

Country Status (4)

Country Link
US (1) US6881641B2 (zh)
KR (1) KR100954874B1 (zh)
DE (1) DE10214066B4 (zh)
TW (1) TWI292595B (zh)

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6941525B2 (en) * 2003-05-29 2005-09-06 Artisan Components, Inc. Leakage current reduction in standard cells
US7672347B2 (en) * 2004-05-14 2010-03-02 Sony Corporation Semiconductor light emitting device
US20060049464A1 (en) 2004-09-03 2006-03-09 Rao G R Mohan Semiconductor devices with graded dopant regions
KR101128904B1 (ko) * 2005-07-28 2012-03-27 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
US20080017931A1 (en) * 2006-07-19 2008-01-24 Hung-Lin Shih Metal-oxide-semiconductor transistor device, manufacturing method thereof, and method of improving drain current thereof
US7998821B2 (en) 2006-10-05 2011-08-16 United Microelectronics Corp. Method of manufacturing complementary metal oxide semiconductor transistor
KR100819562B1 (ko) * 2007-01-15 2008-04-08 삼성전자주식회사 레트로그레이드 영역을 갖는 반도체소자 및 그 제조방법
DE102007020260B4 (de) * 2007-04-30 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Transistoreigenschaften von Feldeffekttransistoren durch eine späte tiefe Implantation in Verbindung mit einem diffusionsfreien Ausheizprozess
US8329564B2 (en) * 2007-10-26 2012-12-11 International Business Machines Corporation Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
KR20110089497A (ko) * 2010-02-01 2011-08-09 삼성전자주식회사 기판에의 불순물 도핑 방법, 이를 이용한 태양 전지의 제조 방법 및 이를 이용하여 제조된 태양 전지
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) * 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8361872B2 (en) 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN104854698A (zh) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9917018B2 (en) * 2012-12-04 2018-03-13 Synopsys, Inc. Method and apparatus with channel stop doped devices
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) * 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9722045B2 (en) * 2015-10-23 2017-08-01 Globalfoundries Inc. Buffer layer for modulating Vt across devices
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US190322A (en) * 1877-05-01 Improvement in harrows
JPH08293557A (ja) 1995-04-25 1996-11-05 Hitachi Ltd 半導体装置及びその製造方法
DE19533204A1 (de) 1995-09-08 1997-03-13 Daimler Benz Ag Monolithisch integrierte Anordnung von PIN-Diode und Feldeffekttransistor und Verfahren zu deren Herstellung
DE59707274D1 (de) * 1996-09-27 2002-06-20 Infineon Technologies Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US6127232A (en) * 1997-12-30 2000-10-03 Texas Instruments Incorporated Disposable gate/replacement gate MOSFETS for sub-0.1 micron gate length and ultra-shallow junctions
US6180978B1 (en) * 1997-12-30 2001-01-30 Texas Instruments Incorporated Disposable gate/replacement gate MOSFETs for sub-0.1 micron gate length and ultra-shallow junctions
JP2000243854A (ja) * 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6503783B1 (en) 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL

Also Published As

Publication number Publication date
KR100954874B1 (ko) 2010-04-28
TW200400569A (en) 2004-01-01
DE10214066B4 (de) 2007-02-01
DE10214066A1 (de) 2003-10-23
KR20040104957A (ko) 2004-12-13
US20030183856A1 (en) 2003-10-02
US6881641B2 (en) 2005-04-19

Similar Documents

Publication Publication Date Title
TWI292595B (en) Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same
JP4597531B2 (ja) チャネル領域のドーパント分布がレトログレードな半導体デバイスおよびそのような半導体デバイスの製造方法
US5970353A (en) Reduced channel length lightly doped drain transistor using a sub-amorphous large tilt angle implant to provide enhanced lateral diffusion
TWI301326B (zh)
JP2848757B2 (ja) 電界効果トランジスタおよびその製造方法
TWI247384B (en) Method for forming transistor of semiconductor device
JP2578204B2 (ja) 半導体デバイスの製造方法
JP5547361B2 (ja) 埋込み軽ドープ・ドレイン領域を含む金属酸化膜半導体デバイス
TWI402987B (zh) 具有提升性能之半導體裝置及方法
JP4201764B2 (ja) 電界救済特性を有するトレンチ型mosfet
US6008099A (en) Fabrication process employing a single dopant implant for formation of a drain extension region and a drain region of an LDD MOSFET using enhanced lateral diffusion
KR20110113761A (ko) 스트레인 유도 합금 및 그레이드형 도펀트 프로파일을 포함하는 인 시츄 형성되는 드레인 및 소스 영역들
JPH10125920A (ja) Mosトランジスタおよびその形成方法
JPH05218081A (ja) 浅い半導体接合の形成方法
JP2004507882A (ja) ゲート酸化層の完全性を向上させた半導体トレンチデバイス
US20040207024A1 (en) Semiconductor device with an STI structure which is capable of suppressing inverse narrow channel effect, and method of manufacturing the same
US7368357B2 (en) Semiconductor device having a graded LDD region and fabricating method thereof
JP3524461B2 (ja) Cmosデバイスのデュアル・ゲート構造を製造するプロセス
CN112086454A (zh) 半导体器件及其制备方法
US5817564A (en) Double diffused MOS device and method
TWI774853B (zh) 具有減小的橫向電場之電晶體元件
JPH06224381A (ja) Cmosトランジスタ用nmos低濃度ドレーンpmosハローicプロセス
CN113223945B (zh) 横向变掺杂结构的制造方法及横向功率半导体器件
US6500740B1 (en) Process for fabricating semiconductor devices in which the distribution of dopants is controlled
US20030027383A1 (en) Method for manufacturing a bipolar transistor in a CMOS integrated circuit

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees