DE10214066A1 - Halbleiterelement mit retrogradem Dotierprofil in einem Kanalgebiet und ein Verfahren zur Herstellung desselben - Google Patents

Halbleiterelement mit retrogradem Dotierprofil in einem Kanalgebiet und ein Verfahren zur Herstellung desselben

Info

Publication number
DE10214066A1
DE10214066A1 DE10214066A DE10214066A DE10214066A1 DE 10214066 A1 DE10214066 A1 DE 10214066A1 DE 10214066 A DE10214066 A DE 10214066A DE 10214066 A DE10214066 A DE 10214066A DE 10214066 A1 DE10214066 A1 DE 10214066A1
Authority
DE
Germany
Prior art keywords
layer
channel
well structure
potential well
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE10214066A
Other languages
English (en)
Other versions
DE10214066B4 (de
Inventor
Karsten Wieczorek
Manfred Horstmann
Rolf Stephan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Innovative Foundry Technologies Bv Nl
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE10214066A priority Critical patent/DE10214066B4/de
Priority to US10/282,980 priority patent/US6881641B2/en
Priority to PCT/US2002/041312 priority patent/WO2003083951A1/en
Priority to JP2003581268A priority patent/JP4597531B2/ja
Priority to KR1020047015186A priority patent/KR100954874B1/ko
Priority to EP02807162A priority patent/EP1488461A1/de
Priority to AU2002357376A priority patent/AU2002357376A1/en
Priority to CNB028286065A priority patent/CN100399576C/zh
Priority to TW092105989A priority patent/TWI292595B/zh
Publication of DE10214066A1 publication Critical patent/DE10214066A1/de
Priority to US11/072,142 priority patent/US7297994B2/en
Application granted granted Critical
Publication of DE10214066B4 publication Critical patent/DE10214066B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Abstract

Eine epitaxial gewachsene Kanalschicht wird auf einer Potentialtopfstruktur bereitgestellt, nachdem Ionenimplantationsschritte und Wärmebehandlungsschritte durchgeführt sind, um damit ein erforderliches Dotierprofil in der Potentialtopfstruktur zu schaffen. Die Kanalschicht kann undotiert oder leicht dotiert sein, je nach Bedarf, so dass die entgültig erhaltene Dotierkonzentration in der Kanalschicht deutlich verringert ist im Vergleich zu einem herkömmlichen Bauteil, um damit ein retrogrades Dotierprofil in einem Kanalgebiet eines Feldeffekttransistors bereitzustellen. Ferner kann eine Barrierendiffusionsschicht zwischen der Potentialtopfstruktur und der Kanalschicht vorgesehen sein, um ein Aufwärtsdiffundieren in Wärmebehandlungen zu reduzieren, die nach der Ausbildung der Kanalschicht ausgeführt werden. Das endgültige Dotierprofil in dem Kanalgebiet kann durch die Dicke der Kanalschicht, die Dicke und die Zusammensetzung der Diffusionsbarrierenschicht und zusätzliche Implantationsschritte zur Einführung von Dotieratomen in die Kanalschicht eingestellt werden.

Description

    GEBIET DER VORLIEGENDEN ERFINDUNG
  • Im Allgemeinen betrifft die vorliegende Erfindung das Gebiet der Herstellung integrierter Schaltungen und betrifft insbesondere ein Halbleiterelement, etwa einem Feldeffekttransistor, mit einem verbesserten retrograden Dotierprofil in einem Kanalgebiet des Transistorelements. Ferner betrifft die vorliegende Erfindung ein Verfahren zur Herstellung eines derartigen Halbleiterelements.
  • BESCHREIBUNG DES STANDS DER TECHNIK
  • Feldeffekttransistoren, etwa MOS-Transistoren, stellen die am häufigsten verwendeten Schaltungselemente in modernen integrierten Schaltungen dar. Typischerweise wird eine riesige Anzahl von Feldeffekttransistoren gleichzeitig auf einem geeigneten Substrat hergestellt und so verbunden, um die erforderliche Funktionalität der Schaltung zu gewährleisten. Im Allgemeinen umfasst ein Feldeffekttransistor zwei hochdotierte Halbleitergebiete, im Allgemeinen Siliziumgebiete, die auch als Drain und Source bezeichnet werden, und die in einem leicht invers dotiertem Halbleitergebiet, dem sogenannten N- Potentialtopf oder P-Potentialtopf, abhängig von der Art des zu bildenden Transistors eingebettet sind. Das Drain- und das Sourcegebiet sind durch ein dazwischenliegendes Kanalgebiet getrennt, wobei sich ein leitender Kanal zwischen dem Drain und dem Source in dem Kanalgebiet bei Anlegen einer geeigneten Spannung an eine Gateelektrode ausbildet, die für gewöhnlich über dem Kanalgebiet ausgebildet und davon durch eine Gateisolierschicht, die oft als Gateoxidschicht vorgesehen ist, getrennt ist.
  • In dem Maße, wie die Strukturgrößen der einzelnen Halbleiterelemente ständig kleiner werden, - beispielsweise stellt der Abstand zwischen dem Source- und dem Draingebiet, der auch als Kanallänge bezeichnet wird, eine kritische Dimension in dieser Hinsicht dar - steigt die Bauteilleistungsfähigkeit an. Diese Veränderungen stellen jedoch für die Prozessingenieure neue zu überwindende Herausforderungen dar, um neue Prozesse und Techniken zu entwickeln, die mit den reduzierten Strukturgrößen kompatibel sind und die durch das Verringern der Strukturgrößen gewonnenen Verbesserungen nicht zum Teil wieder aufheben. Beispielsweise erfordert das Reduzieren der Kanallänge im Allgemeinen die Reduzierung der Dicke der Gateisolierschicht, so dass die Ausbildung des leitenden Kanals in ausreichender Weise durch die angelegte Gatespannung steuerbar bleibt. Das Herstellen einer Gateisolierschicht mit einigen Nanometern Dicke, wie dies für fortgeschrittene MOS-Transistoren typisch ist, erfordert daher weiterentwickelte Prozesstechnologien, um Gitterschäden in dem Halbleitergebiet unterhalb der Gateisolierschicht zu minimieren, um damit das Ausbilden einer Gateisolierschicht, etwa einer Oxidschicht, mit hoher Qualität zur Gewährleistung eines hohen Grades an Zuverlässigkeit des Bauteils über die gesamte Lebensdauer zu ermöglichen. Ferner erlaubt nur ein relativ intaktes Halbleitergebiet das Ausbilden einer Gateisolierschicht mit einer relativ glatten Grenzfläche zu dem Halbleitermaterial, so dass Streuereignisse von Ladungsträgern minimiert werden.
  • Eine Reduzierung der Gatelänge in modernen Bauteilen führt zu einer verbesserten Leitfähigkeit. In einigen Fällen kann es jedoch wünschenswert sein, die Leitfähigkeit durch Steigern der Ladungsträgermobilität in dem Kanalgebiet zu verbessern, ohne die Kanallänge aufs Äußerste zu reduzieren. Folglich wird in modernen Bauteilen ein sogenanntes retrogrades Kanaldotierprofil in Betracht gezogen. Bekanntlich können Dotieratome im Halbleitergitter Streuzentren für Ladungsträger darstellen, die sich unter dem Einfluss eines in dem Halbleitergebiet vorherrschenden elektrischen Feldes bewegen. Daher wird in modernen Bauteilen das retrograde Kanaldotierprofil angewendet, d. h. die Konzentration der Dotierstoffe steigt von der Gateisolierschicht zu den Gebieten, die tiefer in dem Kanalgebiet lokalisiert sind, an, so dass die den leitenden kanalbildenden Ladungsträger im Wesentlichen in der Nähe der Gateisolierschicht eine relativ geringe Konzentration von Streuzentren antreffen, so dass die Gesamtleitfähigkeit in dem Kanal verbessert ist. Ein retrogrades Kanaldotierprofil ist jedoch relativ schwierig zu erhalten, wie dies im Folgenden mit Bezug zu den Fig. 1a bis 1c und den Fig. 2a und 2b erläutert wird.
  • Fig. 1a zeigt eine schematische Querschnittsansicht eines Halbleiterelements 100 während eines anfänglichen Herstellungsstadiums. Das Halbleiterelement 100 ist in diesem Beispiel als ein komplementäres MOS-Transistorpaar dargestellt, wobei in einem Halbleitergebiet 101, etwa einem Siliziumgebiet, eine Flachgrabenisolation 102, beispielsweise mit Siliziumdioxid, ausgebildet ist, um eine N-Potentialtopstruktur 120 und eine P- Potentialtopfstruktur 110 zu trennen. In der N-Potentialtopfstruktur 120 sind implantierte, d. h. dotierte, Bereiche durch 121, 122, 123 und 124 gekennzeichnet und entsprechend sind in der P-Potentialtopfstruktur 110 implantierte Bereiche 111, 112, 113 und 114 dargestellt. Die Implantationsbereiche 111, 121, die am tiefsten in der N-Potentialtopfstruktur 120 und der P-Potentialtopfstruktur 110 angeordnet sind, werden auch als vergrabene Implantationsgebiete bezeichnet. Die implantierten Bereiche 112, 122 sind allgemein als Füllimplantationen bekannt, wohingegen die implantierten Bereiche 113, 123 im allgemeinen als Durchschlagsimplantationen bezeichnet werden. Die implantierten Bereiche 114, 124 werden auch als VT-Implantationen bezeichneten, wobei VT die Schwellwertspannung des zu bildenden Transistorelements kennzeichnet.
  • Ein typischer Prozessablauf zur Herstellung des in Fig. 1a gezeigten Halbleiterelements 100 kann die folgenden Schritte aufweisen. Zunächst wird die Flachgrabenisolation 102 durch Fotolithografie, Ätzen und Abscheidetechniken, die im Stand der Technik bekannt sind, gebildet. Anschließend werden die P-Potentialtopfstrukturen 110 und die N- Potentialtopfstruktur 120 mittels sequentiell durchgeführter Ionenimplantationsprozesse definiert, wobei vor dem eigentlichen Implantationsprozess eine Opferschicht, etwa eine Oxidschicht (nicht gezeigt) über dem Halbleitergebiet 101 abgeschieden werden kann, um den Implantationsprozess genauer zu steuern. Zum Bestimmen der N-Potentialtopfstruktur 120 werden typischerweise Phosphor- oder Arsenionen verwendet, wohingegen zum Bestimmen der P-Potentialtopfstruktur 110 typischerweise Borionen verwendet werden. Während der Implantation wird die Dosis und die Energie des entsprechenden Implantationsprozesses so gesteuert, um die Spitzenkonzentration der entsprechenden Ionenspezies in den entsprechenden Implantationsbereichen 121 bis 124 und 111 bis 114 anzuordnen. Es sollte angemerkt werden, dass aufgrund der Natur des Implantationsprozesses die Grenzen der Implantationsbereiche zum Definieren der P-Potentialtopfstruktur 110 und der N-Potentialtopfstruktur 120 keine scharten Grenzen sind, wie in Fig. 1a gezeigt ist, sondern stattdessen graduelle Übergänge darstellen.
  • Fig. 2a ist ein Graph, in dem die Dotierkonzentration der N-Potentialtopfstruktur 120 und der P-Potentialtopfstruktur 110 in Bezug auf die Tiefe in den entsprechenden Potentialtopfstrukturen dargestellt ist. Insbesondere ist aus Fig. 2a ersichtlich, dass die VT- Implantation (114, 124), die durch das gleiche Bezugszeichen wie die entsprechenden Implantationsbereiche bezeichnet ist, zu einer Dotierkonzentration führt, die in der Nähe der Oberfläche des Halbleiterelements 110 deutlich kleiner wird. Das heißt, die Dotierkonzentration unmittelbar nach dem Implantationsprozess zeigt ein gewünschtes retrogrades Dotierprofil in der N-Potentialtopfstruktur 120 und der P-Potentialtopfstruktur 110 in der Nähe der Oberfläche des Halbleiterelements 100, an der sich nach der Vervollständigung des Elements ein Kanal während des Betriebs des Bauteils ausbildet.
  • Nach dem Definieren der P-Potentialtopfstruktur 110 und der N-Potentialtopfstruktur 120 mittels Ionenimplantation, ist das Halbleiterelement 100 einer Wärmebehandlung zu unterziehen, um die implantierten Ionen zu aktivieren, d. h. um die Mehrheit der Ionen an Gitterplätzen anzuordnen, und um Gitterschäden, die durch den Ionenbeschuss verursacht wurden, auszuheilen. Unvorteilhafterweise findet während dieser Wärmebehandlung eine unvermeidbare Diffusion statt und die Grenzen zwischen den entsprechenden Implantationsbereichen verschmieren zusehends, so dass das vertikale Dotierprofil in der P-Potentialtopfstruktur 110 und der N-Potentialtopfstruktur 120 zunehmend unbestimmt wird.
  • Fig. 2b zeigt einen entsprechenden Graphen mit einem typischen Dotierprofil in Bezug auf die Tiefe der entsprechenden Potentialtopfstruktur. Aufgrund des Hochdiffundierens der Dotieratome während der Wärmebehandlung ist das anfängliche retrograde Profil in der Nähe der Oberfläche des Halbleiterelements 100, wie dies durch Bezugszeichen 200 angedeutet ist, im Wesentlichen gleichförmig verteilt.
  • Fig. 1b zeigt schematisch das Halbleiterelement 100 in einem fortgeschrittenen Herstellungsstadium. In Fig. 1b umfasst das Halbleiterelement 100 in der P-Potentialtopfstruktur 110 stark N-dotierte Source- und Draingebiete 131 mit leicht dotierten Erweiterungsgebieten 132. In ähnlicher Weise sind in der N-Potentialtopfstruktur 120 stark P-dotierte Source- und Draingebiete 141 einschließlich leicht dotierter Erweiterungsgebiete 142 vorgesehen. Eine Gateisolierschicht 135, beispielsweise eine Gateoxidschicht, ist an der gesamten Oberfläche des Halbleiterelements 100 vorgesehen, um eine Gateelektrode 134 von einem entsprechenden Kanalgebiet 136 und eine Gateelektrode 144 von dem entsprechenden Kanalgebiet 146 zu trennen. Abstandselemente 133 sind an den Seitenwänden der Gateelektrode 134 und entsprechende Abstandselemente 143 sind an den Seitenwänden der Gateelektrode 144 angeordnet. Das Halbleiterelement 100 umfasst somit einen N-Kanaltransistor 130 und einen P-Kanaltransistor 140.
  • Typischerweise werden der N-Kanaltransistor 130 und der P-Kanaltransistor 140 durch die folgenden Prozesse hergestellt. Nach der Wärmebehandlung wird die Gateisolierschicht 135 gebildet, wobei die Gateisolierschicht durch CVD gebildet wird, oder, wenn eine Oxidschicht verwendet wird, kann ein schneller Ofenprozess oder ein konventioneller Ofenoxidationsprozess angewendet werden. Da für gewöhnlich erhöhte Temperaturen bei der Herstellung der Gateisolierschicht 135 beteiligt sind, trägt dieser Prozess weiter zu einer Diffusion der Dotierstoffe in der P-Potentialtopfstruktur 110 und der N- Potentialtopfstruktur 120 bei. Anschließend wird Polysilizium abgeschieden und mittels hochentwickelter fotolithografischer Techniken strukturiert, um die Gateelektroden 134 und 144 zu bilden. Mit einer ersten Implantation werden die Erweiterungsgebiete 132 und 142 definiert und anschließend werden die Abstandselemente 133, 143 gebildet und dienen als Implantationsmaske während eines nachfolgenden Implantationsprozesses zum Definieren der Source- und Draingebiete 131, 141. Da eine weitere Wärmebehandlung notwendig ist, um die Dotierstoffe in den Gebieten 131, 132 und 141, 142 zu aktivieren und um durch vorhergehende Implantationsschritte verursachte Kristallschäden auszuheilen, wird die anfängliche Dotierkonzentration, die in Fig. 2a gezeigt ist, noch stärker beeinflusst, so dass nach den mehreren Wärmebehandlungen die tatsächliche Dotierkonzentration durch den in Fig. 2b gezeigten Graphen repräsentiert wird. Insbesondere ist es daher äußerst schwierig, ein retrogrades Dotierprofil in den Kanalgebieten 136 und 146 zu erreichen oder beizubehalten, was wünschenswert wäre, um darin eine verbesserte Ladungsträgermobilität zu erreichen.
  • Aufgrund der Schwierigkeiten, die beim Erhalten oder Beibehalten eines retrograden Dotierprofiles in dem Kanalgebiet eines Feldeffekttransistors in dem konventionellen Prozessablauf beteiligt sind, gibt es einen Bedarf für ein verbessertes Verfahren zur Herstellung von Halbleiterelementen, die ein retrogrades Dotierprofil aufweisen.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Die vorliegende Erfindung richtet sich im Allgemeinen an ein Verfahren zur Herstellung eines Halbleiterelements mit einem retrograden Dotierprofil, wobei eine im Wesentlichen monokristalline Kanalschicht gebildet wird, nachdem eine Potentialtopfstruktur mittels Ionenimplantation in einem Halbleitergebiet definiert worden ist. Da die Ionenimplantation sowie die anschließenden Wärmebehandlungsvorgänge vor der Ausbildung der Kanalschicht, die im Wesentlichen das Kanalgebiet des zu bildenden Halbleiterelements aufnimmt, ausgeführt werden, ist die Diffusion von Dotieratomen von der Potentialtopfstruktur in die Kanalschicht deutlich reduziert. Da die Kanalschicht undotiert oder nur leicht dotiert sein kann, kann ein im Wesentlichen retrogrades Dotierprofil in dieser Kanalschicht während der folgenden Prozessschritte beibehalten werden, wobei verbesserte Bauteileigenschaften hinsichtlich einer verbesserten Ladungsträgermobilität und einer verbesserten Qualität der Gateisolierschicht aufgrund einer reduzierten Dotierkonzentration an der Grenzfläche zu der darunter liegenden Kanalschicht gewährleistet wird.
  • Gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren zur Bildung eines retrograden Dotierprofils in einem Kanalgebiet eines Feldeffekttransistors das Ausbilden einer Potentialtopfstruktur, die in einem Substrat gebildet ist, und das epitaxiale Wachsen einer Kanalschicht über der Potentialtopfstruktur. Des Weiteren werden eine Gateisolierschicht gefolgt von einer Gateelektrode auf der Kanalschicht gebildet. Des Weiteren umfasst das Verfahren das Bilden eines Drain- und Sourcegebietes in der Potentialtopfstruktur, wobei das Kanalgebiet dazwischen angeordnet ist.
  • In einer weiteren Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren zum selektiven Bilden eines retrograden Dotierprofils in einem Halbleitergebiet, das auf einem Substrat gebildet ist, das Bilden einer ersten Potentialtopfstruktur in einem ersten Bereich des Halbleitergebiets und das Bilden einer zweiten Potentialtopfstruktur in einem zweiten Bereich des Halbleitergebiets. Ferner wird eine Maskenschicht über der zweiten Potentialtopfstruktur gebildet und eine Kanalschicht wird selektiv epitaxial über der ersten Potentialtopfstruktur aufgewachsen, wobei die Maskenschicht das Wachstum der Kanalschicht auf der zweiten Potentialtopfstruktur verhindert.
  • Gemäß einer weiteren Ausführungsform der vorliegenden Erfindung umfasst ein Halbleiterbauteil ein Transistorelement, das eine in einem Substrat gebildete Potentialtopfstruktur und eine auf der Potentialtopfstruktur gebildete Diffusionsbarrierenschicht umfasst. Ferner ist eine Kanalschicht auf der Diffusionsbarrierenschicht und eine Gateisolierschicht auf der Kanalschicht gebildet. Das Transistorelement umfasst ferner eine auf der Gateisolierschicht gebildete Gateelektrode und ein Source- und ein Draingebiet, die von einem Kanalgebiet getrennt sind. Eine Dotierkonzentration des Kanalgebiets, das in der Kanalschicht angeordnet ist, steigt von der Gateisolierschicht in Richtung der Diffusionsbarrierenschicht an.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen aus der folgenden detaillierten Beschreibung deutlicher hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird; es zeigen:
  • Fig. 1a und 1b schematische Querschnittsansichten eines beispielhaften konventionellen Halbleiterelements in unterschiedlichen Herstellungsstadien;
  • Fig. 2a und 2b schematisch eine vertikale Dotierkonzentration in einer Potentialtopfstruktur des Halbleiterelements der Fig. 1a und 1b nach dem Implantationsprozess und nach mehreren Wärmebehandlungsschritten;
  • Fig. 3a bis 3f schematisch Querschnittsansichten eines Halbleiterelements während diverser Herstellungsstadien gemäß anschaulichen Ausführungsformen der vorliegenden Erfindung; und
  • Fig. 4 schematisch einen Graphen, der die vertikale Konzentration in der Potentialtopfstruktur mit einem Kanalgebiet mit retrograden Dotierprofil darstellt.
  • Anzumerken ist, dass die Figuren lediglich anschaulicher Natur sind und die darin gezeigten Abmessungen und Gebiete sind nicht maßstabsgetreu. Ferner sind die Grenzen zwischen benachbarten Materialschichten und Gebieten als scharfe Linien dargestellt, wohingegen in tatsächlichen Bauteilen diese Grenzen durch graduelle Übergänge gebildet sein können, insbesondere, wenn die Gebiete durch Implantationsprozesse definiert sind.
  • DETAILLIERTE BESCHREIBUNG
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der nachfolgenden detaillierten Beschreibung und in den Zeichnungen dargestellt sind, beabsichtigen die detaillierte Beschreibung und die Zeichnungen nicht, die vorliegende Erfindung auf die speziellen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen Ausführungsformen sollen vielmehr beispielhaft die diversen Aspekte der vorliegenden Erfindung darstellen, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Mit Bezug zu den Fig. 3 und 4 werden nun anschauliche Ausführungsformen der vorliegenden Erfindung beschrieben. In diesen Ausführungsformen wird auf ein komplementäres MOS-Transistorpaar als Halbleiterelement, ebenso wie im Paragraphen "Beschreibung des Standes der Technik" Bezug genommen, da ein komplementäres MOS- Transistorpaar einschließlich eines P-Kanal-MOSFETs und eines N-Kanal-MOSFETs ein häufig verwendetes Halbleiterelement in modernen integrierten Schaltungen ist. Typischerweise zeigt der P-Kanal-MOS-Transistor eine geringere Leistungsfähigkeit im Vergleich zu den N-Kanal-MOS-Transistor aufgrund der deutlich reduzierten Mobilität der positiven Löcher in dem P-Kanal des P-Kanal-MOS-Transistors. Somit repräsentieren die in den Fig. 3a bis 3e gezeigten anschaulichen Ausführungsformen die Anwendung der vorliegenden Erfindung auf einem P-Kanal-MOS-Transistor, wodurch damit zumindest teilweise die reduzierte Leistungsfähigkeit des P-Kanal-MOS-Transistors im Vergleich zu dem N-Kanal-MOS-Transistor kompensiert werden kann. Die Eigenschaften des N-Kanal-MOS-Transistors bleiben im Wesentlichen unverändert, so dass ein hohes Maß an Symmetrie in den elektrischen Eigenschaften des N-Kanaltransistors und des P-Kanaltransistors erhalten werden kann. Selbstverständlich kann die vorliegende Erfindung jedoch auch auf N-Kanaltransistoren oder auf sowohl P-Kanaltransistoren als auch N-Kanaltransistoren angewendet werden.
  • Fig. 3a zeigt schematisch eine Querschnittsansicht eines Halbleiterelements 300 mit einer P-Potentialtopfstruktur 310 und einer N-Potentialtopfstruktur 320, die in einem Halbleitergebiet 301 gebildet sind. Anzumerken ist, dass das Substrat 301 ein beliebiges geeignetes Substrat zur Bildung eines Halbleitergebiets sein kann, in dem die P- und N- Potentialtopfstrukturen 310 und 320 gebildet werden können. Es ist somit beabsichtigt, dass das Halbleitersubstrat 301 ein beliebiges Substrat beispielsweise mit einem isolierendem Material, etwa Saphir, Glas und dergleichen, auf oder in dem ein geeignetes Halbleitergebiet gebildet ist, um die Herstellung der entsprechenden Potentialtopfstrukturen 310 und 320 zu ermöglichen, mit einschließt. Ferner umfasst das Halbleiterelement 300 eine Flachgrabenisolation 302 mit einem isolierenden Material, etwa Siliziumdioxid, um die P-Potentialtopfstruktur 310 und die N-Potentialtopfstruktur 320 zu isolieren. Die P-Potentialtopfstruktur 310 und die N-Potentialtopfstruktur 320 weisen jeweils entsprechende Dotierstoffe auf, die in den entsprechenden Potentialtopfstrukturen so angeordnet sind, um zu einer beispielhaften vertikalen (mit Bezug zu der Zeichnung) Dotierkonzentration zu führen, wie sie beispielsweise in Fig. 2a gezeigt ist. Zum Beispiel kann die P-Potentialtopfstruktur 310 Boratome und die N-Potentialtopfstruktur 320 Phosphor- und/oder Arsenatome aufweisen, um die erforderliche Leitfähigkeit bereitzustellen. Ferner ist eine Maskenschicht 360 über der P-Potentialtopfstruktur 310 gebildet, wobei die Maskenschicht ein isolierendes Material aufweisen kann, etwa Siliziumdioxid, Siliziumnitrid und mit Silizium reagiertes Nitrid, etc. Das Material der Maskenschicht 360 sollte eine gute Ätzselektivität mit Bezug zu dem Halbleitermaterial und zu dem isolierenden Material der Flachgrabenisolation 302 aufweisen. Wenn beispielsweise das Halbleitermaterial in der P-Potentialtopfstruktur und in der N-Potentialtopfstruktur 310, 320 Silizium ist und Siliziumdioxid als das Material der Flachgrabenisolation verwendet wird, zeigen Siliziumnitrid und mit Silizium reagiertes Nitrid eine ausreichende und geeignete Selektivität in den anschließenden Ätzprozessen.
  • In einer Ausführungsform wird, wie in Fig. 3 gezeigt ist, wenn die Maskenschicht 360 im Wesentlichen Siliziumdioxid aufweist, eine Ätzstopschicht 361, beispielsweise aus Siliziumnitrid hergestellt, unter der Maskenschicht 360 gebildet, um einen Endpunkt für einen anschließenden Ätzvorgang zu definieren.
  • En typischer Prozessablauf zur Herstellung des in Fig. 3a gezeigten Halbleiterelements 300 kann die folgenden Schritte aufweisen. Nach der Bildung der Flachgrabenisolation 302 werden die P-Potentialtopfstruktur 310 und die N-Potentialtopfstruktur 320 mittels Ionenimplantation definiert, wobei mehrere Implantationsschritte angewendet werden können, wie diese mit Bezug zu Fig. 1a beschrieben ist.
  • In einer speziellen Ausführungsform wird die N-Potentialtopfstruktur 320 durch eine erste Implantation mit Phosphorionen mit einer Energie von 400-800 keV (Kiloelektronenvolt) mit einer Dosis von 2 × 1013-1 × 1014 Teilchen pro Quadratzentimeter definiert. In einem zweiten Implantationsschritt werden Phosphorionen in die N-Potentialtopfstruktur 320 mit einer Energie von 150-250 keV mit einer Dosis von 2 × 1012-5 × 1013 Teilchen pro Quadratzentimeter, gefolgt von einem weiteren Phosphorimplantationsschritt mit einer Energie von 50-100 keV mit einer Dosis von 2 × 1012-5 × 1013 Teilchen pro Quadratzentimeter implantiert. Schließlich wird ein Implantationsschritt mit Arsenionen mit einer Energie von 30-70 keV mit einer Dosis von 1 × 1012-1 × 1013 oder mit Phosphorionen mit einer Energie von 20-50 keV mit einer Dosis von 1 × 1012-1 × 1013 durchgeführt, um grob die Schwellwertspannung des in und auf der N-Potentialtopfstruktur 320 zu bildenden Transistorelements einzustellen.
  • In einer Ausführungsform kann die letzte Schwellwertimplantation in diesem Stadium des Herstellungsvorganges weggelassen werden und kann zu einer späteren Zeit ausgeführt werden, wie dies im Folgenden beschrieben wird. Anschließend wird eine Wärmebehandlung durchgeführt, etwa ein schneller thermischer Ausheizvorgang, um Dotieratome in der P-Potentialtopfstruktur 310 und der N-Potentialtopfstruktur 320 zu aktivieren und um während der Implantationsschritte erzeugte Gitterschäden auszuheilen. Anschließend werden die Maskenschicht 360 und falls erforderlich die Ätzstopschicht 361 abgeschieden und mittels konventioneller fotolithografischer Techniken strukturiert.
  • Fig. 3b zeigt das Halbleiterelement 300 mit einer epitaxial gewachsenen Kanalschicht 350, die auf der N-Potentialtopfstruktur 320 gebildet ist. Die Kanalschicht 350 kann ein undotiertes Halbleitermaterial, etwa Silizium, aufweisen oder ein leicht dotiertes Halbleitermaterial, wie es erforderlich ist, um das gewünschte retrograde Dotierprofil nach der Fertigstellung des Halbleiterelements 300 zu erhalten. Ferner kann die Dicke der Kanalschicht 350 gesteuert werden, um das gewünschte Dotierprofil zu erhalten. In anschaulichen Ausführungsformen kann die Dicke der Kanalschicht 350 im Bereich von ungefähr 10-100 Nanometer (nm) liegen. Gemäß einer speziellen Ausführungsform kann, wie in Fig. 3b gezeigt ist, eine Diffusionsbarrierenschicht 351 zwischen der Kanalschicht 350 und der Potentialtopfstruktur 320 vorgesehen sein. Die Diffusionsbarrierenschicht 351 ist ebenfalls eine epitaxial gewachsene Schicht, wobei die Materialzusammensetzung so gewählt ist, um im Wesentlichen der Gitterstruktur des darunter liegenden Halbleitergitters angepasst zu sein und ebenso um eine Diffusionsaktivität der Dotieratome in der N- Potentialtopfstruktur 320 zu verringern. In einer Ausführungsform weist die Diffusionsbarrierenschicht 351 im Wesentlichen Silizium und Germanium auf, wobei das Verhältnis von Silizium zu Germanium so variiert wird, um die erforderlichen Diffusionsbarriereneigenschaften für Arsen- und Phosphoratome zu erhalten. Typischerweise bietet ein Germaniumanteil von 1-30% Atome, d. h. 1-30% Germaniumatome im Siliziumgitter, ein ausreichendes Maß an Einschränkung der Diffusion von Arsen- und Phosphoratomen in die darüber liegende Kanalschicht in anschließenden Wärmebehandlungen.
  • Beim Bilden der Kanalschicht 350 und ggf. der Diffusionsbarrierenschicht 351 können Prozessparameter, etwa der Umgebungsdruck, so gewählt werden, dass das Kristallwachstum der Kanalschicht 350 und der Diffusionsbarrierenschicht 351 im Wesentlichen auf die Oberfläche der N-Potentialtopfstruktur 320 beschränkt ist. Somit bleiben die Maskenschicht 360 und die Flachgrabenisolation 302 im Wesentlichen unbedeckt von der Kanalschicht 350 und der Diffusionsbarrierenschicht 351, mit Ausnahme einer minimalen Überlappung, die durch das im Wesentlichen horizontale Wachstum der Schichten 350 und 351 verursacht wird. Ferner kann in der Ausführungsform mit der Diffusionsbarrierenschicht 351 die Dicke der Schicht 351 in einem Bereich von ungefähr 2 bis 20 nm gesteuert werden, um die erforderliche diffusionsreduzierende Eigenschaft bereitzustellen, ohne die Anzahl der Gitterfehler aufgrund der leichten Fehlanpassung der Gitterkonstanten der Diffusionsbarrierenschicht 351 zu dem darüber liegenden und darunter liegenden Halbleitergitter unakzeptabel zu erhöhen.
  • Fig. 3c zeigt spezielle Ausführungsformen der vorliegenden Erfindung, in denen die N- Potentialtopfstruktur 320 vor der Ausbildung der Kanalschicht 350 und der Barrierendiffusionsschicht 351 abgetragen worden ist. Das Abtragen der N-Potentialtopfstruktur kann durch gut bekannte Ätzverfahren erreicht werden, die ähnlich sind zu jenen, die zur Bildung der Flachgrabenisolationen eingesetzt werden. In einer Ausführungsform kann das Abtragen der N-Potentialtopfstruktur 320 vor dem Implantieren der Dotierstoffe in die N-Potentialtopfstruktur 320 ausgeführt worden sein, so dass im Wesentlichen die gleichen Implantationsparameter verwendbar sind, wie sie mit Bezug zu Fig. 3a beschrieben sind. In einer weiteren Ausführungsform wird die N-Potentialtopfstruktur 320 nach dem Implantieren der Dotierstoffe und nach oder vor der Wärmebehandlung des Halbleiterelements 300 abgetragen. In diesem Falle sind die Implantationsparameter entsprechend angepasst worden, um die erforderliche Dotierkonzentration an einer spezifizierten Tiefe der N-Potentialtopfstruktur 320 zu erreichen, nachdem diese abgetragen worden ist. Das heißt, die Implantationsparameter werden so modifiziert, um den Dotierkonzentrationsspitzenwert der einzelnen Implantationsschritte bei einer Tiefe anzusiedeln, die tiefer in der N-Potentialtopfstruktur 320 angeordnet ist, um damit dem Abtragen der N-Potentialtopfstruktur 320 Rechung zu tragen. Dies kann erreicht werden, indem die Implantationsenergie um ungefähr 25-300% für eine Abtragstiefe von ungefähr 10-100 nm erhöht wird. Nach Abtragen der N-Potentialtopfstruktur 320 können die Diffusionsbarrierenschicht 351, falls diese erforderlich ist, und die Kanalschicht 350 so aufgewachsen werden, wie dies mit Bezug zu Fig. 3b beschrieben ist. Das Vertiefen der N- Potentialtopfstruktur 320 vor der Ausbildung der epitaxial gewachsenen Schichten 350, 351 liefert eine im Wesentlichen ebene Oberfläche, wodurch die weitere Bearbeitung des Halbleiterelements 300 verbessert wird.
  • Fig. 3d zeigt das Halbleiterelement 300 mit einer Gateisolierschicht 335, die über der Kanalschicht 350 und der P-Potentialtopfstruktur 310 gebildet ist. Vor der Ausbildung der Gateisolierschicht 335, die eine Halbleiteroxidverbindung aufweisen kann, etwa Siliziumdioxid, werden die Maskenschicht 360 und, falls vorhanden, die Ätzstopschicht 361 durch einen selektiven Ätzvorgang entfernt.
  • Gemäß einer Ausführungsform kann vor der Bildung der Gateisolierschicht 335 ein weiterer Implantationsprozess durchgeführt werden, um die Schwellwertspannung des in und auf der N-Potentialtopfstruktur 320 zu bildenden P-MOS-Transistors einzustellen. Dieser zusätzliche Implantationsschritt zur Bereitstellung einer ausreichenden Schwellwertspannung für den P-MOS-Transistor kann vorzugsweise ausgeführt werden, wenn die Gateisolationsschicht 335 anschließend durch einen chemischen Dampfabscheidevorgang oder einen schnellen thermischen Oxidationsprozess gebildet wird, in denen aufgrund der verringerten Temperatur und/oder der reduzierten Prozesszeit im Vergleich zu einem konventionellen Ofenprozess, ein Aufwärtsdiffundieren von Dotieratomen minimal ist, so dass als Folge der minimalen Aufwärtsdiffusion während des Herstellungsprozesses der Gateisolationsschicht 335 eine zum Erreichen einer gewünschten Schwellwertspannung erforderliche Dotierkonzentration nicht erhalten wird. Folglich liefert der zusätzliche Implantationsprozess die geeignete Dotierkonzentration in der Kanalschicht 350, um die erforderliche Schwellwertspannung festzulegen. In der Ausführungsform mit der Diffusionsbarrierenschicht 351 ist das Aufwärtsdiffundieren von Dotierstoffen minimiert, selbst wenn ein konventioneller Ofenprozess zur Herstellung der Gateisolationsschicht 335 angewendet wird. Somit kann die Schwellwertspannung durch einen zusätzlichen Implantationsprozess eingestellt werden, um die Dotieratome in der Kanalschicht 350 anzuordnen, wie dies durch Bezugszeichen 370 gekennzeichnet ist. Wie zuvor angemerkt wurde, kann während der Bildung der N-Potentialtopfstruktur 320 eine Schwellwertspannungsimplantation durchgeführt worden sein oder nicht, so dass die Schwellwertimplantation 370 entsprechend zu der Dotierkonzentration in der N- Potentialtopfstruktur 320 gesteuert werden kann. Das heißt, die Dotierdosis während der Schwellwertimplantation, um die Dotieratome 370 einzuführen, wird verringert, wenn eine Schwellwertspannungsimplantation zu Beginn bereits durchgeführt worden ist. Andererseits wird die Dotierdosis entsprechend erhöht, wenn keine derartige anfängliche Schwellwertspannungsimplantation ausgeführt worden ist.
  • Folglich kann die Schwellwertspannung eingestellt werden, indem die anfängliche Dotierkonzentration in der N-Potentialtopfstruktur 320, die Anwesenheit der Diffusionsbarrierenschicht 351 und deren entsprechende diffusionshindernde Eigenschaften, d. h. Materialzusammensetzung und Dicke, und die Eigenschaften der Kanalschicht 350, d. h. deren anfänglicher Grad an Dotierung und die Dicke, in Betracht gezogen werden.
  • In einer speziellen Ausführungsform kann die Ausbildung der Gateisolierschicht 335 in zwei Schritten durchgeführt werden, wobei in dem ersten Schritt die Gateisolationsschicht 335 ohne Entfernung der Maskenschicht 360, die vorzugsweise Siliziumnitrid aufweist, gebildet worden ist, so dass die Kanalschicht 350 einen ersten Teil der Gateisolationsschicht 335 (nicht gezeigt) aufnimmt. Anschließend wird in dem zweiten Schritt ein zweiter Bereich der Gateisolationsschicht 335 nach dem Entfernen der Maskenschicht 360 gebildet, so dass die P-Potentialtopfstruktur 310 die Gateisolationsschicht 335 mit einer Dicke erhält, die im Vergleich zu der Dicke der Gateisolationsschicht 335 auf der Kanalschicht 350 reduziert ist.
  • In Fig. 3e weist die N-Potentialtopfstruktur 320 die Gateisolationsschicht 335 mit einer ersten Dicke 380, die größer als eine zweite Dicke 390 der Gateisolationsschicht 335 ist, die über der P-Potentialtopfstruktur 310 gebildet ist. Diese Ausführungsform ist besonders vorteilhaft, wenn zwei Arten von Transistorelementen auf einer einzelnen Chipfläche vorhanden sind. Beispielsweise ist eine dünne Gateisolationsschicht 335 für Hochgeschwindigkeitsfeldeffekttransistoren mit einer kurzen Kanallänge wichtig, wie dies zuvor beschrieben ist, so dass diese Arten von Transistorelementen durch die Maskenschicht 360 bedeckt sind, um die Gateisolationsschicht mit der zweiten Dicke 390 zu empfangen, um damit die geforderte hohe Leistungsfähigkeit hinsichtlich schneller Schaltzeiten und hoher Stromtreibereigenschaften sicher zu stellen. Andererseits kann ein Transistorelement mit der Gateisolationsschicht 335 mit der ersten Dicke 380 ein Transistorelement sein, das einen extrem niedrigen Leckstrom aufweisen soll, wie dies in RAM/ROM-Gebieten der Fall ist, wobei vorzugsweise die Kanallänge vergrößert ist und ein dickeres Gateoxid einen minimalen Leckstrom sicherstellt. Dabei sorgen die verbesserte Gateisolationsschichtqualität und die erhöhte Ladungsträgermobilität aufgrund der reduzierten Dotierkonzentration in der Kanalschicht 350 für deutlich verbesserte Gleichstromeigenschaften der entsprechenden Transistorelemente. Somit zeigen Transistorelemente mit geringem Leckstrom, unabhängig, ob es sich um P-Kanaltransistoren oder N-Kanalschichttransistoren handelt, verbesserte Bauteileigenschaften im Vergleich zu konventionellen Transistorelementen ohne das retrograde Dotierprofil in der Kanalschicht 350, wobei gleichzeitig Hochgeschwindigkeitstransistorelemente, die eine dünne Gateisolationsschicht benötigen, ohne Zusatz an Prozesskomplexität bereit gestellt werden können.
  • Fig. 3f zeigt schematisch das Halbleiterelement 300 mit einem fertiggestellten N-Kanaltransistor 330 und einem fertiggestellten P-Kanaltransistor 340. Der N-Kanaltransistor 330 umfasst stark N-dotierte Source- und Draingebiete 331 mit leicht dotierten Erweiterungsgebieten 332 in der P-Potentialtopfstruktur 310. Ferner ist eine Gateelektrode 334 auf der P-Potentialtopfstruktur 310 angeordnet, die davon durch die Gateisolationsschicht 335 isoliert ist. Abstandselemente 333 sind an den Seitenwänden der Gateelektrode 334 vorgesehen.
  • Der P-Kanaltransistor 340 weist stark P-dotierte Source- und Draingebiete 341 mit leicht dotierten Erweiterungsgebieten 342 in der N-Potentialtopfstruktur 320 auf. Eine Gateelektrode 344 ist auf der Kanalschicht 350 vorgesehen und ist davon mittels der Gateisolationsschicht 335 isoliert. Abstandselemente 343 sind an den Seitenwänden der Gateelektrode 344 vorgesehen.
  • Der in der Herstellung des Halbleiterelements 300, wie es in Fig. 3f dargestellt ist, beteiligte Prozessablauf kann ähnliche Schritte aufweisen, wie sie bereits mit Bezug zu den Fig. 1a bis 1c beschrieben worden sind. Daher wird eine detaillierte Beschreibung weggelassen.
  • Gemäß den anschaulichen Ausführungsformen, die mit Bezug zu den Fig. 3a bis 3f beschrieben sind, umfasst der N-Kanaltransistor 330 ein Kanalgebiet 336, das unter der Gateisolationsschicht 335 und zwischen den Erweiterungsgebieten 332 angeordnet ist, wobei das Kanalgebiet 336 ein Dotierprofil aufweist, das ähnlich zu einem Dotierprofil ist, wie es in Fig. 2b gezeigt ist. Das heißt, das Kanalgebiet 336 zeigt im Wesentlichen kein retrogrades Dotierprofil. Im Gegensatz dazu kann ein Kanalgebiet 346 in der Kanalschicht 350 eine Dotierkonzentration aufweisen, wie sie in Fig. 4 dargestellt ist.
  • In Fig. 4 zeigt die als "Tiefe" gekennzeichnete vertikale Achse die Tiefe in der N-Potentialtopfstruktur 320 einschließlich der Kanalschicht 350 an. Die horizontale Achse, die mit "Konzentration" bezeichnet ist, stellt eine Konzentration der Dotieratome in der N- Potentialtopfstruktur 320 und der Kanalschicht 350 und möglicherweise in der Diffusionsbarrierenschicht 351 dar. Die entsprechende Dicke der Schichten 351 und 350 ist durch die Klammern an der linken Seite der vertikalen Achse gekennzeichnet. Eine als 400 bezeichnete Kurve stellt die Dotierkonzentration der N-Potentialtopfstruktur 320 dar, die die typisch "verschmierte" Verteilung wie in einem konventionell hergestellten Bauteil zeigt. Gemäß einer Kurve 402 fällt die Dotierkonzentration deutlich in der Diffusionsbarrierenschicht und der Kanalschicht 350 in Richtung zur Gateisolationsschicht 335 ab. Die Dotierkonzentration an der Oberseite der Kanalschicht 350 kann eingestellt werden durch Steuern der Dicke der Kanalschicht 350, des anfänglichen Dotiergrades der Kanalschicht 350, durch Bereitstellen einer Diffusionsbarrierenschicht 351 und der Art der Zusammensetzung und der Schichtdicke der Schicht 351, und durch Bereitstellen und Steuern eines zusätzlichen Schwellwertspannungsimplantationsprozesses, wie dies zuvor beschrieben ist. Folglich können die Steigung der Konzentrationskurve 402 sowie die minimale Konzentration an der Grenzfläche zu der Gateisolationsschicht 335 in Übereinstimmung mit Entwurfserfordernissen durch Steuern einer oder mehrerer der obigen Parameter eingestellt werden. Eine Kurve 401 repräsentiert eine alternative Dotierkonzentration in der Kanalschicht 350. Somit ermöglicht es die vorliegende Erfindung, ein retrogrades Dotierprofil in dem Kanalgebiet eines Feldeffekttransistorelements zu erzeugen, wobei das Dotierprofil entsprechend zu Leistungsanforderungen des Feldeffekttransistorelements zielgenau angepasst werden kann. Anzumerken ist, dass obwohl die anschaulichen Ausführungsformen sich auf Silizium basierte Halbleiterelemente beziehen, die Prinzipien der vorliegenden Erfindung ebenso auf andere Halbleiterelemente mit beispielsweise Germanium oder anderen III-V oder II-VI Halbleitern anwendbar ist.
  • Weitere Modifikationen und Variationen der vorliegenden Erfindung werden für den Fachmann offenkundig angesichts dieser Beschreibung. Folglich ist diese Beschreibung als lediglich anschaulich zu betrachten und dient dem Zwecke, dem Fachmann die allgemeine Art zum Ausführen der vorliegenden Erfindung zu vermitteln. Selbstverständlich repräsentieren die hierin gezeigten und beschriebenen Formen der Erfindung die gegenwärtig bevorzugten Ausführungsformen.

Claims (41)

1. Verfahren zur Herstellung eines retrograden Dotierprofils in einem Kanalgebiet eines Feldeffekttransistors, wobei das Verfahren umfasst:
Bilden einer Potentialtopfstruktur in einem Substrat;
epitaxiales Wachsen einer Kanalschicht auf der Potentialtopfstruktur;
Bilden einer Gateisolationsschicht und einer Gateelektrode auf der Kanalschicht; und
Bilden eines Drain- und eines Sourcegebietes in der Potentialtopfstruktur, wobei das Kanalgebiet zwischen dem Draingebiet und dem Sourcegebiet angeordnet ist.
2. Das Verfahren nach Anspruch 1, das ferner umfasst: epitaxiales Wachsen einer Diffusionsbarrierenschicht vor dem Wachsen der Kanalschicht, wobei eine Diffusion von Dotierstoffen, die in der Potentialtopfstruktur vorhanden sind, durch die Diffusionsbarrierenschicht verringert ist.
3. Das Verfahren nach Anspruch 1, wobei das Bilden einer Potentialtopfstruktur umfasst: Implantieren von Dotierionen in das Substrat und Wärmebehandeln des Substrats, um die Dotieratome zu aktivieren und Gitterschäden auszuheilen.
4. Das Verfahren nach Anspruch 3, das ferner umfasst: Steuern einer Dicke der Kanalschicht und/oder eines Implantationsparameters, um das retrograde Dotierprofil in der Kanalschicht zu steuern.
5. Das Verfahren nach Anspruch 2, das ferner umfasst: Steuern eines Implantationsparameters zum Einführen von Dotierstoffen in die Potentialtopfstruktur, und/oder einer Dicke der Kanalschicht und/oder einer Dicke der Diffusionsbarrierenschicht.
6. Das Verfahren nach Anspruch 1, das ferner umfasst: Implantieren von Ionen in die Kanalschicht vor dem Ausbilden der Gateisolationsschicht, um eine Schwellwertspannung des Feldeffekttransistors einzustellen.
7. Das Verfahren nach Anspruch 2, wobei epitaxiales Wachsen der Diffusionsbarrierenschicht umfasst: Steuern der Materialzusammensetzung in der Diffusionsbarrierenschicht und Steuern einer Dicke der Diffusionsbarrierenschicht, um eine diffusionshindernde Eigenschaft der Diffusionsbarrierenschicht einzustellen.
8. Das Verfahren nach Anspruch 1, wobei eine Dicke der Kanalschicht im Bereich von ungefähr 10-100 Nanometer liegt.
9. Das Verfahren nach Anspruch 2, wobei eine Dicke der Diffusionsbarrierenschicht im Bereich von ungefähr 2-20 Nanometer liegt.
10. Das Verfahren nach Anspruch 2, wobei Phosphor- und/oder Arsenatome in der Potentialtopfstruktur vorgesehen sind und wobei die Diffusionsbarrierenschicht eine Siliziumgermaniumverbindung aufweist.
11. Das Verfahren nach Anspruch 10, wobei ein Verhältnis von Germaniumatomen zu Siliziumatomen in der Diffusionsbarrierenschicht ungefähr 1-30 Atom-% beträgt.
12. Das Verfahren nach Anspruch 1, wobei während des epitaxialen Wachsens der Kanalschicht eine Dotierkonzentration der Kanalschicht gesteuert wird.
13. Verfahren zum selektiven Bilden eines retrograden Dotierprofils in einem Halbleitergebiet, das auf einem Substrat gebildet ist, wobei das Verfahren umfasst:
Bilden einer ersten Potentialtopfstruktur in einem ersten Bereich des Halbleitergebiets;
Bilden einer zweiten Potentialtopfstruktur in einem zweiten Bereich des Halbleitergebiets;
Bilden einer Maskenschicht auf der zweiten Potentialtopfstruktur; und
selektives epitaxiales Wachsen einer Kanalschicht auf der ersten Potentialtopfstruktur, wobei die Maskenschicht ein Wachsen der Kanalschicht auf der zweiten Potentialtopfstruktur verhindert.
14. Das Verfahren nach Anspruch 13, wobei die Maskenschicht Siliziumdioxid und/oder Siliziumnitrid und/oder mit Silizium reagiertes Nitrid aufweist.
15. Das Verfahren nach Anspruch 13, das ferner das Bilden einer Ätzstopschicht auf der zweiten Potentialtopfstruktur vor dem Bilden der Maskenschicht umfasst.
16. Das Verfahren nach Anspruch 13, das ferner das selektive epitaxiale Wachsen einer Diffusionsbarrierenschicht vor dem Wachsen der Kanalschicht umfasst.
17. Das Verfahren nach Anspruch 13, das ferner umfasst: Steuern des retrograden Dotierprofils durch Steuern eines Implantationsparameters während der Herstellung der ersten Potentialtopfstruktur und/oder einer Dicke der Kanalschicht.
18. Das Verfahren nach Anspruch 16, das ferner umfasst: Steuern eines Implantationsparameters während der Bildung der ersten Potentialtopfstruktur und/oder einer Dicke der Kanalschicht und/oder einer Dicke der Diffusionsbarrierenschicht.
19. Das Verfahren nach Anspruch 13, das ferner das Bilden einer Gateisolationsschicht auf den ersten und zweiten Potentialtopfstrukturen umfasst.
20. Das Verfahren nach Anspruch 19, wobei das Bilden einer Gateisolationsschicht umfasst:
Entfernen der Maskenschicht und Bilden der Gateisolationsschicht auf den ersten und zweiten Potentialtopfstrukturen.
21. Das Verfahren nach Anspruch 19, wobei das Bilden einer Gateisolationsschicht umfasst:
Bilden eines ersten Bereichs der Gateisolationsschicht auf der ersten Potentialtopfstruktur;
Entfernen der Maskenschicht; und
Bilden eines zweiten Bereichs auf der zweiten Potentialtopfstruktur, wobei die Dicke des ersten Bereichs vergrößert wird.
22. Das Verfahren nach Anspruch 13, das ferner das Bilden einer Vertiefung in dem ersten Bereich des Halbleitergebiets vor dem Wachsen der Kanalschicht umfasst.
23. Das Verfahren nach Anspruch 22, wobei die erste Potentialtopfstruktur mittels Ionenimplantation vor dem Bilden der Vertiefung gebildet wird, wobei Implantationsparameter so gesteuert werden, um ein erforderliches Dotierprofil in der ersten Potentialtopfstruktur nach der Bildung der Vertiefung zu erhalten.
24. Das Verfahren nach Anspruch 22, wobei das Bilden der ersten Potentialtopfstruktur mittels Ionenimplantation nach Bilden der Vertiefung ausgeführt wird.
25. Das Verfahren nach Anspruch 13, das ferner das Implantieren von Ionen in die Kanalschicht zur Einstellung einer Schwellwertspannung umfasst.
26. Das Verfahren nach Anspruch 13, das ferner das Bilden eines ersten und eines zweiten Transistorelements in jeweils den ersten und zweiten Potentialtopfstrukturen umfasst.
27. Das Verfahren nach Anspruch 26, wobei die ersten und zweiten Transistorelemente entsprechend ein P-Kanal- und ein N-Kanalfeldeffekttransistor sind.
28. Das Verfahren nach Anspruch 21 und 26, wobei das erste Transistorelement ein Transistor mit geringem Leckstrom und das zweite Transistorelement ein Hochgeschwindigkeitstransistor ist.
29. Das Verfahren nach Anspruch 13, wobei eine Dicke der Kanalschicht im Bereich von ungefähr 10-100 nm liegt.
30. Das Verfahren nach Anspruch 16, wobei eine Dicke der Diffusionsbarrierenschicht im Bereich von ungefähr 2-20 nm liegt.
31. Halbleiterelement mit:
einem ersten Transistorelement mit:
einer Potentialtopfstruktur, die in einem Substrat gebildet ist;
einer auf der Potentialtopfstruktur gebildeten Diffusionsbarrierenschicht;
einer auf der Barrierenschicht gebildeten Kanalschicht;
einer auf der Kanalschicht gebildeten Gateisolationsschicht;
einer auf der Gateisolationsschicht gebildeten Gateelektrode;
einem Sourcegebiet und einem Draingebiet, die in der Potentialtopfstruktur ausgebildet sind und durch ein Kanalgebiet getrennt sind,
wobei eine Dotierkonzentration in dem Kanalgebiet von der Gateisolationsschicht in Richtung der Diffusionsbarrierenschicht abnimmt.
32. Das Halbleiterelement nach Anspruch 31, wobei die Diffusionsbarrierenschicht Silizium und Germanium aufweist.
33. Das Halbleiterelement nach Anspruch 32, wobei ungefähr 1-30% Germaniumatome in der Diffusionsbarrierenschicht vorgesehen sind.
34. Das Halbleiterelement nach Anspruch 31, wobei eine Dicke der Kanalschicht im Bereich von ungefähr 10 bis 100 Nanometer liegt.
35. Das Halbleiterelement nach Anspruch 31, wobei eine Dicke der Diffusionsbarrierenschicht im Bereich von ungefähr 2 bis 20 Nanometer liegt.
36. Das Halbleiterelement nach Anspruch 31, das ferner ein zweites Transistorelement umfasst.
37. Das Halbleiterelement nach Anspruch 36, wobei eine Gateisolationsschicht des zweiten Transistorelement eine Dicke aufweist, die geringer ist als eine Dicke der Gateisolierschicht des ersten Transistorelements.
38. Das Halbleiterelement nach Anspruch 31, wobei das erste Transistorelement ein P- Kanaltransistor und/oder ein N-Kanaltransistor und/oder ein Hochgeschwindigkeits- Kurzkanaltransistor und/oder ein Transistor mit geringem Leckstrom ist.
39. Das Halbleiterelement nach Anspruch 36, wobei das zweite Transistorelement ein P-Kanaltransistor und/oder ein N-Kanaltransistor und/oder ein Hochgeschwindigkeits-Kurzkanaltransistor und/oder ein Transistor mit geringem Leckstrom ist.
40. Das Halbleiterelement nach Anspruch 36, das ferner umfasst: eine erste Chipfläche und eine zweite Chipfläche, wobei die erste Chipfläche mehrere erste Transistorelemente und die zweite Chipfläche mehrere zweite Transistorelemente enthält, wobei die zweiten Transistorelemente jeweils eine dünnere Gateisolationsschicht aufweisen als die ersten Transistorelemente.
41. Das Halbleiterelement nach Anspruch 36, wobei die ersten und die zweiten Transistorelemente ein komplementäres MOS-Paar bilden, wobei das erste Transistorelement der P-Kanaltransistor ist.
DE10214066A 2002-03-28 2002-03-28 Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben Expired - Lifetime DE10214066B4 (de)

Priority Applications (10)

Application Number Priority Date Filing Date Title
DE10214066A DE10214066B4 (de) 2002-03-28 2002-03-28 Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben
US10/282,980 US6881641B2 (en) 2002-03-28 2002-10-29 Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same
JP2003581268A JP4597531B2 (ja) 2002-03-28 2002-12-20 チャネル領域のドーパント分布がレトログレードな半導体デバイスおよびそのような半導体デバイスの製造方法
KR1020047015186A KR100954874B1 (ko) 2002-03-28 2002-12-20 채널 영역에서 레트로그레이드 도펀트 프로필을 구비한반도체 디바이스 및 그 제조 방법
EP02807162A EP1488461A1 (de) 2002-03-28 2002-12-20 Halbleiterbauelement mit einem retrograduierten dotierungsstoffprofil in einer kanalregion und verfahren zu seiner herstellung
AU2002357376A AU2002357376A1 (en) 2002-03-28 2002-12-20 Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same
PCT/US2002/041312 WO2003083951A1 (en) 2002-03-28 2002-12-20 Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same
CNB028286065A CN100399576C (zh) 2002-03-28 2002-12-20 于沟道区域中具有退化掺杂分布的半导体组件及用于制造该半导体组件的方法
TW092105989A TWI292595B (en) 2002-03-28 2003-03-19 Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same
US11/072,142 US7297994B2 (en) 2002-03-28 2005-03-04 Semiconductor device having a retrograde dopant profile in a channel region

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10214066A DE10214066B4 (de) 2002-03-28 2002-03-28 Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben

Publications (2)

Publication Number Publication Date
DE10214066A1 true DE10214066A1 (de) 2003-10-23
DE10214066B4 DE10214066B4 (de) 2007-02-01

Family

ID=28050963

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10214066A Expired - Lifetime DE10214066B4 (de) 2002-03-28 2002-03-28 Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben

Country Status (4)

Country Link
US (1) US6881641B2 (de)
KR (1) KR100954874B1 (de)
DE (1) DE10214066B4 (de)
TW (1) TWI292595B (de)

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6941525B2 (en) * 2003-05-29 2005-09-06 Artisan Components, Inc. Leakage current reduction in standard cells
US7672347B2 (en) * 2004-05-14 2010-03-02 Sony Corporation Semiconductor light emitting device
US20060049464A1 (en) 2004-09-03 2006-03-09 Rao G R Mohan Semiconductor devices with graded dopant regions
KR101128904B1 (ko) * 2005-07-28 2012-03-27 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
US20080017931A1 (en) * 2006-07-19 2008-01-24 Hung-Lin Shih Metal-oxide-semiconductor transistor device, manufacturing method thereof, and method of improving drain current thereof
US7998821B2 (en) 2006-10-05 2011-08-16 United Microelectronics Corp. Method of manufacturing complementary metal oxide semiconductor transistor
KR100819562B1 (ko) * 2007-01-15 2008-04-08 삼성전자주식회사 레트로그레이드 영역을 갖는 반도체소자 및 그 제조방법
DE102007020260B4 (de) * 2007-04-30 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Transistoreigenschaften von Feldeffekttransistoren durch eine späte tiefe Implantation in Verbindung mit einem diffusionsfreien Ausheizprozess
US8329564B2 (en) * 2007-10-26 2012-12-11 International Business Machines Corporation Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
KR20110089497A (ko) * 2010-02-01 2011-08-09 삼성전자주식회사 기판에의 불순물 도핑 방법, 이를 이용한 태양 전지의 제조 방법 및 이를 이용하여 제조된 태양 전지
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) * 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8361872B2 (en) 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2016500927A (ja) 2012-10-31 2016-01-14 三重富士通セミコンダクター株式会社 低変動トランジスタ・ペリフェラル回路を備えるdram型デバイス、及び関連する方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9917018B2 (en) 2012-12-04 2018-03-13 Synopsys, Inc. Method and apparatus with channel stop doped devices
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9722045B2 (en) * 2015-10-23 2017-08-01 Globalfoundries Inc. Buffer layer for modulating Vt across devices
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (de) 2018-02-14 2020-11-18 ASM IP Holding B.V. Verfahren zum abscheiden eines ruthenium-haltigen films auf einem substrat durch ein zyklisches abscheidungsverfahren
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6127232A (en) * 1997-12-30 2000-10-03 Texas Instruments Incorporated Disposable gate/replacement gate MOSFETS for sub-0.1 micron gate length and ultra-shallow junctions
US6180978B1 (en) * 1997-12-30 2001-01-30 Texas Instruments Incorporated Disposable gate/replacement gate MOSFETs for sub-0.1 micron gate length and ultra-shallow junctions
EP0838858B1 (de) * 1996-09-27 2002-05-15 Infineon Technologies AG Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US190322A (en) * 1877-05-01 Improvement in harrows
JPH08293557A (ja) 1995-04-25 1996-11-05 Hitachi Ltd 半導体装置及びその製造方法
DE19533204A1 (de) 1995-09-08 1997-03-13 Daimler Benz Ag Monolithisch integrierte Anordnung von PIN-Diode und Feldeffekttransistor und Verfahren zu deren Herstellung
JP2000243854A (ja) * 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6503783B1 (en) 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0838858B1 (de) * 1996-09-27 2002-05-15 Infineon Technologies AG Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US6127232A (en) * 1997-12-30 2000-10-03 Texas Instruments Incorporated Disposable gate/replacement gate MOSFETS for sub-0.1 micron gate length and ultra-shallow junctions
US6180978B1 (en) * 1997-12-30 2001-01-30 Texas Instruments Incorporated Disposable gate/replacement gate MOSFETs for sub-0.1 micron gate length and ultra-shallow junctions

Also Published As

Publication number Publication date
TWI292595B (en) 2008-01-11
KR100954874B1 (ko) 2010-04-28
US6881641B2 (en) 2005-04-19
DE10214066B4 (de) 2007-02-01
KR20040104957A (ko) 2004-12-13
US20030183856A1 (en) 2003-10-02
TW200400569A (en) 2004-01-01

Similar Documents

Publication Publication Date Title
DE10214066B4 (de) Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben
DE102008063427B4 (de) Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
DE10255849B4 (de) Verbesserte Drain/Source-Erweiterungsstruktur eines Feldeffekttransistors mit dotierten Seitenwandabstandselementen mit hoher Permittivität und Verfahren zu deren Herstellung
DE102006046363B4 (de) Verfahren zum Verringern von Kristalldefekten in Transistoren mit wieder aufgewachsenen flachen Übergängen durch geeignetes Auswählen von Kristallorientierungen
DE102005004411B4 (de) Verfahren für die Herstellung eines in-situ-gebildeten Halo-Gebietes in einem Transistorelement
DE3334337A1 (de) Verfahren zur herstellung einer integrierten halbleitereinrichtung
DE4212829A1 (de) Verfahren zur herstellung von metall-oxid-halbleiter-feldeffekttransistoren
DE102005009023A1 (de) Gateelektrodenstruktur und Transistor mit asymmetrischen Abstandselementen und Verfahren zum Herstellen derselben
DE3932621A1 (de) Halbleitervorrichtung und verfahren zur herstellung derselben
DE102004052578A1 (de) Technik zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
DE102006019937A1 (de) SOI-Transistor mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers und ein Verfahren zur Herstellung des Transistors
DE102009047313B4 (de) Leistungssteigerung in Transistoren mit einem Metallgatestapel mit großem ε durch eine frühe Implantation der Erweiterungsgebiete
EP1794803A2 (de) Lateraler dmos-transistor und verfahren zu seiner herstellung
DE102011003439B4 (de) Verfahren zur Durchlassstromerhöhung in Feldeffekttransistoren durch asymmetrische Konzentrationsprofile von Legierungssubstanzen einer Kanalhalbleiterlegierung und Halbleiterbauelement
EP0020998A1 (de) Verfahren zum Herstellen eines bipolaren Transistors mit ionenimplantierter Emitterzone
DE102010063293B3 (de) Verfahren zur Herstellung von Transistoren mit unterschiedlichen Source/Drain-Implantationsabstandshaltern
DE10351006A1 (de) Technik zur Herstellung eines Transistors mit erhöhten Drain- und Source-Gebieten, wobei eine reduzierte Anzahl von Prozessschritten erforderlich ist
DE10036891A1 (de) Verfahren zum Herstellen einer Schottky-Diode und einer verwandten Struktur
DE102010064287B4 (de) Verfahren zum zuverlässigen Einbetten von Metallsilizidkontaktgebieten in stark dotierte Drain- und Sourcegebiete durch eine Silizidstoppschicht und entsprechendes Halbleiterbauelement
DE102009047314B4 (de) Leistungssteigerung in Transistoren mit einem Metallgatestapel mit großem ε durch Reduzieren einer Breite von Versatzabstandshaltern
DE10138648A1 (de) Verfahren zum parallelen Herstellen eines MOS-Transistors und eines Bipolartransistors
DE102010028464A1 (de) Reduzierter STI-Verlust für bessere Oberflächenebenheit eingebetteter Verspannungsmaterialien in dicht gepackten Halbleiterbauelementen
DE10058031B4 (de) Verfahren zur Bildung leicht dotierter Halogebiete und Erweiterungsgebiete in einem Halbleiterbauelement
DE10207740B4 (de) Verfahren zur Herstellung eines p-Kanal-Feldeffekttransistors auf einem Halbleitersubstrat
WO2003049192A1 (de) Bipolar-transistor und verfahren zum herstellen desselben

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R081 Change of applicant/patentee

Owner name: INNOVATIVE FOUNDRY TECHNOLOGIES B.V., NL

Free format text: FORMER OWNER: ADVANCED MICRO DEVICES, INC., SUNNYVALE, CALIF., US

R082 Change of representative

Representative=s name: PETERREINS SCHLEY PATENT- UND RECHTSANWAELTE P, DE

Representative=s name: PETERREINS SCHLEY PATENT- UND RECHTSANWAELTE, DE

R071 Expiry of right